KR100763535B1 - Method of manufacturing a non-volatile memory device - Google Patents

Method of manufacturing a non-volatile memory device Download PDF

Info

Publication number
KR100763535B1
KR100763535B1 KR1020060086600A KR20060086600A KR100763535B1 KR 100763535 B1 KR100763535 B1 KR 100763535B1 KR 1020060086600 A KR1020060086600 A KR 1020060086600A KR 20060086600 A KR20060086600 A KR 20060086600A KR 100763535 B1 KR100763535 B1 KR 100763535B1
Authority
KR
South Korea
Prior art keywords
film
forming
aluminum oxide
charge trapping
silicon nitride
Prior art date
Application number
KR1020060086600A
Other languages
Korean (ko)
Inventor
오세훈
박영근
최한메
이승환
김선정
김영선
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020060086600A priority Critical patent/KR100763535B1/en
Application granted granted Critical
Publication of KR100763535B1 publication Critical patent/KR100763535B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/28141Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects insulating part of the electrode is defined by a sidewall spacer, e.g. dummy spacer, or a similar technique, e.g. oxidation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/4234Gate electrodes for transistors with charge trapping gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Non-Volatile Memory (AREA)
  • Semiconductor Memories (AREA)

Abstract

A method for manufacturing a nonvolatile memory device is provided to improve threshold voltage and breakdown voltage characteristics by using a charge trapping pattern composed of silicon nitride and hafnium aluminum oxide. A tunnel insulating layer is formed on a substrate(100) with a channel region(100a). A charge trapping layer is formed on the tunnel insulating layer to trap electrons from the channel region. The charge trapping layer is composed of a silicon nitride layer and a hafnium aluminum oxide layer. A dielectric film is formed on the charge trapping layer. A conductive layer is formed on the dielectric film. A gate structure(150) composed of a control gate electrode, a dielectric pattern(140), a charge trapping pattern(142) and a tunnel insulating pattern is formed on the channel region by patterning selectively the conductive layer, the dielectric film, the charge trapping layer and the tunnel insulating layer.

Description

불휘발성 메모리 장치의 제조 방법{Method of manufacturing a non-volatile memory device}Method of manufacturing a non-volatile memory device

도 1 내지 도 5는 본 발명의 일 실시예에 따른 불휘발성 메모리 장치의 제조 방법을 설명하기 위한 개략적인 단면도들이다.1 to 5 are schematic cross-sectional views illustrating a method of manufacturing a nonvolatile memory device in accordance with an embodiment of the present invention.

도 6은 본 발명의 다른 실시예에 따른 불휘발성 메모리 장치의 제조 방법을 설명하기 위한 단면도이다.6 is a cross-sectional view illustrating a method of manufacturing a nonvolatile memory device in accordance with another embodiment of the present invention.

도 7은 본 발명의 또 다른 실시예에 따른 불휘발성 메모리 장치의 제조 방법을 설명하기 위한 단면도이다.7 is a cross-sectional view for describing a method of manufacturing a nonvolatile memory device according to still another embodiment of the present invention.

도 8은 본 발명의 또 다른 실시예에 따른 불휘발성 메모리 장치의 제조 방법을 설명하기 위한 단면도이다.8 is a cross-sectional view for describing a method of manufacturing a nonvolatile memory device according to still another embodiment of the present invention.

도 9는 본 발명의 또 다른 실시예에 따른 불휘발성 메모리 장치의 제조 방법을 설명하기 위한 단면도이다.9 is a cross-sectional view for describing a method of manufacturing a nonvolatile memory device according to still another embodiment of the present invention.

도 10은 종래의 방법에 의해 형성된 불휘발성 메모리 장치의 커패시턴스를 나타내는 그래프이다.10 is a graph showing capacitance of a nonvolatile memory device formed by a conventional method.

도 11은 본 발명의 일 실시예에 따라 형성된 불휘발성 메모리 장치의 커패시턴스를 나타내는 그래프이다.11 is a graph illustrating capacitance of a nonvolatile memory device formed in accordance with an embodiment of the present invention.

도 12는 종래의 방법에 의해 형성된 불휘발성 메모리 장치의 문턱 전압을 나 타내는 그래프이다.12 is a graph showing a threshold voltage of a nonvolatile memory device formed by a conventional method.

도 13은 본 발명의 일 실시예에 따라 형성된 불휘발성 메모리 장치의 문턱 전압을 나타내는 그래프이다.13 is a graph illustrating a threshold voltage of a nonvolatile memory device formed according to an embodiment of the present invention.

도 14는 종래의 방법에 의해 형성된 불휘발성 메모리 장치의 누설 전류와 본 발명의 일 실시예에 따라 형성된 불휘발성 메모리 장치의 누설 전류를 나타내는 그래프이다.14 is a graph illustrating a leakage current of a nonvolatile memory device formed by a conventional method and a leakage current of a nonvolatile memory device formed according to an embodiment of the present invention.

* 도면의 주요부분에 대한 부호의 설명 *Explanation of symbols on the main parts of the drawings

10 : 불휘발성 메모리 장치 100 : 반도체 기판10 nonvolatile memory device 100 semiconductor substrate

100a : 채널 영역 120 : 컨트롤 게이트 전극100a: channel region 120: control gate electrode

122 : 제1 금속 질화막 패턴 124 : 제2 금속 질화막 패턴122: first metal nitride film pattern 124: second metal nitride film pattern

126 : 금속막 패턴 134 : 스페이서126: metal film pattern 134: spacer

140 : 유전막 패턴 142 : 전하 트랩핑 막 패턴140 dielectric layer pattern 142 charge trapping film pattern

146 : 실리콘 질화막 패턴 148 : 하프늄 알루미늄 산화막 패턴146 silicon nitride film pattern 148 hafnium aluminum oxide film pattern

150 : 게이트 구조물 152a, 152b : 소스/드레인 영역150: gate structures 152a, 152b: source / drain regions

본 발명은 불휘발성 메모리 장치의 제조 방법에 관한 것이다. 보다 상세하게는, 전하 트랩핑 막을 포함하는 불휘발성 메모리 장치의 제조 방법에 관한 것이다.The present invention relates to a method of manufacturing a nonvolatile memory device. More particularly, the present invention relates to a method of manufacturing a nonvolatile memory device including a charge trapping film.

반도체 메모리 장치는 DRAM(dynamic random access memory) 및 SRAM(static random access memory)과 같이 데이터의 입·출력이 상대적으로 빠른 반면, 시간이 경과됨에 따라 데이터가 소실되는 휘발성(volatile) 메모리 장치와, ROM(read only memory)과 같이 데이터의 입·출력이 상대적으로 느리지만, 데이터를 영구 저장이 가능한 불휘발성(non-volatile) 메모리 장치로 구분될 수 있다. 상기 불휘발성 메모리 장치의 경우, 전기적으로 데이터의 입·출력이 가능한 EEPROM(electrically erasable programmable read only memory) 또는 플래시 EEPROM 메모리에 대한 수요가 늘고 있다. 상기 플래시 EEPROM 메모리 장치는 F-N 터널링(Fowler-Nordheim tunneling) 또는 채널 열전자 주입(channel hot electron injection)을 이용하여 전기적으로 데이터의 프로그래밍(programming) 및 소거(erasing)를 수행한다. 상기 플래시 메모리 장치는 플로팅 게이트 타입의 불휘발성 메모리 장치와 SONOS(silicon oxide nitride oxide semiconductor) 또는 MONOS(metal oxide nitride oxide semiconductor) 타입의 불휘발성 메모리 장치로 크게 구분될 수 있다.Semiconductor memory devices, such as dynamic random access memory (DRAM) and static random access memory (SRAM), have relatively fast data input and output, while volatile memory devices lose data over time, and ROM Although data input and output is relatively slow, such as read only memory, it can be classified as a non-volatile memory device that can store data permanently. In the case of the nonvolatile memory device, there is an increasing demand for an electrically erasable programmable read only memory (EEPROM) or a flash EEPROM memory capable of electrically inputting / outputting data. The flash EEPROM memory device electrically performs programming and erasing of data using F-N tunneling or channel hot electron injection. The flash memory device may be classified into a nonvolatile memory device of a floating gate type and a nonvolatile memory device of a silicon oxide nitride oxide semiconductor (SONOS) or a metal oxide nitride oxide semiconductor (MONOS) type.

상기 SONOS 또는 MONOS 타입의 불휘발성 메모리 장치는 반도체 기판 상에 형성된 터널 절연막, 상기 채널 영역으로부터 전자들을 트랩핑하기 위한 전하 트랩핑 막, 상기 전하 트랩핑 막 상에 형성된 유전막, 상기 유전막 상에 형성된 게이트 전극, 상기 게이트 전극의 측면들 상에 형성된 스페이서를 포함할 수 있다.The SONOS or MONOS type nonvolatile memory device includes a tunnel insulating film formed on a semiconductor substrate, a charge trapping film for trapping electrons from the channel region, a dielectric film formed on the charge trapping film, and a gate formed on the dielectric film. An electrode may include a spacer formed on side surfaces of the gate electrode.

상기 SONOS 또는 MONOS 타입의 불휘발성 메모리 장치는 싱글 레벨 셀(single level cell; SLC) 또는 멀티 레벨 셀(multi level cell; MLC)로서 사용될 수 있다.The SONOS or MONOS type nonvolatile memory device may be used as a single level cell (SLC) or a multi level cell (MLC).

상기 불휘발성 메모리 장치가 싱글 레벨 셀로서 사용되는 경우, 상기 전하 트랩핑 막에는 ‘0’ 또는 ‘1’의 로직 상태가 저장될 수 있다.When the nonvolatile memory device is used as a single level cell, a logic state of '0' or '1' may be stored in the charge trapping layer.

상기 불휘발성 메모리 장치(10)가 멀티 레벨 셀로서 사용되는 경우, 상기 전하 트랩핑 막에는 ‘00’, ‘01’, ‘10’ 또는 ‘11’의 로직 상태가 저장될 수 있다.When the nonvolatile memory device 10 is used as a multi-level cell, a logic state of '00', '01', '10', or '11' may be stored in the charge trapping layer.

상기 불휘발성 메모리 장치가 멀티 레벨 셀로서 사용되는 경우, 상기 불휘발성 메모리 장치에는 싱글 레벨 셀로서 사용되는 경우와 비교하여 더 많은 스트레스가 인가될 수 있다. 따라서, 상기 불휘발성 메모리 장치가 멀티 레벨 셀로서 사용되는 경우 개선된 절연파괴전압 특성과 증가된 문턱 전압 윈도우가 요구된다.When the nonvolatile memory device is used as a multi-level cell, more stress may be applied to the nonvolatile memory device as compared with the case where the nonvolatile memory device is used as a single level cell. Thus, when the nonvolatile memory device is used as a multi-level cell, an improved breakdown voltage characteristic and an increased threshold voltage window are required.

상기와 같은 문제점을 해결하기 위한 본 발명의 목적은 개선된 절연파괴전압 특성과 증가된 문턱 전압 윈도우를 갖는 불휘발성 메모리 장치의 제조 방법을 제공하는데 있다.An object of the present invention to solve the above problems is to provide a method of manufacturing a nonvolatile memory device having an improved breakdown voltage characteristics and an increased threshold voltage window.

상기 목적을 달성하기 위한 본 발명의 일 실시예에 따른 불휘발성 메모리 장치의 제조 방법은, 채널 영역을 갖는 기판 상에 터널 절연막을 형성하는 단계와, 상기 터널 절연막 상에 실리콘 질화물 및 하프늄 알루미늄 산화물을 포함하며 상기 채널 영역으로부터 전자들을 트랩핑하기 위한 전하 트랩핑 막을 형성하는 단계와, 상기 전하 트랩핑 막 상에 유전막을 형성하는 단계와, 상기 유전막 상에 도전막을 형성하는 단계와, 상기 도전막, 유전막, 전하 트랩핑 막 및 터널 절연막을 패터닝하여 상기 채널 영역 상에 컨트롤 게이트 전극, 유전막 패턴, 전하 트랩핑 막 패턴 및 터널 절연막 패턴을 포함하는 게이트 구조물을 형성하는 단계를 포함할 수 있다.According to an aspect of the present invention, there is provided a method of manufacturing a nonvolatile memory device, including forming a tunnel insulating film on a substrate having a channel region, and forming silicon nitride and hafnium aluminum oxide on the tunnel insulating film. Forming a charge trapping film for trapping electrons from the channel region, forming a dielectric film on the charge trapping film, forming a conductive film on the dielectric film, the conductive film, Patterning a dielectric film, a charge trapping film, and a tunnel insulating film to form a gate structure including a control gate electrode, a dielectric film pattern, a charge trapping film pattern, and a tunnel insulating film pattern on the channel region.

본 발명의 일 실시예에 따르면, 상기 전하 트랩핑 막을 형성하는 단계는, 상기 터널 절연막 상에 실리콘 질화막을 형성하는 단계와, 상기 실리콘 질화막 상에 하프늄 알루미늄 산화막을 형성하는 단계를 포함할 수 있다. 상기 하프늄 알루미늄 산화막은 원자층 증착을 이용하여 형성될 수 있다.According to an embodiment of the present disclosure, the forming of the charge trapping film may include forming a silicon nitride film on the tunnel insulating film, and forming a hafnium aluminum oxide film on the silicon nitride film. The hafnium aluminum oxide film may be formed using atomic layer deposition.

본 발명의 일 실시예에 따르면, 상기 하프늄 알루미늄 산화막을 형성하는 단계는, 상기 기판 상으로 하프늄을 포함하는 제1 반응 물질을 제공하여 상기 실리콘 질화막 상에 제1 전구체 막을 형성하는 단계와, 상기 기판 상으로 알루미늄을 포함하는 제2 반응 물질을 제공하여 상기 제1 전구체 막 상에 제2 전구체 막을 형성하는 단계와, 상기 제1 및 제2 전구체 막들을 산화시켜 상기 하프늄 알루미늄 산화막을 형성하는 단계를 포함할 수 있다.According to an embodiment of the present disclosure, the forming of the hafnium aluminum oxide layer may include forming a first precursor layer on the silicon nitride layer by providing a first reaction material including hafnium on the substrate; Providing a second reactant material comprising aluminum to form a second precursor film on the first precursor film, and oxidizing the first and second precursor films to form the hafnium aluminum oxide film. can do.

본 발명의 일 실시예에 따르면, 상기 하프늄 알루미늄 산화막을 형성하는 단계는, 상기 기판 상으로 하프늄을 포함하는 제1 반응 물질과 알루미늄을 포함하는 제2 반응 물질을 제공하여 상기 기판 상에 전구체 막을 형성하는 단계와, 상기 전구체 막을 산화시켜 상기 하프늄 알루미늄 산화막을 형성하는 단계를 포함할 수 있다.According to an embodiment of the present disclosure, the forming of the hafnium aluminum oxide layer may include forming a precursor film on the substrate by providing a first reactant including hafnium and a second reactant including aluminum on the substrate. And oxidizing the precursor film to form the hafnium aluminum oxide film.

본 발명의 일 실시예에 따르면, 상기 하프늄 알루미늄 산화막을 형성하는 단계는, 상기 기판 상으로 하프늄을 포함하는 제1 반응 물질을 제공하여 상기 실리콘 질화막 상에 제1 전구체 막을 형성하는 단계와, 상기 제1 전구체 막을 산화시켜 하 프늄 산화막을 형성하는 단계와, 상기 기판 상으로 알루미늄을 포함하는 제2 반응 물질을 제공하여 상기 하프늄 산화막 상에 제2 전구체 막을 형성하는 단계와, 상기 제2 전구체 막을 산화시켜 알루미늄 산화막을 형성하는 단계를 포함할 수 있다.According to an embodiment of the present disclosure, the forming of the hafnium aluminum oxide layer may include forming a first precursor layer on the silicon nitride layer by providing a first reaction material including hafnium on the substrate. Oxidizing a precursor film to form a hafnium oxide film, providing a second reactant including aluminum on the substrate to form a second precursor film on the hafnium oxide film, and oxidizing the second precursor film. It may include the step of forming an aluminum oxide film.

본 발명의 일 실시예에 따르면, 상기 전하 트랩핑 막을 형성하는 단계는, 상기 터널 절연막 상에 하프늄 알루미늄 산화막을 형성하는 단계와, 상기 하프늄 알루미늄 산화막 상에 실리콘 질화막을 형성하는 단계를 포함할 수 있다.According to an embodiment of the present disclosure, the forming of the charge trapping film may include forming a hafnium aluminum oxide film on the tunnel insulating film and forming a silicon nitride film on the hafnium aluminum oxide film. .

본 발명의 일 실시예에 따르면, 상기 전하 트랩핑 막을 형성하는 단계는, 상기 터널 절연막 상에 제1 실리콘 질화막을 형성하는 단계와, 상기 제1 실리콘 질화막 상에 하프늄 알루미늄 산화막을 형성하는 단계와, 상기 하프늄 알루미늄 산화막 상에 제2 실리콘 질화막을 형성하는 단계를 포함할 수 있다.According to an embodiment of the present invention, the forming of the charge trapping film may include forming a first silicon nitride film on the tunnel insulating film, forming a hafnium aluminum oxide film on the first silicon nitride film, And forming a second silicon nitride film on the hafnium aluminum oxide film.

본 발명의 일 실시예에 따르면, 상기 전하 트랩핑 막을 형성하는 단계는, 상기 터널 절연막 상에 제1 하프늄 알루미늄 산화막을 형성하는 단계와, 상기 제1 하프늄 알루미늄 산화막 상에 실리콘 질화막을 형성하는 단계와, 상기 실리콘 질화막 상에 제2 하프늄 알루미늄 산화막을 형성하는 단계를 포함할 수 있다.According to an embodiment of the present invention, the forming of the charge trapping film may include forming a first hafnium aluminum oxide film on the tunnel insulating film, and forming a silicon nitride film on the first hafnium aluminum oxide film; The method may include forming a second hafnium aluminum oxide film on the silicon nitride film.

본 발명의 일 실시예에 따르면, 상기 전하 트랩핑 막을 형성한 후, 상기 기판을 850 내지 1200℃의 온도에서 열처리하는 단계를 더 포함할 수 있다. 상기 열처리는 N2, O2, NH3 및 N2O로 이루어진 군으로부터 선택된 어느 하나 또는 이들의 혼합 가스 분위기에서 수행될 수 있다.According to one embodiment of the present invention, after the charge trapping film is formed, the substrate may further comprise the step of heat treatment at a temperature of 850 to 1200 ℃. The heat treatment may be performed in any one or a mixed gas atmosphere selected from the group consisting of N 2 , O 2 , NH 3 and N 2 O.

본 발명의 일 실시예에 따르면, 상기 유전막을 형성한 후, 상기 기판을 850 내지 1200℃의 온도에서 열처리하는 단계를 더 포함할 수 있다. 상기 열처리는 N2, O2, NH3 및 N2O로 이루어진 군으로부터 선택된 어느 하나 또는 이들의 혼합 가스 분위기에서 수행될 수 있다.According to one embodiment of the present invention, after the dielectric film is formed, the substrate may further comprise the step of heat treatment at a temperature of 850 to 1200 ℃. The heat treatment may be performed in any one or a mixed gas atmosphere selected from the group consisting of N 2 , O 2 , NH 3 and N 2 O.

본 발명의 일 실시예에 따르면, 상기 게이트 구조물을 형성하는 단계는, 상기 도전막을 패터닝하여 상기 컨트롤 게이트 전극을 형성하는 단계와, 상기 유전막, 전하 트랩핑 막 및 터널 절연막을 패터닝하여 상기 유전막 패턴, 전하 트랩핑 막 패턴 및 터널 절연막 패턴을 형성하는 단계를 포함할 수 있다. 또한, 상기 컨트롤 게이트 전극을 형성한 후, 상기 컨트롤 게이트 전극의 측면들 상에 스페이서를 형성하는 단계를 더 포함할 수 있으며, 상기 유전막, 전하 트랩핑 막 및 터널 절연막은 상기 스페이서를 식각 마스크로 이용하는 식각 공정을 통해 패터닝될 수 있다.In example embodiments, the forming of the gate structure may include forming the control gate electrode by patterning the conductive layer, patterning the dielectric layer, the charge trapping layer, and the tunnel insulating layer to form the dielectric layer pattern; And forming a charge trapping film pattern and a tunnel insulating film pattern. The method may further include forming a spacer on side surfaces of the control gate electrode after forming the control gate electrode, wherein the dielectric layer, the charge trapping layer, and the tunnel insulation layer use the spacer as an etching mask. It can be patterned through an etching process.

본 발명의 일 실시예에 따르면, 상기 게이트 구조물이 형성된 후 상기 게이트 구조물과 인접하는 상기 기판의 표면 부위들에 소스/드레인 영역들이 형성될 수 있다.According to an embodiment of the present invention, after the gate structure is formed, source / drain regions may be formed in surface portions of the substrate adjacent to the gate structure.

상기와 같은 본 발명의 실시예들에 따르면, 상기 불휘발성 메모리 장치는 실리콘 질화물 및 하프늄 알루미늄 산화물을 포함하는 전하 트랩핑 막 패턴을 포함한다. 따라서, 상기 불휘발성 메모리 장치의 문턱 전압 윈도우가 증가될 수 있으며, 절연파괴전압 특성이 개선될 수 있다.According to the embodiments of the present invention, the nonvolatile memory device includes a charge trapping film pattern including silicon nitride and hafnium aluminum oxide. Therefore, the threshold voltage window of the nonvolatile memory device can be increased, and the breakdown voltage characteristic can be improved.

이하, 본 발명에 따른 실시예들을 첨부된 도면을 참조하여 상세하게 설명하면 다음과 같다. 그러나, 본 발명은 하기의 실시예들에 한정되지 않고 다른 형태로 구현될 수도 있다. 여기서 소개되는 실시예들은 개시된 내용이 보다 완전해질 수 있도록 그리고 당업자에게 본 발명의 사상과 특징이 충분히 전달될 수 있도록 하기 위해 제공된다. 도면들에 있어서, 각 장치 또는 막(층) 및 영역들의 두께는 본 발명의 명확성을 기하기 위하여 과장되게 도시되었으며, 또한 각 장치는 본 명세서에서 설명되지 아니한 다양한 부가 장치들을 구비할 수 있으며, 막(층)이 다른 막(층) 또는 기판 상에 위치하는 것으로 언급되는 경우, 다른 막(층) 또는 기판 상에 직접 형성되거나 그들 사이에 추가적인 막(층)이 개재될 수 있다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings. However, the present invention is not limited to the following embodiments and may be implemented in other forms. The embodiments introduced herein are provided to make the disclosure more complete and to fully convey the spirit and features of the invention to those skilled in the art. In the drawings, the thickness of each device or film (layer) and regions has been exaggerated for clarity of the invention, and each device may have a variety of additional devices not described herein. When (layer) is mentioned as being located on another film (layer) or substrate, an additional film (layer) may be formed directly on or between the other film (layer) or substrate.

도 1 내지 도 5는 본 발명의 일 실시예에 따른 불휘발성 메모리 장치의 제조 방법을 설명하기 위한 개략적인 단면도들이다.1 to 5 are schematic cross-sectional views illustrating a method of manufacturing a nonvolatile memory device in accordance with an embodiment of the present invention.

도 1을 참조하면, 실리콘웨이퍼와 같은 반도체 기판(100)의 표면 부위에 소자 분리막(미도시)을 형성함으로써 액티브 영역을 정의한다. 구체적으로, 실리콘 부분 산화(Local oxidation of silicon; LOCOS) 공정 또는 셸로우 트렌치 소자분리(shallow trench isolation; STI) 공정을 통해 반도체 기판의(100) 표면 부위에 상기 소자 분리막을 형성한다.Referring to FIG. 1, an active region is defined by forming an isolation layer (not shown) on a surface portion of a semiconductor substrate 100 such as a silicon wafer. Specifically, the device isolation layer is formed on the surface portion of the semiconductor substrate 100 through a local oxidation of silicon (LOCOS) process or a shallow trench isolation (STI) process.

상기 반도체 기판(100) 상에 터널 절연막(102), 전하 트랩핑 막(104), 유전막(110), 및 도전막(112)을 순차적으로 형성한다.The tunnel insulating layer 102, the charge trapping layer 104, the dielectric layer 110, and the conductive layer 112 are sequentially formed on the semiconductor substrate 100.

상기 터널 절연막(102)은 실리콘 산화물(SiO2)로 이루어질 수 있으며, 열 산 화 공정을 통해 약 20 내지 80Å 정도의 두께로 형성될 수 있다. 예를 들면, 상기 터널 절연막(102)은 상기 반도체 기판(100) 상에 약 35Å 정도의 두께로 형성될 수 있다.The tunnel insulating layer 102 may be made of silicon oxide (SiO 2 ), and may be formed to a thickness of about 20 to about 80 μs through a thermal oxidation process. For example, the tunnel insulating layer 102 may be formed on the semiconductor substrate 100 to a thickness of about 35 Å.

상기 전하 트랩핑 막(104)은 상기 반도체 기판(100)의 채널 영역으로부터 전자들을 트랩하기 위하여 형성된다. 상기 전하 트랩핑 막(104)은 실리콘 질화물 및 하프늄 알루미늄 산화물을 포함할 수 있으며, 실리콘 질화막(106) 및 하프늄 알루미늄 산화막(108)의 이중 구조를 가질 수 있다. 상기 실리콘 질화막에 대한 상기 하프늄 알루미늄 산화막의 두께비는 약 1 내지 3 정도일 수 있다.The charge trapping film 104 is formed to trap electrons from the channel region of the semiconductor substrate 100. The charge trapping film 104 may include silicon nitride and hafnium aluminum oxide, and may have a dual structure of the silicon nitride film 106 and the hafnium aluminum oxide film 108. The thickness ratio of the hafnium aluminum oxide layer to the silicon nitride layer may be about 1 to about 3.

상기 실리콘 질화막(106)은 저압 화학 기상 증착에 의해 상기 터널 절연막(102) 상에 약 20 내지 100Å 정도의 두께로 형성될 수 있다. 예를 들면, 상기 실리콘 질화막(106)은 상기 터널 절연막(102) 상에 약 40Å 정도의 두께로 형성될 수 있다.The silicon nitride film 106 may be formed to a thickness of about 20 to about 100 Å on the tunnel insulating film 102 by low pressure chemical vapor deposition. For example, the silicon nitride layer 106 may be formed on the tunnel insulating layer 102 to a thickness of about 40 GPa.

상기 하프늄 알루미늄 산화막(108)은 원자층 증착에 의해 형성될 수 있으며, 상기 실리콘 질화막(106) 상에 약 20 내지 300Å 정도의 두께로 형성될 수 있다. 예를 들면, 상기 하프늄 알루미늄 산화막(108)은 약 120Å 정도의 두께로 형성될 수 있다. 특히, 상기 하프늄 알루미늄 산화막(108)은 그 등가 산화막 두께가 약 10 내지 30Å 정도, 예를 들면 약 17Å 정도가 되도록 형성될 수 있다.The hafnium aluminum oxide film 108 may be formed by atomic layer deposition, and may be formed on the silicon nitride film 106 to a thickness of about 20 to about 300 kPa. For example, the hafnium aluminum oxide film 108 may be formed to a thickness of about 120 GPa. In particular, the hafnium aluminum oxide film 108 may be formed such that the equivalent oxide film thickness is about 10 to 30 kPa, for example, about 17 kPa.

이하, 상기 하프늄 알루미늄 산화막(108)을 형성하는 방법을 상세하게 설명한다.Hereinafter, a method of forming the hafnium aluminum oxide film 108 will be described in detail.

상기 실리콘 질화막(106)이 형성된 반도체 기판(100)을 원자층 증착을 위한 챔버(미도시) 내에 위치시킨다. 이때, 상기 챔버 내부의 온도는 약 150 내지 400℃ 정도로 유지될 수 있으며, 압력은 약 0.1 내지 3.0torr 정도로 유지될 수 있다. 예를 들면, 상기 챔버 내부의 온도는 약 300℃ 정도로 유지되며, 압력은 약 1.0torr 정도로 유지될 수 있다.The semiconductor substrate 100 on which the silicon nitride film 106 is formed is positioned in a chamber (not shown) for atomic layer deposition. In this case, the temperature inside the chamber may be maintained at about 150 to 400 ℃ degree, the pressure may be maintained at about 0.1 to 3.0 torr. For example, the temperature inside the chamber may be maintained at about 300 ° C., and the pressure may be maintained at about 1.0 torr.

이어서, 상기 반도체 기판(100) 상으로 하프늄 전구체를 포함하는 제1 반응 물질을 제공하여 상기 실리콘 질화막(106) 상에 제1 전구체 막을 형성한다. 구체적으로, 상기 제1 반응 물질로는 기상의 하프늄 전구체가 사용될 수 있으며, 상기 기상의 하프늄 전구체는 질소 또는 아르곤과 같은 캐리어 가스에 의해 운반될 수 있다. 상기 기상의 하프늄 전구체 가스는 액체 전달 시스템(liquid delivery system; LDS) 또는 버블러 시스템(bubbler system)을 통해 제공될 수 있다.Subsequently, a first reaction material including a hafnium precursor is provided on the semiconductor substrate 100 to form a first precursor film on the silicon nitride film 106. Specifically, a gaseous hafnium precursor may be used as the first reactant, and the gaseous hafnium precursor may be carried by a carrier gas such as nitrogen or argon. The gaseous hafnium precursor gas may be provided through a liquid delivery system (LDS) or a bubbler system.

상기 하프늄 전구체로는 TDMAH(tetrakis dimethyl amino hafnium, Hf[N(CH3)2]4), TEMAH(tetrakis ethyl methyl amino hafnium, Hf[N(C2H5)CH3]4), TDEAH(tetrakis diethyl amino hafnium, Hf[N(C2H5)2]4), Hf[OC(CH3)2CH2OCH3]4, Hf[OC(CH3)3]4 등이 사용될 수 있으며, 이들은 혼합물의 형태로 사용될 수도 있다.The hafnium precursor is TDMAH (tetrakis dimethyl amino hafnium, Hf [N (CH 3 ) 2 ] 4 ), TEMAH (tetrakis ethyl methyl amino hafnium, Hf [N (C 2 H 5 ) CH 3 ] 4 ), TDEAH (tetrakis) diethyl amino hafnium, Hf [N (C 2 H 5 ) 2 ] 4 ), Hf [OC (CH 3 ) 2 CH 2 OCH 3 ] 4 , Hf [OC (CH 3 ) 3 ] 4 , and the like. It can also be used in the form of a mixture.

상기 제1 반응 물질은 약 0.5 내지 3초 동안 상기 반도체 기판(100) 상으로 제공될 수 있다. 예를 들면, 상기 제1 반응 물질은 약 2초 동안 상기 반도체 기판(100) 상으로 제공될 수 있다.The first reactant may be provided on the semiconductor substrate 100 for about 0.5 to 3 seconds. For example, the first reactant material may be provided on the semiconductor substrate 100 for about 2 seconds.

상기와 같이 반도체 기판(100) 상으로 제공된 제1 반응 물질의 일부는 상기 실리콘 질화막(106) 상에 화학 흡착되어 제1 전구체 막을 형성하며, 나머지는 상기 제1 전구체 막 상에 물리 흡착되거나 상기 챔버 내에서 표류한다.A portion of the first reactant material provided on the semiconductor substrate 100 as described above is chemisorbed on the silicon nitride film 106 to form a first precursor film, and the rest is physically adsorbed on the first precursor film or the chamber. Drift within.

상기 제1 전구체 막을 형성한 후, 상기 챔버 내부로 퍼지 가스를 제공하면서 상기 챔버를 진공 배기시킨다. 상기 퍼지 가스로는 질소 또는 아르곤이 사용될 수 있으며, 상기 퍼지 가스는 약 0.5 내지 5초 동안 공급될 수 있다. 예를 들면, 상기 퍼지 가스는 약 2초 동안 공급될 수 있다.After forming the first precursor film, the chamber is evacuated while providing a purge gas into the chamber. Nitrogen or argon may be used as the purge gas, and the purge gas may be supplied for about 0.5 to 5 seconds. For example, the purge gas may be supplied for about 2 seconds.

상기 제1 전구체 막 상에 물리 흡착된 제1 반응 물질과 상기 챔버 내에 표류하는 제1 반응 물질은 상기 챔버 내로 공급되는 퍼지 가스와 함께 상기 챔버로부터 진공 배기된다.The first reactant physically adsorbed on the first precursor film and the first reactant drifting in the chamber are evacuated from the chamber together with the purge gas supplied into the chamber.

상기 챔버를 퍼지시킨 후, 상기 반도체 기판(100) 상으로 알루미늄 전구체를 포함하는 제2 반응 물질을 제공하여 상기 제1 전구체 막 상에 제2 전구체 막을 형성한다. 구체적으로, 질소 또는 아르곤을 캐리어 가스로 사용하여 반도체 기판(100)의 상부로 기상의 알루미늄 전구체를 도입한다. 상기 알루미늄 전구체의 예로는 TMA(trimethyl aluminium, Al(CH3)3), TEA(triethyl aluminium, Al(C2H5)3) 등이 있으며, 이들의 혼합물이 사용될 수도 있다. 상기 제2 반응 물질은 약 0.5초 내지 3초 동안 상기 반도체 기판(100) 상으로 도입될 수 있다. 예를 들면, 상기 제2 반응 물질은 약 2초 동안 반도체 기판(100) 상으로 도입될 수 있다.After purging the chamber, a second reaction material including an aluminum precursor is provided on the semiconductor substrate 100 to form a second precursor film on the first precursor film. Specifically, gaseous aluminum precursor is introduced into the upper portion of the semiconductor substrate 100 using nitrogen or argon as a carrier gas. Examples of the aluminum precursors include TMA (trimethyl aluminum, Al (CH 3 ) 3 ), TEA (triethyl aluminum, Al (C 2 H 5 ) 3 ), and mixtures thereof. The second reactant may be introduced onto the semiconductor substrate 100 for about 0.5 to 3 seconds. For example, the second reactant may be introduced onto the semiconductor substrate 100 for about 2 seconds.

상기와 같이 반도체 기판(100) 상으로 제공된 제2 반응 물질의 일부는 상기 제1 전구체 막 상에 화학 흡착되어 제2 전구체 막을 형성하며, 나머지는 상기 제2 전구체 막 상에 물리 흡착되거나 상기 챔버 내에서 표류한다.A portion of the second reactant material provided on the semiconductor substrate 100 as described above is chemisorbed on the first precursor film to form a second precursor film, and the rest is physically adsorbed on the second precursor film or in the chamber. Drift at.

상기 제2 전구체 막을 형성한 후, 상기 챔버 내부로 퍼지 가스를 제공하면서 상기 챔버를 진공 배기시킨다. 상기 퍼지 가스로는 질소 또는 아르곤이 사용될 수 있으며, 상기 퍼지 가스는 약 0.5 내지 5초 동안 공급될 수 있다. 예를 들면, 상기 퍼지 가스는 약 2초 동안 공급될 수 있다.After forming the second precursor film, the chamber is evacuated while providing a purge gas into the chamber. Nitrogen or argon may be used as the purge gas, and the purge gas may be supplied for about 0.5 to 5 seconds. For example, the purge gas may be supplied for about 2 seconds.

상기 제2 전구체 막 상에 물리 흡착된 제2 반응 물질과 상기 챔버 내에 표류하는 제2 반응 물질은 상기 챔버 내로 공급되는 퍼지 가스와 함께 상기 챔버로부터 진공 배기된다.The second reactant material physically adsorbed on the second precursor film and the second reactant material drifting in the chamber are evacuated from the chamber together with the purge gas supplied into the chamber.

상기 챔버를 퍼지시킨 후, 상기 반도체 기판(100) 상으로 산화제를 공급하여 상기 제1 및 제2 전구체 막들을 산화시킴으로써 상기 실리콘 질화막(106) 상에 하프늄 알루미늄 산화막(108)을 형성한다.After purging the chamber, the hafnium aluminum oxide film 108 is formed on the silicon nitride film 106 by oxidizing the first and second precursor films by supplying an oxidant onto the semiconductor substrate 100.

상기 산화제의 예로서는 O3, O2, H2O, 플라즈마 O2 등을 들 수 있다. 이들은 단독으로 사용될 수 있으며, 경우에 따라 이들의 혼합이 사용될 수도 있다. 예를 들면, 상기 제1 및 제2 전구체 막들 상으로 O3이 약 1 내지 5초 동안 공급될 수 있다. 특히, 상기 산화제는 상기 제1 및 제2 전구체 막들 상으로 약 3초 동안 공급될 수 있다.Examples of the oxidant include O 3 , O 2 , H 2 O, plasma O 2 , and the like. These may be used alone or a mixture thereof may be used in some cases. For example, O 3 may be supplied over the first and second precursor films for about 1 to 5 seconds. In particular, the oxidant may be supplied over the first and second precursor films for about 3 seconds.

상기 하프늄 알루미늄 산화막(108)을 형성한 후, 상기 챔버 내부로 퍼지 가스를 공급하여 상기 제1 및 제2 전구체 막들과 상기 산화제의 반응에 의해 발생된 반응 부산물과 잔여 산화제를 챔버로부터 제거한다. 상기 퍼지 가스는 약 1초 내지 5초 동안 공급될 수 있다. 예를 들면, 상기 퍼지 가스는 약 3초 동안 공급될 수 있 다.After the hafnium aluminum oxide film 108 is formed, a purge gas is supplied into the chamber to remove reaction by-products and residual oxidants generated by the reaction between the first and second precursor films and the oxidant from the chamber. The purge gas may be supplied for about 1 second to 5 seconds. For example, the purge gas may be supplied for about 3 seconds.

상기와 같이 하프늄 알루미늄 산화막(108)을 형성하기 위한 단계들은 목적하는 두께를 갖는 전하 트랩핑 막(104)이 형성될 때까지 반복적으로 수행될 수 있다.As described above, the steps for forming the hafnium aluminum oxide film 108 may be repeatedly performed until the charge trapping film 104 having the desired thickness is formed.

본 발명의 다른 실시예에 따르면, 상기 제1 반응 물질과 제2 반응 물질은 동시에 공급될 수도 있다. 구체적으로, 상기 제1 반응 물질과 제2 반응 물질을 동시에 반도체 기판(100) 상으로 제공하여 전구체 막을 형성한 후, 상기 전구체 막 상으로 산화제를 공급하여 상기 실리콘 질화막(106) 상에 하프늄 알루미늄 산화막(108)을 형성할 수 있다.According to another embodiment of the present invention, the first reactant and the second reactant may be supplied simultaneously. Specifically, the first reactant and the second reactant are simultaneously provided on the semiconductor substrate 100 to form a precursor film, and then an oxidant is supplied onto the precursor film to hafnium aluminum oxide film on the silicon nitride film 106. 108 can be formed.

본 발명의 또 다른 실시예에 따르면, 상기 실리콘 질화막(106) 상에 하프늄 산화막과 알루미늄 산화막을 반복적으로 형성할 수도 있다. 구체적으로, 상기 하프늄 산화막은 상기 제1 반응 물질을 실리콘 질화막(106) 상으로 공급하여 제1 전구체 막을 형성하고, 상기 제1 전구체 막을 산화시킴으로써 형성될 수 있다. 상기 알루미늄 산화막은 상기 하프늄 산화막 상으로 상기 제2 반응 물질을 제공하여 제2 전구체 막을 형성하고, 상기 제2 전구체 막을 산화시킴으로써 형성될 수 있다.According to another embodiment of the present invention, the hafnium oxide film and the aluminum oxide film may be repeatedly formed on the silicon nitride film 106. Specifically, the hafnium oxide film may be formed by supplying the first reactant material onto the silicon nitride film 106 to form a first precursor film and oxidizing the first precursor film. The aluminum oxide layer may be formed by providing the second reaction material on the hafnium oxide layer to form a second precursor layer and oxidizing the second precursor layer.

상술한 바와 같이 전하 트랩핑 막(104)을 형성한 후, 상기 전하 트랩핑 막(104)의 결정화 및 치밀화를 위하여 그리고 상기 전하 트랩핑 막(104) 내의 불순물을 제거하기 위하여 열처리 공정을 수행한다. 상기 열처리 공정은 약 850 내지 1200℃ 정도의 온도로 수행될 수 있으며, N2, O2, NH3, N2O 등의 가스 분위기 또는 이들의 혼합 가스 분위기에서 수행될 수 있다. 예를 들면, 상기 열처리 공정은 약 1080℃ 정도의 온도에서 약 120초 동안 수행될 수 있으며, 퍼니스(furnace)를 이용하여 수행될 있다.After the charge trapping film 104 is formed as described above, a heat treatment process is performed to crystallize and densify the charge trapping film 104 and to remove impurities in the charge trapping film 104. . The heat treatment process may be performed at a temperature of about 850 to 1200 ℃ degree, may be performed in a gas atmosphere such as N 2 , O 2 , NH 3 , N 2 O or a mixed gas atmosphere thereof. For example, the heat treatment process may be performed at a temperature of about 1080 ° C. for about 120 seconds, and may be performed by using a furnace.

상기 열처리 공정을 수행한 후, 상기 전하 트랩핑 막(104) 상에 유전막(110)을 형성한다. 상기 유전막(110)은 상기 전하 트랩핑 막(104)과 상기 도전막(112) 사이에서 블록킹막으로서 기능하며, 상기 전하 트랩핑 막(104)과 상기 도전막(112) 사이에서 전기적인 절연을 제공한다. 상기 유전막(110)은 알루미늄 산화물(Al2O3)로 이루어질 수 있으며, 화학 기상 증착 또는 원자층 증착에 의해 형성될 수 있다. 예를 들면, 상기 유전막(110)은 상기 전하 트랩핑 막(104) 상에 약 100 내지 400Å 정도의 두께로 형성될 수 있다. 특히, 상기 유전막(110)은 상기 전하 트랩핑 막(104) 상에 약 200Å 정도의 두께로 형성될 수 있다.After performing the heat treatment process, the dielectric film 110 is formed on the charge trapping film 104. The dielectric film 110 functions as a blocking film between the charge trapping film 104 and the conductive film 112 and provides electrical insulation between the charge trapping film 104 and the conductive film 112. to provide. The dielectric layer 110 may be made of aluminum oxide (Al 2 O 3 ), and may be formed by chemical vapor deposition or atomic layer deposition. For example, the dielectric layer 110 may be formed on the charge trapping layer 104 to a thickness of about 100 to 400 Å. In particular, the dielectric layer 110 may be formed on the charge trapping layer 104 to a thickness of about 200 Å.

본 발명의 다른 실시예에 따르면, 상기 유전막(110)을 형성한 후 추가적인 열처리를 수행할 수 있다. 예를 들면, 상기 추가 열처리 공정은 약 850 내지 1200℃ 정도의 온도로 수행될 수 있으며, N2, O2, NH3, N2O 등의 가스 분위기 또는 이들의 혼합 가스 분위기에서 수행될 수 있다. 예를 들면, 상기 추가 열처리 공정은 약 1080℃ 정도의 온도에서 약 120초 동안 수행될 수 있으며, 퍼니스(furnace)를 이용하여 수행될 있다.According to another embodiment of the present invention, an additional heat treatment may be performed after the dielectric film 110 is formed. For example, the additional heat treatment process may be performed at a temperature of about 850 to 1200 ℃, may be performed in a gas atmosphere such as N 2 , O 2 , NH 3 , N 2 O or a mixed gas atmosphere thereof. . For example, the additional heat treatment process may be performed at a temperature of about 1080 ° C. for about 120 seconds, and may be performed using a furnace.

본 발명의 또 다른 실시예에 따르면, 상기 유전막(110)을 형성한 후 열처리를 수행하는 경우, 상기 전하 트랩핑 막(104)을 형성한 후에 수행되는 열처리는 생략될 수도 있다.According to another embodiment of the present invention, when the heat treatment is performed after the dielectric film 110 is formed, the heat treatment performed after the charge trapping film 104 is formed may be omitted.

상기 도전막(112)은 제1 금속 질화막(114), 제2 금속 질화막(116) 및 금속막(118)을 포함할 수 있다.The conductive layer 112 may include a first metal nitride layer 114, a second metal nitride layer 116, and a metal layer 118.

상기 제1 금속 질화막(114)은 금속 장벽막으로서 기능하며, 탄탈룸 질화물, 티타늄 질화물, 하프늄 질화물 등으로 이루어질 수 있다. 예를 들면, 상기 제1 금속 질화막(114)은 탄탈룸 질화물로 이루어질 수 있으며, 상기 유전막(110) 상에 약 200Å 정도의 두께로 형성될 수 있다.The first metal nitride film 114 functions as a metal barrier film and may be made of tantalum nitride, titanium nitride, hafnium nitride, or the like. For example, the first metal nitride layer 114 may be formed of tantalum nitride, and may be formed to have a thickness of about 200 μm on the dielectric layer 110.

상기 제2 금속 질화막(116)은 접착막으로서 기능하며, 텅스텐 질화물로 이루어질 수 있다. 예를 들면, 상기 제2 금속 질화막(116)은 상기 제1 금속 질화막(114) 상에 약 50Å 정도의 두께로 형성될 수 있다.The second metal nitride film 116 functions as an adhesive film and may be made of tungsten nitride. For example, the second metal nitride layer 116 may be formed on the first metal nitride layer 114 to have a thickness of about 50 GPa.

상기 금속막(118)은 텅스텐으로 이루어질 수 있으며, 상기 제2 금속 질화막(116) 상에 약 300Å 정도의 두께로 형성될 수 있다. 이와는 다르게, 상기 금속막(118)은 금속 실리사이드로 이루어질 수도 있다. 상기 금속 실리사이드로는 텅스텐 실리사이드, 탄탈룸 실리사이드, 코발트 실리사이드, 티타늄 실리사이드 등이 사용될 수 있다.The metal film 118 may be formed of tungsten, and may be formed on the second metal nitride film 116 to a thickness of about 300 GPa. Alternatively, the metal film 118 may be made of metal silicide. As the metal silicide, tungsten silicide, tantalum silicide, cobalt silicide, titanium silicide, or the like may be used.

도 2를 참조하면, 상기 도전막(112) 상에 포토레지스트 패턴을 형성한다. 상기 포토레지스트 패턴은 본 발명의 기술 분야에서 널리 알려진 포토리소그래피 공정을 이용하여 형성될 수 있다.Referring to FIG. 2, a photoresist pattern is formed on the conductive film 112. The photoresist pattern may be formed using a photolithography process that is well known in the art.

상기 도전막(112)을 패터닝하여 상기 유전막(110) 상에 제1 금속 질화막 패턴(122), 제2 금속 질화막 패턴(124) 및 금속막 패턴(126)을 포함하는 컨트롤 게이트 전극(120)을 형성한다. 예를 들면, 상기 포토레지스트 패턴을 식각 마스크로서 사용하는 이방성 식각 공정을 수행함으로써 상기 컨트롤 게이트 전극(120)을 형성할 수 있다.The conductive layer 112 is patterned to form a control gate electrode 120 including a first metal nitride layer pattern 122, a second metal nitride layer pattern 124, and a metal layer pattern 126 on the dielectric layer 110. Form. For example, the control gate electrode 120 may be formed by performing an anisotropic etching process using the photoresist pattern as an etching mask.

상기 제1 금속 질화막 패턴은 실질적인 게이트 전극으로서 기능할 수 있으며, 상기 금속막 패턴은 실질적인 워드 라인으로서 기능할 수 있다.The first metal nitride layer pattern may function as a substantially gate electrode, and the metal layer pattern may function as a substantial word line.

상기 포토레지스트 패턴은 상기 컨트롤 게이트 전극(120)을 형성한 후, 애싱 및 스트립 공정을 통해 제거될 수 있다.The photoresist pattern may be removed through an ashing and stripping process after forming the control gate electrode 120.

도 3을 참조하면, 상기 컨트롤 게이트 전극(120) 및 상기 유전막(110) 상에 스페이서막(128)을 형성한다. 상기 스페이서막(128)은 실리콘 산화막(130) 및 실리콘 질화막(132)을 포함할 수 있다. 구체적으로, 상기 컨트롤 게이트 전극(120) 및 상기 유전막(110) 상에 실리콘 산화막(130)을 형성한 후, 상기 실리콘 산화막(130) 상에 실리콘 질화막(132)을 형성한다. 상기 실리콘 산화막(130) 및 실리콘 질화막(132)은 화학 기상 증착 공정을 이용하여 각각 형성될 수 있다. 본 발명의 다른 실시예에 따르면, 상기 실리콘 질화막(130)은 상기 실리콘 산화막(132)을 형성한 후 인시튜 방식으로 형성될 수도 있다.Referring to FIG. 3, a spacer layer 128 is formed on the control gate electrode 120 and the dielectric layer 110. The spacer layer 128 may include a silicon oxide layer 130 and a silicon nitride layer 132. In detail, after the silicon oxide layer 130 is formed on the control gate electrode 120 and the dielectric layer 110, the silicon nitride layer 132 is formed on the silicon oxide layer 130. The silicon oxide layer 130 and the silicon nitride layer 132 may be formed using a chemical vapor deposition process, respectively. According to another embodiment of the present invention, the silicon nitride film 130 may be formed in-situ after the silicon oxide film 132 is formed.

도 4를 참조하면, 상기 스페이서막(128)을 이방성 식각하여 상기 컨트롤 게이트 전극(120)의 측면들 상에 이중 스페이서(134)를 형성한다. 상기 이중 스페이서(134)는 실리콘 산화물 스페이서(136)와 실리콘 질화물 스페이서(138)를 포함한다.Referring to FIG. 4, the spacer layer 128 is anisotropically etched to form a double spacer 134 on side surfaces of the control gate electrode 120. The double spacer 134 includes a silicon oxide spacer 136 and a silicon nitride spacer 138.

도 5를 참조하면, 상기 컨트롤 게이트 전극(120) 및 상기 이중 스페이서(134)를 식각 마스크로 사용하는 이방성 식각을 수행하여 상기 유전막(110), 전 하 트랩핑 막(104) 및 터널 절연막(102)으로부터 유전막 패턴(140), 전하 트랩핑 막 패턴(142) 및 터널 절연막 패턴(144)을 형성한다. 상기 전하 트랩핑 막 패턴(142)은 실리콘 질화막(146) 패턴 및 하프늄 알루미늄 산화막 패턴(148)을 포함한다.Referring to FIG. 5, the dielectric layer 110, the charge trapping layer 104, and the tunnel insulation layer 102 may be formed by performing anisotropic etching using the control gate electrode 120 and the double spacer 134 as an etching mask. ), A dielectric film pattern 140, a charge trapping film pattern 142, and a tunnel insulation film pattern 144 are formed. The charge trapping film pattern 142 includes a silicon nitride film 146 pattern and a hafnium aluminum oxide film pattern 148.

결과적으로, 상기 반도체 기판(100)의 채널 영역(100a) 상에 상기 게이트 전극(120), 이중 스페이서(134), 유전막 패턴(140), 전하 트랩핑 막 패턴(142) 및 터널 절연막 패턴(144)을 포함하는 게이트 구조물(150)이 형성된다.As a result, the gate electrode 120, the double spacer 134, the dielectric film pattern 140, the charge trapping film pattern 142, and the tunnel insulating film pattern 144 on the channel region 100a of the semiconductor substrate 100. The gate structure 150 is formed to include.

이어서, 상기 게이트 구조물(150)을 형성하는 동안 발생된 상기 반도체 기판(100) 및 상기 게이트 구조물(150)의 식각 손상을 치유하기 위한 재산화 공정을 수행한다.Subsequently, a reoxidation process is performed to heal etch damage of the semiconductor substrate 100 and the gate structure 150 generated during the formation of the gate structure 150.

상기 게이트 구조물(150)과 인접한 반도체 기판(100)의 표면 부위들에 소스/드레인 영역들(152a, 152b)을 형성한다. 상기 소스/드레인 영역들(152a, 152b)은 상기 게이트 구조물(150)을 이온 주입 마스크로서 이용하는 이온 주입 공정 및 열처리 공정에 의해 형성될 수 있다.Source / drain regions 152a and 152b are formed in surface portions of the semiconductor substrate 100 adjacent to the gate structure 150. The source / drain regions 152a and 152b may be formed by an ion implantation process and a heat treatment process using the gate structure 150 as an ion implantation mask.

도 6은 본 발명의 다른 실시예에 따른 불휘발성 메모리 장치의 제조 방법을 설명하기 위한 단면도이다.6 is a cross-sectional view illustrating a method of manufacturing a nonvolatile memory device in accordance with another embodiment of the present invention.

도 6을 참조하면, 반도체 기판(200) 상에 터널 절연막(202), 전하 트랩핑 막(204), 유전막(210) 및 도전막(212)을 순차적으로 형성한다.Referring to FIG. 6, a tunnel insulating film 202, a charge trapping film 204, a dielectric film 210, and a conductive film 212 are sequentially formed on the semiconductor substrate 200.

상기 전하 트랩핑 막(204)은 하프늄 알루미늄 산화막(206) 및 실리콘 질화막(208)을 포함할 수 있다. 구체적으로, 상기 반도체 기판(200) 상에 터널 절연 막(202)을 형성한 후, 상기 터널 절연막(202) 상에 상기 하프늄 알루미늄 산화막(206)을 약 20 내지 300Å 정도의 두께로 형성한다. 이어서, 상기 하프늄 알루미늄 산화막(206) 상에 실리콘 질화막(208)을 약 20 내지 100Å 정도의 두께로 형성한다.The charge trapping layer 204 may include a hafnium aluminum oxide layer 206 and a silicon nitride layer 208. Specifically, after the tunnel insulating film 202 is formed on the semiconductor substrate 200, the hafnium aluminum oxide film 206 is formed on the tunnel insulating film 202 to a thickness of about 20 to about 300 kPa. Subsequently, a silicon nitride film 208 is formed on the hafnium aluminum oxide film 206 to a thickness of about 20 to about 100 GPa.

상기 하프늄 알루미늄 산화막(206)은 원자층 증착을 이용하여 형성될 수 있으며, 상기 실리콘 질화막(208)은 저압 화학 기상 증착을 이용하여 형성될 수 있다. 상기 하프늄 알루미늄 산화막(206)을 형성하는 방법에 대한 상세한 설명은 도 1을 참조하여 기 설명된 바와 유사하므로 생략하기로 한다.The hafnium aluminum oxide layer 206 may be formed using atomic layer deposition, and the silicon nitride layer 208 may be formed using low pressure chemical vapor deposition. A detailed description of the method of forming the hafnium aluminum oxide film 206 will be omitted since it is similar to that described with reference to FIG. 1.

상기 도전막(212)은 제1 금속 질화막(214), 제2 금속 질화막(216) 및 금속막(218)을 포함할 수 있다.The conductive layer 212 may include a first metal nitride layer 214, a second metal nitride layer 216, and a metal layer 218.

상기와 같이 도전막(212)을 형성한 후, 컨트롤 게이트 전극 및 스페이서를 형성하고, 상기 유전막, 전하 트랩핑 막 및 터널 절연막을 패터닝하여 게이트 구조물을 완성한다. 이어서, 상기 게이트 구조물과 인접하는 반도체 기판(200)의 표면 부위들에 소스/드레인 영역들을 형성한다.After the conductive film 212 is formed as described above, a control gate electrode and a spacer are formed, and the dielectric film, the charge trapping film, and the tunnel insulating film are patterned to complete a gate structure. Subsequently, source / drain regions are formed in surface portions of the semiconductor substrate 200 adjacent to the gate structure.

상기 게이트 구조물 및 소스/드레인 영역들을 형성하는 방법에 대한 상세한 설명은 도 2 내지 도 5를 참조하여 기 설명된 바와 유사하므로 생략한다.A detailed description of the method of forming the gate structure and the source / drain regions is similar to that described above with reference to FIGS.

도 7은 본 발명의 또 다른 실시예에 따른 불휘발성 메모리 장치의 제조 방법을 설명하기 위한 단면도이다.7 is a cross-sectional view for describing a method of manufacturing a nonvolatile memory device according to still another embodiment of the present invention.

도 7을 참조하면, 반도체 기판(300) 상에 터널 절연막(302), 전하 트랩핑 막(304), 유전막(312) 및 도전막(314)을 순차적으로 형성한다.Referring to FIG. 7, the tunnel insulating film 302, the charge trapping film 304, the dielectric film 312 and the conductive film 314 are sequentially formed on the semiconductor substrate 300.

상기 전하 트랩핑 막(304)은 제1 하프늄 알루미늄 산화막(306), 실리콘 질화막(308) 및 제2 하프늄 알루미늄 산화막(310)을 포함할 수 있다. 구체적으로, 상기 반도체 기판(300) 상에 터널 절연막(302)을 형성한 후, 상기 터널 절연막(302) 상에 상기 제1 하프늄 알루미늄 산화막(306)을 약 10 내지 150Å 정도의 두께로 형성한다. 이어서, 상기 제1 하프늄 알루미늄 산화막(306) 상에 실리콘 질화막(308)을 약 20 내지 100Å 정도의 두께로 형성하고, 상기 실리콘 질화막(308) 상에 제2 하프늄 알루미늄 산화막(310)을 약 10 내지 150Å 정도의 두께로 형성한다.The charge trapping film 304 may include a first hafnium aluminum oxide film 306, a silicon nitride film 308, and a second hafnium aluminum oxide film 310. Specifically, after the tunnel insulating film 302 is formed on the semiconductor substrate 300, the first hafnium aluminum oxide film 306 is formed on the tunnel insulating film 302 to a thickness of about 10 to 150 kPa. Subsequently, a silicon nitride film 308 is formed on the first hafnium aluminum oxide film 306 to a thickness of about 20 to about 100 microseconds, and a second hafnium aluminum oxide film 310 is about 10 to about 100 on the silicon nitride film 308. It is formed to a thickness of about 150Å.

상기 제1 및 제2 하프늄 알루미늄 산화막들(306, 310)은 원자층 증착을 이용하여 형성될 수 있으며, 상기 실리콘 질화막(308)은 저압 화학 기상 증착을 이용하여 형성될 수 있다. 상기 제1 및 제2 하프늄 알루미늄 산화막들(306, 310)을 형성하는 방법에 대한 상세한 설명은 도 1을 참조하여 기 설명된 바와 유사하므로 생략하기로 한다.The first and second hafnium aluminum oxide layers 306 and 310 may be formed using atomic layer deposition, and the silicon nitride layer 308 may be formed using low pressure chemical vapor deposition. A detailed description of the method of forming the first and second hafnium aluminum oxide layers 306 and 310 is similar to that described above with reference to FIG. 1 and will be omitted.

상기 도전막(314)은 제1 금속 질화막(316), 제2 금속 질화막(318) 및 금속막(320)을 포함할 수 있다.The conductive layer 314 may include a first metal nitride layer 316, a second metal nitride layer 318, and a metal layer 320.

상기와 같이 도전막(314)을 형성한 후, 컨트롤 게이트 전극 및 스페이서를 형성하고, 상기 유전막, 전하 트랩핑 막 및 터널 절연막을 패터닝하여 게이트 구조물을 완성한다. 이어서, 상기 게이트 구조물과 인접하는 반도체 기판(300)의 표면 부위들에 소스/드레인 영역들을 형성한다.After the conductive film 314 is formed as described above, a control gate electrode and a spacer are formed, and the dielectric film, the charge trapping film, and the tunnel insulating film are patterned to complete a gate structure. Subsequently, source / drain regions are formed in surface portions of the semiconductor substrate 300 adjacent to the gate structure.

상기 게이트 구조물 및 소스/드레인 영역들을 형성하는 방법에 대한 상세한 설명은 도 2 내지 도 5를 참조하여 기 설명된 바와 유사하므로 생략한다.A detailed description of the method of forming the gate structure and the source / drain regions is similar to that described above with reference to FIGS. 2 to 5 and thus will be omitted.

도 8은 본 발명의 또 다른 실시예에 따른 불휘발성 메모리 장치의 제조 방법을 설명하기 위한 단면도이다.8 is a cross-sectional view for describing a method of manufacturing a nonvolatile memory device according to still another embodiment of the present invention.

도 8을 참조하면, 반도체 기판(400) 상에 터널 절연막(402), 전하 트랩핑 막(404), 유전막(412) 및 도전막(414)을 순차적으로 형성한다.Referring to FIG. 8, a tunnel insulating film 402, a charge trapping film 404, a dielectric film 412, and a conductive film 414 are sequentially formed on the semiconductor substrate 400.

상기 전하 트랩핑 막(404)은 제1 실리콘 질화막(406), 하프늄 알루미늄 산화막(408) 및 제2 실리콘 질화막(410)을 포함할 수 있다. 구체적으로, 상기 반도체 기판(400) 상에 터널 절연막(402)을 형성한 후, 상기 터널 절연막(402) 상에 상기 제1 실리콘 질화막(406)을 약 10 내지 50Å 정도의 두께로 형성한다. 이어서, 상기 제1 실리콘 질화막(406) 상에 하프늄 알루미늄 산화막(408)을 약 20 내지 300Å 정도의 두께로 형성하고, 상기 하프늄 알루미늄 산화막(408) 상에 제2 실리콘 질화막(410)을 약 10 내지 50Å 정도의 두께로 형성한다.The charge trapping layer 404 may include a first silicon nitride layer 406, a hafnium aluminum oxide layer 408, and a second silicon nitride layer 410. In detail, after the tunnel insulating film 402 is formed on the semiconductor substrate 400, the first silicon nitride film 406 is formed on the tunnel insulating film 402 to a thickness of about 10 to about 50 kPa. Subsequently, a hafnium aluminum oxide film 408 is formed on the first silicon nitride film 406 to a thickness of about 20 to about 300 microseconds, and a second silicon nitride film 410 is about 10 to about 300 on the hafnium aluminum oxide film 408. Form 50mm thick.

상기 하프늄 알루미늄 산화막(408)은 원자층 증착을 이용하여 형성될 수 있으며, 상기 제1 및 제2 실리콘 질화막들(406, 410)은 저압 화학 기상 증착을 이용하여 형성될 수 있다. 상기 하프늄 알루미늄 산화막(408)을 형성하는 방법에 대한 상세한 설명은 도 1을 참조하여 기 설명된 바와 유사하므로 생략하기로 한다.The hafnium aluminum oxide layer 408 may be formed using atomic layer deposition, and the first and second silicon nitride layers 406 and 410 may be formed using low pressure chemical vapor deposition. A detailed description of the method of forming the hafnium aluminum oxide film 408 is similar to that described above with reference to FIG. 1 and will be omitted.

상기 도전막(414)은 제1 금속 질화막(416), 제2 금속 질화막(418) 및 금속막(420)을 포함할 수 있다.The conductive layer 414 may include a first metal nitride layer 416, a second metal nitride layer 418, and a metal layer 420.

상기와 같이 도전막(414)을 형성한 후, 컨트롤 게이트 전극 및 스페이서를 형성하고, 상기 유전막, 전하 트랩핑 막 및 터널 절연막을 패터닝하여 게이트 구조물을 완성한다. 이어서, 상기 게이트 구조물과 인접하는 반도체 기판(400)의 표면 부위들에 소스/드레인 영역들을 형성한다.After forming the conductive film 414 as described above, a control gate electrode and a spacer are formed, and the dielectric film, the charge trapping film, and the tunnel insulating film are patterned to complete a gate structure. Subsequently, source / drain regions are formed in surface portions of the semiconductor substrate 400 adjacent to the gate structure.

상기 게이트 구조물 및 소스/드레인 영역들을 형성하는 방법에 대한 상세한 설명은 도 2 내지 도 5를 참조하여 기 설명된 바와 유사하므로 생략한다.A detailed description of the method of forming the gate structure and the source / drain regions is similar to that described above with reference to FIGS. 2 to 5 and thus will be omitted.

도 9는 본 발명의 또 다른 실시예에 따른 불휘발성 메모리 장치의 제조 방법을 설명하기 위한 단면도이다.9 is a cross-sectional view for describing a method of manufacturing a nonvolatile memory device according to still another embodiment of the present invention.

도 9를 참조하면, 반도체 기판(500) 상에 터널 절연막(502), 전하 트랩핑 막(504), 유전막(510) 및 도전막(512)을 순차적으로 형성한다.Referring to FIG. 9, a tunnel insulating film 502, a charge trapping film 504, a dielectric film 510, and a conductive film 512 are sequentially formed on a semiconductor substrate 500.

상기 전하 트랩핑 막(504)은 실리콘 질화막들(506)과 하프늄 알루미늄 산화막들(508)이 교대로 적층된 라미네이트(laminate) 구조를 가질 수 있다. 구체적으로, 상기 반도체 기판(500) 상에 터널 절연막(502)을 형성한 후, 상기 터널 절연막(502) 상에 상기 실리콘 질화막들(506)과 알루미늄 산화막들(508)을 반복적으로 형성한다. 각각의 실리콘 질화막들(506)은 약 10 내지 20Å 정도의 두께를 가질 수 있으며, 각각의 하프늄 알루미늄 산화막들(508)은 약 10 내지 60Å 정도의 두께를 가질 수 있다.The charge trapping layer 504 may have a laminate structure in which silicon nitride layers 506 and hafnium aluminum oxide layers 508 are alternately stacked. Specifically, after the tunnel insulating film 502 is formed on the semiconductor substrate 500, the silicon nitride films 506 and the aluminum oxide films 508 are repeatedly formed on the tunnel insulating film 502. Each of the silicon nitride layers 506 may have a thickness of about 10 to about 20 GPa, and each of the hafnium aluminum oxide layers 508 may have a thickness of about 10 to about 60 GPa.

상기 하프늄 알루미늄 산화막들(508)은 원자층 증착을 이용하여 형성될 수 있으며, 상기 실리콘 질화막들(506)은 저압 화학 기상 증착을 이용하여 형성될 수 있다. 상기 하프늄 알루미늄 산화막들(508)을 형성하는 방법에 대한 상세한 설명은 도 1을 참조하여 기 설명된 바와 유사하므로 생략하기로 한다.The hafnium aluminum oxide layers 508 may be formed using atomic layer deposition, and the silicon nitride layers 506 may be formed using low pressure chemical vapor deposition. A detailed description of the method of forming the hafnium aluminum oxide films 508 is similar to that described above with reference to FIG. 1 and will be omitted.

상기 도전막(512)은 제1 금속 질화막(514), 제2 금속 질화막(516) 및 금속막(518)을 포함할 수 있다.The conductive layer 512 may include a first metal nitride layer 514, a second metal nitride layer 516, and a metal layer 518.

상기와 같이 도전막(512)을 형성한 후, 컨트롤 게이트 전극 및 스페이서를 형성하고, 상기 유전막, 전하 트랩핑 막 및 터널 절연막을 패터닝하여 게이트 구조물을 완성한다. 이어서, 상기 게이트 구조물과 인접하는 반도체 기판(500)의 표면 부위들에 소스/드레인 영역들을 형성한다.After the conductive layer 512 is formed as described above, a control gate electrode and a spacer are formed, and the dielectric layer, the charge trapping layer, and the tunnel insulation layer are patterned to complete a gate structure. Subsequently, source / drain regions are formed in surface portions of the semiconductor substrate 500 adjacent to the gate structure.

상기 게이트 구조물 및 소스/드레인 영역들을 형성하는 방법에 대한 상세한 설명은 도 2 내지 도 5를 참조하여 기 설명된 바와 유사하므로 생략한다.A detailed description of the method of forming the gate structure and the source / drain regions is similar to that described above with reference to FIGS. 2 to 5 and thus will be omitted.

다시 도 5를 참조하면, 상기 본 발명의 일 실시예에 따라 제조된 불휘발성 메모리 장치(10)는 싱글 레벨 셀(single level cell; SLC) 또는 멀티 레벨 셀(multi level cell; MLC)로서 사용될 수 있으며, F-N 터널링 또는 채널 열전자 주입을 이용하여 전기적으로 데이터의 프로그래밍 및 소거를 수행할 수 있다.Referring back to FIG. 5, the nonvolatile memory device 10 manufactured according to an embodiment of the present invention may be used as a single level cell (SLC) or a multi level cell (MLC). In addition, data programming and erasing may be performed electrically using FN tunneling or channel hot electron injection.

상기 불휘발성 메모리 장치(10)가 싱글 레벨 셀로서 사용되는 경우, 상기 전하 트랩핑 막 패턴(142)에는 1비트의 정보가 저장될 수 있다. 예를 들면, 상기 전하 트랩핑 막 패턴(142)에는 ‘0’ 또는 ‘1’의 로직 상태가 저장될 수 있다.When the nonvolatile memory device 10 is used as a single level cell, one bit of information may be stored in the charge trapping film pattern 142. For example, a logic state of '0' or '1' may be stored in the charge trapping film pattern 142.

구체적으로, 상기 컨트롤 게이트 전극(120) 약 5 내지 18V 정도의 프로그래밍 전압이 인가되면, 상기 반도체 기판(100)의 채널 영역(100a)으로부터 전자들이 F-N 터널링에 의해 상기 전하 트랩핑 막 패턴(142)의 트랩 사이트들에 트랩된다. 이에 따라, 상기 전하 트랩핑 막 패턴(142)에는 ‘1’의 로직 상태가 저장된다. 즉, 상기 전하 트랩핑 막 패턴(142)에 저장된 로직 상태에 따라 상기 채널 영역(100a)에서의 문턱 전압이 변화되며, 상기 로직 상태는 상기 컨트롤 게이트 전극(120)과 드레인 영역(152b)에 서로 다른 읽기 전압들을 각각 인가하여 상기 채널 영역(100a)에서의 전류를 검출함으로써 판단될 수 있다.Specifically, when a programming voltage of about 5 to 18V is applied to the control gate electrode 120, electrons from the channel region 100a of the semiconductor substrate 100 are tunneled by the FN tunneling pattern 142 by FN tunneling. Traps at trap sites. Accordingly, a logic state of '1' is stored in the charge trapping film pattern 142. That is, the threshold voltage in the channel region 100a is changed according to the logic state stored in the charge trapping film pattern 142, and the logic state is in the control gate electrode 120 and the drain region 152b. It may be determined by detecting different currents in the channel region 100a by applying different read voltages, respectively.

상기 불휘발성 메모리 장치(10)가 멀티 레벨 셀로서 사용되는 경우, 상기 전하 트랩핑 막 패턴(142)에는 ‘00’, ‘01’, ‘10’ 또는 ‘11’의 로직 상태가 저장될 수 있다.When the nonvolatile memory device 10 is used as a multi-level cell, a logic state of '00', '01', '10', or '11' may be stored in the charge trapping film pattern 142. .

구체적으로, 상기 불휘발성 메모리 장치(10)는 상기 전하 트랩핑 막 패턴(142) 내에 트랩되는 전자들의 수에 따라 다른 문턱 전압들을 가질 수 있으며, 상기 문턱 전압들에 따라 상기 불휘발성 메모리 장치(10)에는 ‘00’, ‘01’, ‘10’ 또는 ‘11’의 로직 상태가 저장될 수 있다.Specifically, the nonvolatile memory device 10 may have different threshold voltages according to the number of electrons trapped in the charge trapping film pattern 142, and the nonvolatile memory device 10 according to the threshold voltages. ) May store logic states of '00', '01', '10' or '11'.

특히, 상기 불휘발성 메모리 장치(10)가 멀티 레벨 셀로서 사용되는 경우, 문턱 전압 윈도우가 약 6V 이상인 것이 바람직하다. 상기와 같이 넓은 범위의 문턱 전압 윈도우를 확보하기 위해서는 향상된 고온 스트레스 특성이 요구된다.In particular, when the nonvolatile memory device 10 is used as a multi-level cell, the threshold voltage window is preferably about 6V or more. In order to secure a wide range of threshold voltage windows as described above, improved high temperature stress characteristics are required.

한편, 상기 전하 트랩핑 막 패턴(142)에는 채널 열전자 주입에 의해 전자들이 트랩될 수도 있다. 구체적으로, 상기 컨트롤 게이트 전극(120) 및 상기 드레인 영역(152b)에 프로그래밍 전압들이 인가되고, 상기 소스 영역(152a)이 접지되는 경우, 전자들은 상기 소스 영역(152a)으로부터 상기 드레인 영역(152b)을 향하여 상기 채널 영역(100a)을 통해 이동한다. 이때, 상기 전자들 중 일부는 상기 터널 절연막 패턴(144)의 전위 장벽(potential barrier)을 뛰어넘기에 충분한 에너지를 얻게되며, 상기 전하 트랩핑 막 패턴(142)의 트랩 사이트들에 트랩될 수 있다. 결과적으로, 상기 불휘발성 메모리 장치(10)의 문턱 전압이 상승되며, 이에 따라 상기 불휘발성 메모리 장치(10)에는 1 비트의 정보가 저장될 수 있다.Meanwhile, electrons may be trapped in the charge trapping film pattern 142 by channel hot electron injection. Specifically, when programming voltages are applied to the control gate electrode 120 and the drain region 152b and the source region 152a is grounded, electrons are transferred from the source region 152a to the drain region 152b. To move through the channel region 100a. In this case, some of the electrons may obtain sufficient energy to overcome the potential barrier of the tunnel insulation pattern 144 and may be trapped at the trap sites of the charge trapping layer pattern 142. . As a result, the threshold voltage of the nonvolatile memory device 10 is increased, so that one bit of information may be stored in the nonvolatile memory device 10.

불휘발성 메모리 장치의 고온 스트레스 특성High Temperature Stress Characteristics of Nonvolatile Memory Devices

도 10은 종래의 방법에 의해 형성된 불휘발성 메모리 장치의 커패시턴스를 나타내는 그래프이고, 도 11은 본 발명의 일 실시예에 따라 형성된 불휘발성 메모리 장치의 커패시턴스를 나타내는 그래프이다.FIG. 10 is a graph illustrating capacitance of a nonvolatile memory device formed by a conventional method, and FIG. 11 is a graph illustrating capacitance of a nonvolatile memory device formed according to an embodiment of the present invention.

먼저, 종래의 방법에 따라 반도체 기판 상에 제1 불휘발성 메모리 장치를 제조하였다. 구체적으로, 상기 제1 불휘발성 메모리 장치는 약 35Å 정도의 두께를 가지며 실리콘 산화물로 이루어진 제1 터널 절연막, 약 70Å 정도의 두께를 가지며 실리콘 질화물로 이루어진 제1 전하 트랩핑 막, 약 200Å 정도의 두께를 가지며 알루미늄 산화물로 이루어진 제1 유전막 및 제1 컨트롤 게이트 전극을 포함한다. 상기 제1 컨트롤 게이트 전극은 약 200Å 정도의 두께를 갖는 제1 탄탈룸 질화막, 약 50Å 정도의 두께를 갖는 제1 텅스텐 질화막 및 약 300Å 정도의 두께를 갖는 제1 텅스텐 막을 포함한다.First, a first nonvolatile memory device was manufactured on a semiconductor substrate according to a conventional method. Specifically, the first nonvolatile memory device has a thickness of about 35 GPa, a first tunnel insulating film made of silicon oxide, a thickness of about 70 GPa, a first charge trapping film of silicon nitride, and a thickness of about 200 GPa. And a first dielectric layer and a first control gate electrode made of aluminum oxide. The first control gate electrode includes a first tantalum nitride film having a thickness of about 200 GPa, a first tungsten nitride film having a thickness of about 50 GPa, and a first tungsten film having a thickness of about 300 GPa.

또한, 본 발명의 일 실시예에 따라 반도체 기판 상에 제2 불휘발성 메모리 장치를 제조하였다. 구체적으로, 상기 제2 불휘발성 메모리 장치는 약 35Å 정도의 두께를 가지며 실리콘 산화물로 이루어진 제2 터널 절연막, 제2 전하 트랩핑 막, 약 200Å 정도의 두께를 가지며 알루미늄 산화물로 이루어진 제2 유전막 및 제2 컨트롤 게이트 전극을 포함한다. 상기 제2 컨트롤 게이트 전극은 약 200Å 정도의 두께를 갖는 제2 탄탈룸 질화막, 약 50Å 정도의 두께를 갖는 제2 텅스텐 질화막 및 약 300Å 정도의 두께를 갖는 제2 텅스텐 막을 포함한다. 특히, 상기 제2 전하 트 랩핑 막은 상기 제2 터널 절연막 상에 형성된 실리콘 질화막과 하프늄 알루미늄 산화막을 포함한다. 구체적으로, 상기 제2 터널 절연막을 형성한 후, 상기 제2 터널 절연막 상에 약 40Å 정도의 두께를 갖는 상기 실리콘 질화막을 저압 화학 기상 증착을 통해 형성하였다. 이어서, 상기 실리콘 질화막 상에 등가 산화막 두께가 약 17Å 정도가 되도록 원자층 증착을 통해 상기 하프늄 알루미늄 산화막을 형성하였다. 구체적으로, 상기 실리콘 질화막 상에 상기 하프늄 알루미늄 산화막을 약 120Å 정도의 두께로 형성하였다. 상기 제2 전하 트랩핑 막을 형성한 후 약 1080℃의 온도에서 약 120초 동안 열처리를 수행하였다.In addition, a second nonvolatile memory device is fabricated on a semiconductor substrate according to an embodiment of the present invention. Specifically, the second nonvolatile memory device may have a thickness of about 35 GPa, a second tunnel insulating film made of silicon oxide, a second charge trapping film, a second dielectric film made of aluminum oxide, and a thickness of about 200 GPa. 2 control gate electrodes. The second control gate electrode includes a second tantalum nitride film having a thickness of about 200 GPa, a second tungsten nitride film having a thickness of about 50 GPa, and a second tungsten film having a thickness of about 300 GPa. In particular, the second charge trapping film includes a silicon nitride film and a hafnium aluminum oxide film formed on the second tunnel insulating film. Specifically, after the second tunnel insulating film is formed, the silicon nitride film having a thickness of about 40 GPa is formed on the second tunnel insulating film through low pressure chemical vapor deposition. Subsequently, the hafnium aluminum oxide film was formed on the silicon nitride film through atomic layer deposition so that an equivalent oxide film thickness was about 17 kPa. Specifically, the hafnium aluminum oxide film was formed on the silicon nitride film to a thickness of about 120 GPa. After forming the second charge trapping film, heat treatment was performed at a temperature of about 1080 ° C. for about 120 seconds.

이어서, 상기 제1 및 제2 불휘발성 메모리 장치들의 문턱 전압 윈도우가 각각 약 6.1V 정도가 되도록 고온 스트레스 특성 시험을 수행하였다.Subsequently, the high temperature stress characteristic test was performed such that the threshold voltage windows of the first and second nonvolatile memory devices were about 6.1V.

1) 상기 제1 불휘발성 메모리 장치를 형성한 후 커패시턴스를 측정하였으며, 그 결과(1; 초기값)를 도 10에 도시하였다.1) After the formation of the first nonvolatile memory device, the capacitance was measured, and the result (1; initial value) is shown in FIG. 10.

2) 상기 제1 불휘발성 메모리 장치의 프로그래밍 동작을 수행한 후 커패시턴스를 측정하였으며, 그 결과(2)를 도 10에 도시하였다.2) Capacitance was measured after the programming operation of the first nonvolatile memory device, and the result (2) is shown in FIG. 10.

3) 상기 제1 불휘발성 메모리 장치의 소거 동작을 수행한 후 커패시턴스를 측정하였으며, 그 결과(3)를 도 10에 도시하였다.3) After performing the erase operation of the first nonvolatile memory device, the capacitance was measured, and the result 3 is shown in FIG. 10.

4) 상기 제1 불휘발성 메모리 장치의 프로그래밍 동작과 소거 동작을 1200회 반복적으로 수행하였다. 여기서, 상기 동작들을 수행하는 동안 상기 제1 불휘발성 메모리 장치에는 +16.0V 정도의 프로그래밍 전압이 인가되었으며, -18.7V 정도의 소거 전압이 인가되었다. 또한, 상기 동작들을 수행하는 동안 상기 프로그래밍 전 압은 100㎲ 동안 인가되었으며, 상기 소거 전압은 10㎳ 동안 인가되었다. 상기 동작들을 수행한 후 커패시턴스를 측정하였으며, 그 결과(4)를 도 10에 도시하였다.4) The programming and erasing operations of the first nonvolatile memory device were repeatedly performed 1200 times. Here, a programming voltage of about + 16.0V is applied to the first nonvolatile memory device and an erase voltage of about -18.7V is applied to the first nonvolatile memory device during the operations. In addition, the programming voltage was applied for 100 kV while the erase voltage was applied for 10 kV while performing the operations. After performing the above operations, the capacitance was measured, and the result (4) is shown in FIG.

5) 상기 동작들을 수행한 후 상기 제1 불휘발성 메모리 장치를 약 200℃의 온도로 약 2시간 동안 베이크(bake) 처리하였다. 상기 베이크 처리를 수행한 후 상기 제1 불휘발성 메모리 장치의 커패시턴스를 측정하였으며, 그 결과(5)를 도 10에 도시하였다.5) After performing the operations, the first nonvolatile memory device is baked at a temperature of about 200 ° C. for about 2 hours. After performing the bake process, the capacitance of the first nonvolatile memory device was measured, and the result 5 is shown in FIG. 10.

6) 상기 제2 불휘발성 메모리 장치를 형성한 후 커패시턴스를 측정하였으며, 그 결과(6; 초기값)를 도 11에 도시하였다.6) Capacitance was measured after forming the second nonvolatile memory device, and the result (6; initial value) is shown in FIG. 11.

7) 상기 제2 불휘발성 메모리 장치의 프로그래밍 동작을 수행한 후 커패시턴스를 측정하였으며, 그 결과(7)를 도 11에 도시하였다.7) Capacitance was measured after the programming operation of the second nonvolatile memory device, and the result 7 is shown in FIG. 11.

8) 상기 제2 불휘발성 메모리 장치의 소거 동작을 수행한 후 커패시턴스를 측정하였으며, 그 결과(8)를 도 11에 도시하였다.8) Capacitance was measured after performing the erase operation of the second nonvolatile memory device, and the result 8 is shown in FIG. 11.

9) 상기 제2 불휘발성 메모리 장치의 프로그래밍 동작과 소거 동작을 1200회 반복적으로 수행하였다. 여기서, 상기 동작들을 수행하는 동안 상기 제2 불휘발성 메모리 장치에는 +15.7V 정도의 프로그래밍 전압이 인가되었으며, -17.2V 정도의 소거 전압이 인가되었다. 또한, 상기 동작들을 수행하는 동안 상기 프로그래밍 전압은 100㎲ 동안 인가되었으며, 상기 소거 전압은 10㎳ 동안 인가되었다. 상기 동작들을 수행한 후 커패시턴스를 측정하였으며, 그 결과(9)를 도 11에 도시하였다.9) The programming and erasing operations of the second nonvolatile memory device are repeatedly performed 1200 times. Here, a programming voltage of about + 15.7V is applied to the second nonvolatile memory device and an erase voltage of about -17.2V is applied to the second nonvolatile memory device during the operations. In addition, the programming voltage was applied for 100 kV while the erase voltage was applied for 10 kV while performing the operations. After performing the above operations, the capacitance was measured, and the result 9 is shown in FIG.

10) 상기 동작들을 수행한 후 상기 제2 불휘발성 메모리 장치를 약 200℃의 온도로 약 2시간 동안 베이크(bake) 처리하였다. 상기 베이크 처리를 수행한 후 상 기 제2 불휘발성 메모리 장치의 커패시턴스를 측정하였으며, 그 결과(10)를 도 11에 도시하였다.10) After performing the operations, the second nonvolatile memory device is baked at a temperature of about 200 ° C. for about 2 hours. After performing the bake process, the capacitance of the second nonvolatile memory device was measured, and the result 10 is illustrated in FIG. 11.

도 10 및 도 11을 참조하면, 제2 불휘발성 메모리 장치는 제1 불휘발성 메모리 장치와 비교하여 유사한 고온 스트레스 특성을 갖는다. 즉, 제1 및 제2 불휘발성 메모리 장치들 모두 고온의 열적 스트레스가 인가된 후 약 0.5V 정도의 문턱 전압 감소가 발생되었다. 그러나, 상기와 같은 고온 스트레스 특성 평가를 통하여 상기 제2 불휘발성 메모리 장치에 인가되는 프로그래밍 전압과 소거 전압을 조절할 경우, 목적하는 문턱 전압 윈도우를 확보할 수 있음이 확인되었다.10 and 11, the second nonvolatile memory device has a similar high temperature stress characteristic as compared with the first nonvolatile memory device. That is, after the high temperature thermal stress is applied to both of the first and second nonvolatile memory devices, a threshold voltage decrease of about 0.5V occurs. However, through the evaluation of the high temperature stress characteristic as described above, it is confirmed that the target threshold window can be secured when the programming voltage and the erase voltage applied to the second nonvolatile memory device are adjusted.

불휘발성 메모리 장치의 문턱 전압 특성Threshold Voltage Characteristics of Nonvolatile Memory Devices

도 12는 종래의 방법에 의해 형성된 불휘발성 메모리 장치의 문턱 전압을 나타내는 그래프이고, 도 13은 본 발명의 일 실시예에 따라 형성된 불휘발성 메모리 장치의 문턱 전압을 나타내는 그래프이다.12 is a graph illustrating threshold voltages of a nonvolatile memory device formed by a conventional method, and FIG. 13 is a graph illustrating threshold voltages of a nonvolatile memory device formed according to an embodiment of the present invention.

먼저, 상기 제1 불휘발성 메모리 장치의 제조 방법과 실질적으로 동일한 방법으로 제3 불휘발성 메모리 장치를 제조하였으며, 상기 제2 불휘발성 메모리 장치의 제조 방법과 실질적으로 동일한 방법으로 제4 불휘발성 메모리 장치를 제조하였다.First, a third nonvolatile memory device is manufactured by a method substantially the same as a method of manufacturing the first nonvolatile memory device, and a fourth nonvolatile memory device is substantially the same as a method of manufacturing the second nonvolatile memory device. Was prepared.

11) 상기 제3 불휘발성 메모리 장치를 제조한 후 커패시턴스를 측정하였으며, 그 결과(11; 초기값)를 도 12에 도시하였다.11) Capacitance was measured after fabricating the third nonvolatile memory device, and the result (11; initial value) is shown in FIG. 12.

12) 상기 제3 불휘발성 메모리 장치의 프로그래밍 동작을 수행한 후 커패시 턴스를 측정하였으며, 그 결과(12)를 도 12에 도시하였다. 상기 프로그래밍 동작에서는 +17.0V의 프로그래밍 전압이 100㎲ 동안 인가되었다.12) After performing the programming operation of the third nonvolatile memory device, the capacitance was measured, and the result 12 is shown in FIG. 12. In this programming operation, a programming voltage of + 17.0V was applied for 100 kV.

13) 상기 제3 불휘발성 메모리 장치의 소거 동작을 수행한 후 커패시턴스를 측정하였으며, 그 결과(13)를 도 12에 도시하였다. 상기 소거 동작에서는 -19.0V의 소거 전압이 10㎳ 동안 인가되었다.13) After performing the erase operation of the third nonvolatile memory device, the capacitance was measured, and the result 13 is shown in FIG. 12. In the erase operation, an erase voltage of -19.0 V was applied for 10 kV.

14) 상기 제4 불휘발성 메모리 장치를 제조한 후 커패시턴스를 측정하였으며, 그 결과(14; 초기값)를 도 13에 도시하였다.14) Capacitance was measured after fabricating the fourth nonvolatile memory device, and the result 14 (initial value) is shown in FIG. 13.

15) 상기 제4 불휘발성 메모리 장치의 프로그래밍 동작을 수행한 후 커패시턴스를 측정하였으며, 그 결과(15)를 도 13에 도시하였다. 상기 프로그래밍 동작에서는 +17.0V의 프로그래밍 전압이 100㎲ 동안 인가되었다.15) Capacitance was measured after the programming operation of the fourth nonvolatile memory device, and the result 15 is shown in FIG. 13. In this programming operation, a programming voltage of + 17.0V was applied for 100 kV.

16) 상기 제4 불휘발성 메모리 장치의 소거 동작을 수행한 후 커패시턴스를 측정하였으며, 그 결과(16)를 도 13에 도시하였다. 상기 소거 동작에서는 -19.0V의 소거 전압이 10㎳ 동안 인가되었다.16) The capacitance was measured after performing the erase operation of the fourth nonvolatile memory device, and the result 16 is shown in FIG. In the erase operation, an erase voltage of -19.0 V was applied for 10 kV.

도 12 및 도 13을 참조하면, 상기 제3 불휘발성 메모리 장치의 문턱 전압 윈도우는 약 7.3V 정도로 측정되었으며, 상기 제4 불휘발성 메모리 장치의 문턱 전압 윈도우는 약 8.5V 정도로 측정되었다. 즉, 동일한 프로그래밍 전압과 소거 전압을 상기 제3 및 제4 불휘발성 메모리 장치들에 각각 인가할 경우, 상기 제4 불휘발성 메모리 장치가 향상된 문턱 전압 윈도우를 갖는다는 것이 확인되었다.12 and 13, the threshold voltage window of the third nonvolatile memory device is measured about 7.3V, and the threshold voltage window of the fourth nonvolatile memory device is measured about 8.5V. That is, when the same programming voltage and the erase voltage are applied to the third and fourth nonvolatile memory devices, it is confirmed that the fourth nonvolatile memory device has an improved threshold voltage window.

불휘발성 메모리 장치의 절연파괴전압 특성Breakdown Voltage Characteristics of Nonvolatile Memory Devices

도 14는 종래의 방법에 의해 형성된 불휘발성 메모리 장치의 누설 전류와 본 발명의 일 실시예에 따라 형성된 불휘발성 메모리 장치의 누설 전류를 나타내는 그래프이다.14 is a graph illustrating a leakage current of a nonvolatile memory device formed by a conventional method and a leakage current of a nonvolatile memory device formed according to an embodiment of the present invention.

상기 제3 및 제4 불휘발성 메모리 장치들의 누설 전류를 측정하였으며, 그 결과를 도 14에 도시하였다.The leakage currents of the third and fourth nonvolatile memory devices were measured, and the results are shown in FIG. 14.

도 14를 참조하면, 상기 제3 불휘발성 메모리 장치는 +18.6MV/cm 및 -19.0MV/cm에서 절연 파괴(break-down)가 발생되었으며, 상기 제4 불휘발성 메모리 장치는 +21.9MV/cm 및 -21.6MV/cm에서 절연 파괴가 발생되었다. 즉, 상기 제4 불휘발성 메모리 장치가 상기 제3 불휘발성 메모리 장치와 비교하여 양의 전압 영역에서는 약 3.3MV/cm 정도의 절연파괴전압 특성이 개선되었으며, 음의 전압 영역에서는 약 2.6MV/cm 정도의 절연파괴전압 특성이 개선되었음이 확인되었다.Referring to FIG. 14, an insulation breakdown occurs at + 18.6MV / cm and -19.0MV / cm in the third nonvolatile memory device, and + 21.9MV / cm in the fourth nonvolatile memory device. And dielectric breakdown occurred at -21.6 MV / cm. That is, compared to the third nonvolatile memory device, the fourth nonvolatile memory device has improved insulation breakdown voltage characteristics of about 3.3 MV / cm in the positive voltage region and about 2.6 MV / cm in the negative voltage region. It was confirmed that the degree of dielectric breakdown voltage characteristics was improved.

상기와 같은 본 발명의 실시예들에 따르면, 상기 불휘발성 메모리 장치는 실리콘 질화물 및 하프늄 알루미늄 산화물을 포함하는 전하 트랩핑 막 패턴을 포함한다. 따라서, 상기 불휘발성 메모리 장치의 문턱 전압 윈도우가 증가될 수 있으며, 절연파괴전압 특성이 개선될 수 있다.According to the embodiments of the present invention, the nonvolatile memory device includes a charge trapping film pattern including silicon nitride and hafnium aluminum oxide. Therefore, the threshold voltage window of the nonvolatile memory device can be increased, and the breakdown voltage characteristic can be improved.

상기에서는 본 발명의 바람직한 실시예를 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.Although described above with reference to a preferred embodiment of the present invention, those skilled in the art will be variously modified and changed within the scope of the invention without departing from the spirit and scope of the invention described in the claims below I can understand that you can.

Claims (17)

채널 영역을 갖는 기판 상에 터널 절연막을 형성하는 단계;Forming a tunnel insulating film on a substrate having a channel region; 상기 터널 절연막 상에 실리콘 질화물 및 하프늄 알루미늄 산화물을 포함하며 상기 채널 영역으로부터 전자들을 트랩핑하기 위한 전하 트랩핑 막을 형성하는 단계;Forming a charge trapping film comprising silicon nitride and hafnium aluminum oxide on the tunnel insulating film for trapping electrons from the channel region; 상기 전하 트랩핑 막 상에 유전막을 형성하는 단계;Forming a dielectric film on the charge trapping film; 상기 유전막 상에 도전막을 형성하는 단계; 및Forming a conductive film on the dielectric film; And 상기 도전막, 유전막, 전하 트랩핑 막 및 터널 절연막을 패터닝하여 상기 채널 영역 상에 컨트롤 게이트 전극, 유전막 패턴, 전하 트랩핑 막 패턴 및 터널 절연막 패턴을 포함하는 게이트 구조물을 형성하는 단계를 포함하는 불휘발성 메모리 장치의 제조 방법.Patterning the conductive film, the dielectric film, the charge trapping film, and the tunnel insulating film to form a gate structure including a control gate electrode, a dielectric film pattern, a charge trapping film pattern, and a tunnel insulating film pattern on the channel region. Method of manufacturing volatile memory device. 제1항에 있어서, 상기 전하 트랩핑 막을 형성하는 단계는,The method of claim 1, wherein the forming of the charge trapping film comprises: 상기 터널 절연막 상에 실리콘 질화막을 형성하는 단계; 및Forming a silicon nitride film on the tunnel insulating film; And 상기 실리콘 질화막 상에 하프늄 알루미늄 산화막을 형성하는 단계를 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.And forming a hafnium aluminum oxide film on the silicon nitride film. 제2항에 있어서, 상기 하프늄 알루미늄 산화막은 원자층 증착을 이용하여 형성되는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.The method of claim 2, wherein the hafnium aluminum oxide film is formed using atomic layer deposition. 제2항에 있어서, 상기 하프늄 알루미늄 산화막을 형성하는 단계는,The method of claim 2, wherein the forming of the hafnium aluminum oxide film, 상기 기판 상으로 하프늄을 포함하는 제1 반응 물질을 제공하여 상기 실리콘 질화막 상에 제1 전구체 막을 형성하는 단계;Providing a first reaction material comprising hafnium on the substrate to form a first precursor film on the silicon nitride film; 상기 기판 상으로 알루미늄을 포함하는 제2 반응 물질을 제공하여 상기 제1 전구체 막 상에 제2 전구체 막을 형성하는 단계; 및Providing a second reactant material comprising aluminum on the substrate to form a second precursor film on the first precursor film; And 상기 제1 및 제2 전구체 막들을 산화시켜 상기 하프늄 알루미늄 산화막을 형성하는 단계를 포함하는 것을 특징으로 하는 불휘발성 메모리 제조 방법.And oxidizing the first and second precursor films to form the hafnium aluminum oxide film. 제2항에 있어서, 상기 하프늄 알루미늄 산화막을 형성하는 단계는,The method of claim 2, wherein the forming of the hafnium aluminum oxide film, 상기 기판 상으로 하프늄을 포함하는 제1 반응 물질과 알루미늄을 포함하는 제2 반응 물질을 제공하여 상기 기판 상에 전구체 막을 형성하는 단계; 및Providing a first reactant comprising hafnium and a second reactant comprising aluminum on the substrate to form a precursor film on the substrate; And 상기 전구체 막을 산화시켜 상기 하프늄 알루미늄 산화막을 형성하는 단계를 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.And oxidizing the precursor film to form the hafnium aluminum oxide film. 제2항에 있어서, 상기 하프늄 알루미늄 산화막을 형성하는 단계는,The method of claim 2, wherein the forming of the hafnium aluminum oxide film, 상기 기판 상으로 하프늄을 포함하는 제1 반응 물질을 제공하여 상기 실리콘 질화막 상에 제1 전구체 막을 형성하는 단계;Providing a first reaction material comprising hafnium on the substrate to form a first precursor film on the silicon nitride film; 상기 제1 전구체 막을 산화시켜 하프늄 산화막을 형성하는 단계;Oxidizing the first precursor film to form a hafnium oxide film; 상기 기판 상으로 알루미늄을 포함하는 제2 반응 물질을 제공하여 상기 하프 늄 산화막 상에 제2 전구체 막을 형성하는 단계; 및Providing a second reactive material comprising aluminum on the substrate to form a second precursor film on the hafnium oxide film; And 상기 제2 전구체 막을 산화시켜 알루미늄 산화막을 형성하는 단계를 포함하는 것을 특징으로 하는 불휘발성 메모리 제조 방법.And oxidizing the second precursor film to form an aluminum oxide film. 제1항에 있어서, 상기 전하 트랩핑 막을 형성하는 단계는,The method of claim 1, wherein the forming of the charge trapping film comprises: 상기 터널 절연막 상에 하프늄 알루미늄 산화막을 형성하는 단계; 및Forming a hafnium aluminum oxide film on the tunnel insulating film; And 상기 하프늄 알루미늄 산화막 상에 실리콘 질화막을 형성하는 단계를 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.And forming a silicon nitride film on the hafnium aluminum oxide film. 제1항에 있어서, 상기 전하 트랩핑 막을 형성하는 단계는,The method of claim 1, wherein the forming of the charge trapping film comprises: 상기 터널 절연막 상에 제1 실리콘 질화막을 형성하는 단계;Forming a first silicon nitride film on the tunnel insulating film; 상기 제1 실리콘 질화막 상에 하프늄 알루미늄 산화막을 형성하는 단계; 및Forming a hafnium aluminum oxide film on the first silicon nitride film; And 상기 하프늄 알루미늄 산화막 상에 제2 실리콘 질화막을 형성하는 단계를 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.And forming a second silicon nitride film on the hafnium aluminum oxide film. 제1항에 있어서, 상기 전하 트랩핑 막을 형성하는 단계는,The method of claim 1, wherein the forming of the charge trapping film comprises: 상기 터널 절연막 상에 제1 하프늄 알루미늄 산화막을 형성하는 단계;Forming a first hafnium aluminum oxide film on the tunnel insulating film; 상기 제1 하프늄 알루미늄 산화막 상에 실리콘 질화막을 형성하는 단계; 및Forming a silicon nitride film on the first hafnium aluminum oxide film; And 상기 실리콘 질화막 상에 제2 하프늄 알루미늄 산화막을 형성하는 단계를 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.And forming a second hafnium aluminum oxide film on the silicon nitride film. 제1항에 있어서, 상기 전하 트랩핑 막을 형성한 후, 상기 기판을 850 내지 1200℃의 온도에서 열처리하는 단계를 더 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.The method of claim 1, further comprising heat treating the substrate at a temperature of 850 to 1200 ° C. after forming the charge trapping film. 제10항에 있어서, 상기 열처리는 N2, O2, NH3 및 N2O로 이루어진 군으로부터 선택된 어느 하나 또는 이들의 혼합 가스 분위기에서 수행되는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.The method of claim 10, wherein the heat treatment is performed in any one selected from the group consisting of N 2 , O 2 , NH 3, and N 2 O, or a mixed gas atmosphere thereof. 제1항에 있어서, 상기 유전막을 형성한 후, 상기 기판을 850 내지 1200℃의 온도에서 열처리하는 단계를 더 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.The method of claim 1, further comprising heat treating the substrate at a temperature of 850 to 1200 ° C. after forming the dielectric layer. 제12항에 있어서, 상기 열처리는 N2, O2, NH3 및 N2O로 이루어진 군으로부터 선택된 어느 하나 또는 이들의 혼합 가스 분위기에서 수행되는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.The method of claim 12, wherein the heat treatment is performed in any one selected from the group consisting of N 2 , O 2 , NH 3, and N 2 O, or a mixed gas atmosphere thereof. 제1항에 있어서, 상기 게이트 구조물을 형성하는 단계는,The method of claim 1, wherein the forming of the gate structure comprises: 상기 도전막을 패터닝하여 상기 컨트롤 게이트 전극을 형성하는 단계; 및Patterning the conductive layer to form the control gate electrode; And 상기 유전막, 전하 트랩핑 막 및 터널 절연막을 패터닝하여 상기 유전막 패턴, 전하 트랩핑 막 패턴 및 터널 절연막 패턴을 형성하는 단계를 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.Patterning the dielectric film, the charge trapping film, and the tunnel insulation film to form the dielectric film pattern, the charge trapping film pattern, and the tunnel insulation film pattern. 제14항에 있어서, 상기 컨트롤 게이트 전극의 측면들 상에 스페이서를 형성하는 단계를 더 포함하며, 상기 유전막, 전하 트랩핑 막 및 터널 절연막은 상기 스페이서를 식각 마스크로 이용하는 식각 공정을 통해 패터닝되는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.The method of claim 14, further comprising forming a spacer on side surfaces of the control gate electrode, wherein the dielectric layer, the charge trapping layer, and the tunnel insulation layer are patterned through an etching process using the spacer as an etching mask. A method of manufacturing a nonvolatile memory device. 제1항에 있어서, 상기 게이트 구조물을 형성한 후 상기 게이트 구조물과 인접하는 상기 기판의 표면 부위들에 소스/드레인 영역들을 형성하는 단계를 더 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.The method of claim 1, further comprising forming source / drain regions in surface portions of the substrate adjacent to the gate structure after forming the gate structure. 제1항에 있어서, 상기 전하 트랩핑 막은 적어도 하나의 실리콘 질화막과 적어도 하나의 하프늄 알루미늄 산화막을 포함하며, 상기 실리콘 질화막에 대한 상기 하프늄 알루미늄 산화막의 두께비는 1 내지 3인 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.The nonvolatile memory as claimed in claim 1, wherein the charge trapping film comprises at least one silicon nitride film and at least one hafnium aluminum oxide film, wherein a thickness ratio of the hafnium aluminum oxide film to the silicon nitride film is 1 to 3. Method of manufacturing the device.
KR1020060086600A 2006-09-08 2006-09-08 Method of manufacturing a non-volatile memory device KR100763535B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020060086600A KR100763535B1 (en) 2006-09-08 2006-09-08 Method of manufacturing a non-volatile memory device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060086600A KR100763535B1 (en) 2006-09-08 2006-09-08 Method of manufacturing a non-volatile memory device

Publications (1)

Publication Number Publication Date
KR100763535B1 true KR100763535B1 (en) 2007-10-05

Family

ID=39419140

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060086600A KR100763535B1 (en) 2006-09-08 2006-09-08 Method of manufacturing a non-volatile memory device

Country Status (1)

Country Link
KR (1) KR100763535B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100994711B1 (en) * 2008-05-21 2010-11-17 주식회사 하이닉스반도체 Method for fabricating charge trap type non-volatile memory device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6645882B1 (en) 2002-01-17 2003-11-11 Advanced Micro Devices, Inc. Preparation of composite high-K/standard-K dielectrics for semiconductor devices
JP2004158810A (en) 2002-09-10 2004-06-03 Fujitsu Ltd Nonvolatile semiconductor memory
KR20060011478A (en) * 2004-07-30 2006-02-03 삼성전자주식회사 Non volatile memory device and method for manufacturing thereof
US20060131675A1 (en) 2004-12-22 2006-06-22 Chih-Hao Wang Semiconductor device and method for high-K gate dielectrics

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6645882B1 (en) 2002-01-17 2003-11-11 Advanced Micro Devices, Inc. Preparation of composite high-K/standard-K dielectrics for semiconductor devices
JP2004158810A (en) 2002-09-10 2004-06-03 Fujitsu Ltd Nonvolatile semiconductor memory
KR20060011478A (en) * 2004-07-30 2006-02-03 삼성전자주식회사 Non volatile memory device and method for manufacturing thereof
US20060131675A1 (en) 2004-12-22 2006-06-22 Chih-Hao Wang Semiconductor device and method for high-K gate dielectrics

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100994711B1 (en) * 2008-05-21 2010-11-17 주식회사 하이닉스반도체 Method for fabricating charge trap type non-volatile memory device

Similar Documents

Publication Publication Date Title
KR100644405B1 (en) Gate structure of a non-volatile memory device and method of manufacturing the same
KR100628875B1 (en) Sonos non-volatile memory device and method of manufacturing the same
US7365389B1 (en) Memory cell having enhanced high-K dielectric
KR101033222B1 (en) Method of fabricating the non-volatile memory device having charge trapping layer
US8044454B2 (en) Non-volatile memory device
KR100757324B1 (en) Method of manufacturing a non-volatile memory device
KR100823713B1 (en) Non-volatile memory device and method of manufacturing the same
KR100819003B1 (en) Method for fabricating non-volatile memory device
KR100823715B1 (en) Method of manufacturing a non-volatile memory device
KR100695820B1 (en) Non-volatile semiconductor device and method of manufcaturing the same
KR20050072979A (en) Method for forming dielectric layer for use in non-volatile memory cell
KR100759845B1 (en) Non-volatile memory device and method of manufacturing the same
KR100763535B1 (en) Method of manufacturing a non-volatile memory device
KR100814418B1 (en) Method of manufacturing a non-volatile memory device
KR100905276B1 (en) Flash memory device including multylayer tunnel insulator and method of fabricating the same
KR100807228B1 (en) Method of manufacturing a non-volatile memory device
US20070246768A1 (en) Nonvolatile memory device and method of fabricating the same
KR100814374B1 (en) Method of manufacturing a non-volatile memory device
KR20070058725A (en) Method of manufacturing non-volatile memory device
KR20060101587A (en) Method of manufacturing non-volatile memory device using the same
KR20070013733A (en) Non-volatile memory device and method of manufacturing the same
KR101327500B1 (en) Flash memory devices including multi-layer tunnel insulator and method of fabricating the same
KR100831976B1 (en) A nonvolatile memory device and method for manufacturing the same
KR100953064B1 (en) Method of manufacturing a non-volatile memory device
KR20090010604A (en) Method of manufacturing a non-volatile memory device

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120831

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20130902

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140901

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150831

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee