KR100814374B1 - Method of manufacturing a non-volatile memory device - Google Patents

Method of manufacturing a non-volatile memory device Download PDF

Info

Publication number
KR100814374B1
KR100814374B1 KR1020060091063A KR20060091063A KR100814374B1 KR 100814374 B1 KR100814374 B1 KR 100814374B1 KR 1020060091063 A KR1020060091063 A KR 1020060091063A KR 20060091063 A KR20060091063 A KR 20060091063A KR 100814374 B1 KR100814374 B1 KR 100814374B1
Authority
KR
South Korea
Prior art keywords
film
charge trapping
layer
blocking
forming
Prior art date
Application number
KR1020060091063A
Other languages
Korean (ko)
Inventor
김선정
박영근
박기연
최한메
이승환
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020060091063A priority Critical patent/KR100814374B1/en
Priority to US11/902,209 priority patent/US8114735B2/en
Application granted granted Critical
Publication of KR100814374B1 publication Critical patent/KR100814374B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/4234Gate electrodes for transistors with charge trapping gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors

Abstract

A method for fabricating an NVM(non-volatile memory) device is provided to increase a threshold voltage window of an NVM device by sufficiently avoiding formation of an undesired layer between a charge trapping layer and a blocking layer by a heat treatment. A tunnel insulation layer(102) is formed on a substrate(100) having a channel region. A charge trapping layer for trapping electrons from the channel region is formed on the tunnel insulation layer, including silicon nitride. A heat treatment is performed on the charge trapping layer at a temperature of 1000-1250 ‹C to densify the charge trapping layer. A blocking layer(108) is formed on the heat-treated charge trapping layer(106). A conductive layer is formed on the blocking layer. The conductive layer, the blocking layer, the charge trapping layer and the tunnel insulation layer are patterned to form a gate structure on the channel region. The blocking layer can include an oxide. The blocking layer can include a metal oxide having a higher dielectric constant than that of a silicon nitride.

Description

불휘발성 메모리 장치의 제조 방법{Method of manufacturing a non-volatile memory device}Method of manufacturing a non-volatile memory device

도 1 내지 도 7은 본 발명의 일 실시예에 따른 불휘발성 메모리 장치의 제조 방법을 설명하기 위한 개략적인 단면도들이다.1 to 7 are schematic cross-sectional views illustrating a method of manufacturing a nonvolatile memory device in accordance with an embodiment of the present invention.

도 8은 종래의 방법에 의해 형성된 불휘발성 메모리 장치의 문턱 전압과 본 발명의 일 실시예에 따라 형성된 불휘발성 메모리 장치의 문턱 전압을 나타내는 그래프이다.8 is a graph illustrating a threshold voltage of a nonvolatile memory device formed by a conventional method and a threshold voltage of a nonvolatile memory device formed according to an embodiment of the present invention.

* 도면의 주요부분에 대한 부호의 설명 *Explanation of symbols on the main parts of the drawings

10 : 불휘발성 메모리 장치 100 : 반도체 기판10 nonvolatile memory device 100 semiconductor substrate

102 : 터널 절연막 104 : 전하 트랩핑 막102 tunnel insulating film 104 charge trapping film

106 : 열처리된 전하 트랩핑 막 108 : 블록킹 막106 heat treated charge trapping film 108 blocking film

110 : 도전막 120 : 게이트 전극 구조물110 conductive film 120 gate electrode structure

134 : 이중 스페이서 150 : 게이트 구조물134: double spacer 150: gate structure

152a, 152b : 소스 드레인 영역152a, 152b: source drain region

본 발명은 불휘발성 메모리 장치의 제조 방법에 관한 것이다. 보다 상세하게는, 전하 트랩핑 막을 포함하는 불휘발성 메모리 장치의 제조 방법에 관한 것이다.The present invention relates to a method of manufacturing a nonvolatile memory device. More particularly, the present invention relates to a method of manufacturing a nonvolatile memory device including a charge trapping film.

반도체 메모리 장치는 DRAM(dynamic random access memory) 및 SRAM(static random access memory)과 같이 데이터의 입·출력이 상대적으로 빠른 반면, 시간이 경과됨에 따라 데이터가 소실되는 휘발성(volatile) 메모리 장치와, ROM(read only memory)과 같이 데이터의 입·출력이 상대적으로 느리지만, 데이터를 영구 저장이 가능한 불휘발성(non-volatile) 메모리 장치로 구분될 수 있다. 상기 불휘발성 메모리 장치의 경우, 전기적으로 데이터의 입·출력이 가능한 EEPROM(electrically erasable programmable read only memory) 또는 플래시 EEPROM 메모리에 대한 수요가 늘고 있다. 상기 플래시 EEPROM 메모리 장치는 F-N 터널링(Fowler-Nordheim tunneling) 또는 채널 열전자 주입(channel hot electron injection)을 이용하여 전기적으로 데이터의 프로그래밍(programming) 및 소거(erasing)를 수행한다. 상기 플래시 메모리 장치는 플로팅 게이트 타입의 불휘발성 메모리 장치와 SONOS(silicon oxide nitride oxide semiconductor) 또는 MONOS(metal oxide nitride oxide semiconductor) 타입의 불휘발성 메모리 장치로 크게 구분될 수 있다.Semiconductor memory devices, such as dynamic random access memory (DRAM) and static random access memory (SRAM), have relatively fast data input and output, while volatile memory devices lose data over time, and ROM Although data input and output is relatively slow, such as read only memory, it can be classified as a non-volatile memory device that can store data permanently. In the case of the nonvolatile memory device, there is an increasing demand for an electrically erasable programmable read only memory (EEPROM) or a flash EEPROM memory capable of electrically inputting / outputting data. The flash EEPROM memory device electrically performs programming and erasing of data using F-N tunneling or channel hot electron injection. The flash memory device may be classified into a nonvolatile memory device of a floating gate type and a nonvolatile memory device of a silicon oxide nitride oxide semiconductor (SONOS) or a metal oxide nitride oxide semiconductor (MONOS) type.

상기 SONOS 또는 MONOS 타입의 불휘발성 메모리 장치는 반도체 기판 상에 형성된 터널 절연막, 상기 채널 영역을 통해 이동하는 전자들을 트랩핑하기 위한 전하 트랩핑 막, 상기 전하 트랩핑 막 상에 형성된 블록킹 막, 상기 블록킹 막 상에 형성된 게이트 전극, 상기 게이트 전극의 측면들 상에 형성된 스페이서를 포함할 수 있다.The SONOS or MONOS type nonvolatile memory device includes a tunnel insulating film formed on a semiconductor substrate, a charge trapping film for trapping electrons moving through the channel region, a blocking film formed on the charge trapping film, and the blocking. It may include a gate electrode formed on the film, a spacer formed on the side surfaces of the gate electrode.

상기 SONOS 또는 MONOS 타입의 불휘발성 메모리 장치는 싱글 레벨 셀(single level cell; SLC) 또는 멀티 레벨 셀(multi level cell; MLC)로서 사용될 수 있다.The SONOS or MONOS type nonvolatile memory device may be used as a single level cell (SLC) or a multi level cell (MLC).

상기 불휘발성 메모리 장치가 싱글 레벨 셀로서 사용되는 경우, 상기 전하 트랩핑 막에는 ‘0’ 또는 ‘1’의 로직 상태가 저장될 수 있다.When the nonvolatile memory device is used as a single level cell, a logic state of '0' or '1' may be stored in the charge trapping layer.

상기 불휘발성 메모리 장치(10)가 멀티 레벨 셀로서 사용되는 경우, 상기 전하 트랩핑 막에는 ‘00’, ‘01’, ‘10’ 또는 ‘11’의 로직 상태가 저장될 수 있다.When the nonvolatile memory device 10 is used as a multi-level cell, a logic state of '00', '01', '10', or '11' may be stored in the charge trapping layer.

상기 불휘발성 메모리 장치가 멀티 레벨 셀로서 사용되는 경우, 상기 불휘발성 메모리 장치에는 싱글 레벨 셀로서 사용되는 경우와 비교하여 더 많은 열적 스트레스 또는 전기적 스트레스가 인가될 수 있다. 따라서, 상기 불휘발성 메모리 장치가 멀티 레벨 셀로서 사용되는 경우 약 6.0V 이상의 문턱 전압 윈도우가 요구된다.When the nonvolatile memory device is used as a multi-level cell, more thermal stress or electrical stress may be applied to the nonvolatile memory device as compared with the case where the nonvolatile memory device is used as a single level cell. Thus, when the nonvolatile memory device is used as a multi-level cell, a threshold voltage window of about 6.0V or more is required.

상기 불휘발성 메모리 장치의 문턱 전압 윈도우를 증가시키기 위하여 상기 블록킹 막으로서 실리콘 질화물보다 높은 유전율을 갖는 금속 산화물 막이 사용될 수 있다. 예를 들면, 상기 블록킹 막으로서 알루미늄 산화막이 사용될 수 있다.A metal oxide film having a higher dielectric constant than silicon nitride may be used as the blocking film to increase the threshold voltage window of the nonvolatile memory device. For example, an aluminum oxide film may be used as the blocking film.

그러나, 상기 알루미늄 산화막을 형성하는 동안 상기 전하 트랩핑 막으로서 사용되는 실리콘 질화막과 상기 알루미늄 산화막 사이에 원치않는 막이 형성될 수 있다. 예를 들면, 상기 실리콘 질화막과 알루미늄 산화막 사이에는 실리콘 산질화막이 형성될 수 있으며, 상기 실리콘 산질화막은 상기 불휘발성 메모리 장치의 문 턱 전압 윈도우를 감소시킬 수 있다. 즉, 상기 실리콘 산질화막에 의해 프로그래밍 또는 소거 동작시 상기 터널 절연막에 인가되는 전기장(electric field)이 감소될 수 있으며, 이에 따라 상기 문턱 전압 윈도우가 감소될 수 있다.However, during the formation of the aluminum oxide film, an unwanted film may be formed between the silicon nitride film used as the charge trapping film and the aluminum oxide film. For example, a silicon oxynitride layer may be formed between the silicon nitride layer and the aluminum oxide layer, and the silicon oxynitride layer may reduce the threshold voltage window of the nonvolatile memory device. That is, the electric field applied to the tunnel insulating layer during the programming or erasing operation may be reduced by the silicon oxynitride layer, and thus the threshold voltage window may be reduced.

상기와 같은 문제점을 해결하기 위한 본 발명의 목적은 문턱 전압 윈도우 감소를 방지할 수 있는 불휘발성 메모리 장치의 제조 방법을 제공하는데 있다.An object of the present invention for solving the above problems is to provide a method of manufacturing a nonvolatile memory device that can prevent the threshold voltage window is reduced.

상기 목적을 달성하기 위한 본 발명의 일 측면에 따른 불휘발성 메모리 장치의 제조 방법은, 채널 영역을 갖는 기판 상에 터널 절연막을 형성하는 단계와, 상기 터널 절연막 상에 실리콘 질화물을 포함하며 상기 채널 영역으로부터 전자들을 트랩핑하기 위한 전하 트랩핑 막을 형성하는 단계와, 상기 전하 트랩핑 막을 치밀화시키기 위하여 1000 내지 1250℃의 온도에서 상기 전하 트랩핑 막을 열처리하는 단계와, 상기 열처리된 전하 트랩핑 막 상에 블록킹 막을 형성하는 단계와, 상기 블록킹 막 상에 도전막을 형성하는 단계와, 상기 도전막, 블록킹 막, 전하 트랩핑 막 및 터널 절연막을 패터닝하여 상기 채널 영역 상에 게이트 구조물을 형성하는 단계를 포함할 수 있다.According to an aspect of the present invention, there is provided a method of manufacturing a nonvolatile memory device, the method including forming a tunnel insulating film on a substrate having a channel region, and including silicon nitride on the tunnel insulating layer, wherein the channel region is formed. Forming a charge trapping film for trapping electrons therefrom, heat treating the charge trapping film at a temperature of 1000 to 1250 ° C. to densify the charge trapping film, and on the heat treated charge trapping film Forming a blocking film, forming a conductive film on the blocking film, and patterning the conductive film, the blocking film, the charge trapping film, and the tunnel insulating film to form a gate structure on the channel region. Can be.

본 발명의 일 실시예에 따르면, 상기 블록킹 막은 산화물을 포함할 수 있다. 특히, 상기 블록킹 막은 실리콘 산화물 또는 실리콘 질화물보다 높은 유전율을 갖는 금속 산화물을 포함할 수 있다. 상기 금속 산화물은 하프늄(Hf), 지르코늄(Zr), 탄탈룸(Ta), 알루미늄(Al), 란탄(La), 세륨(Ce), 프라세오디뮴(Pr), 네오디뮴(Nd), 사마륨(Sm), 유로퓸(Eu), 가돌리늄(Gd), 테르븀(Tb), 디스프로슘(Dy), 홀뮴(Ho), 에르븀(Er), 툴륨(Tm), 이테르븀(Yb), 루테튬(Lu) 등을 포함할 수 있다. 또한, 상기 금속 산화물은 실리콘을 더 포함할 수 있다.According to an embodiment of the present invention, the blocking film may include an oxide. In particular, the blocking film may include a metal oxide having a higher dielectric constant than silicon oxide or silicon nitride. The metal oxide is hafnium (Hf), zirconium (Zr), tantalum (Ta), aluminum (Al), lanthanum (La), cerium (Ce), praseodymium (Pr), neodymium (Nd), samarium (Sm), europium (Eu), gadolinium (Gd), terbium (Tb), dysprosium (Dy), holmium (Ho), erbium (Er), thulium (Tm), ytterbium (Yb), lutetium (Lu), and the like. In addition, the metal oxide may further include silicon.

본 발명의 일 실시예에 따르면, 상기 열처리는 질소를 포함하는 가스 분위기에서 수행될 수 있다. 또한, 상기 열처리는 불활성 가스 분위기에서 수행될 수도 있다.According to an embodiment of the present invention, the heat treatment may be performed in a gas atmosphere containing nitrogen. In addition, the heat treatment may be performed in an inert gas atmosphere.

본 발명의 일 실시예에 따르면, 상기 열처리는 1×10-6 내지 1×10-4torr의 산소 분압을 갖는 가스 분위기에서 수행될 수 있다. 또한, 상기 열처리는 1×10-6torr 이하의 산소 분압을 갖는 가스 분위기에서 수행될 수도 있다.According to an embodiment of the present invention, the heat treatment may be performed in a gas atmosphere having an oxygen partial pressure of 1 × 10 −6 to 1 × 10 −4 torr. In addition, the heat treatment may be performed in a gas atmosphere having an oxygen partial pressure of 1 × 10 −6 torr or less.

본 발명의 일 실시예에 따르면, 상기 게이트 구조물을 형성하는 단계는, 상기 도전막을 패터닝하여 상기 게이트 전극 구조물을 형성하는 단계와, 상기 블록킹 막, 전하 트랩핑 막 및 터널 절연막을 패터닝하여 상기 블록킹 막 패턴, 전하 트랩핑 막 패턴 및 터널 절연막 패턴을 형성하는 단계를 포함할 수 있다. 상기 게이트 전극 구조물의 측면들 상에는 스페이서가 형성될 수 있으며, 상기 블록킹 막, 전하 트랩핑 막 및 터널 절연막은 상기 스페이서를 식각 마스크로 이용하는 식각 공정을 통해 패터닝될 수 있다.According to an embodiment of the present invention, the forming of the gate structure may include forming the gate electrode structure by patterning the conductive layer, and patterning the blocking layer, the charge trapping layer, and the tunnel insulating layer to form the blocking layer. Forming a pattern, a charge trapping film pattern, and a tunnel insulating film pattern. Spacers may be formed on side surfaces of the gate electrode structure, and the blocking layer, the charge trapping layer, and the tunnel insulating layer may be patterned through an etching process using the spacer as an etching mask.

본 발명의 일 실시예에 따르면, 상기 게이트 구조물을 형성한 후 상기 게이트 구조물과 인접하는 상기 기판의 표면 부위들에는 소스/드레인 영역들이 형성될 수 있다.According to an embodiment of the present invention, source / drain regions may be formed in surface portions of the substrate adjacent to the gate structure after the gate structure is formed.

상기 목적을 달성하기 위한 본 발명의 다른 측면에 따른 불휘발성 메모리 장치의 제조 방법은, 채널 영역을 갖는 기판 상에 터널 절연막을 형성하는 단계와, 상기 터널 절연막 상에 실리콘 질화물을 포함하며 상기 채널 영역으로부터 전자들을 트랩핑하기 위한 전하 트랩핑 막을 형성하는 단계와, 상기 전하 트랩핑 막을 치밀화시키기 위하여 상기 전하 트랩핑 막을 열처리하는 단계와, 상기 열처리된 전하 트랩핑 막 상에 실리콘 질화물보다 높은 유전 상수를 갖는 금속 산화물을 포함하는 블록킹 막을 형성하는 단계와, 상기 블록킹 막 상에 도전막을 형성하는 단계와, 상기 도전막, 블록킹 막, 전하 트랩핑 막 및 터널 절연막을 패터닝하여 상기 채널 영역 상에 게이트 구조물을 형성하는 단계를 포함할 수 있다.According to another aspect of the present invention, there is provided a method of fabricating a nonvolatile memory device, the method including forming a tunnel insulating film on a substrate having a channel region, and including silicon nitride on the tunnel insulating film. Forming a charge trapping film for trapping electrons therefrom, heat treating the charge trapping film to densify the charge trapping film, and applying a dielectric constant higher than silicon nitride on the heat treated charge trapping film. Forming a blocking film including a metal oxide having a metal oxide, forming a conductive film on the blocking film, and patterning the conductive film, the blocking film, the charge trapping film, and the tunnel insulating film to form a gate structure on the channel region. It may comprise the step of forming.

본 발명의 일 실시예에 따르면, 상기 열처리는 1150 내지 1250℃의 온도에서 수행될 수 있다.According to one embodiment of the invention, the heat treatment may be carried out at a temperature of 1150 to 1250 ℃.

본 발명의 일 실시예에 따르면, 상기 금속 산화물은 하프늄(Hf), 지르코늄(Zr), 탄탈룸(Ta), 알루미늄(Al), 란탄(La), 세륨(Ce), 프라세오디뮴(Pr), 네오디뮴(Nd), 사마륨(Sm), 유로퓸(Eu), 가돌리늄(Gd), 테르븀(Tb), 디스프로슘(Dy), 홀뮴(Ho), 에르븀(Er), 툴륨(Tm), 이테르븀(Yb), 루테튬(Lu) 등을 포함할 수 있다. 또한, 상기 금속 산화물은 실리콘을 더 포함할 수 있다.According to one embodiment of the present invention, the metal oxide is hafnium (Hf), zirconium (Zr), tantalum (Ta), aluminum (Al), lanthanum (La), cerium (Ce), praseodymium (Pr), neodymium ( Nd, samarium (Sm), europium (Eu), gadolinium (Gd), terbium (Tb), dysprosium (Dy), holmium (Ho), erbium (Er), thulium (Tm), ytterbium (Yb), lutetium ( Lu) and the like. In addition, the metal oxide may further include silicon.

본 발명의 일 실시예에 따르면, 상기 열처리는 질소를 포함하는 가스 분위기에서 수행될 수 있다. 또한, 상기 열처리는 불활성 가스 분위기에서 수행될 수 있다.According to an embodiment of the present invention, the heat treatment may be performed in a gas atmosphere containing nitrogen. In addition, the heat treatment may be performed in an inert gas atmosphere.

본 발명의 일 실시예에 따르면, 상기 열처리는 1×10-4torr 이하의 산소 분압을 갖는 가스 분위기에서 수행될 수 있다.According to an embodiment of the present invention, the heat treatment may be performed in a gas atmosphere having an oxygen partial pressure of 1 × 10 −4 torr or less.

상기와 같은 본 발명의 실시예들에 따르면, 상기 전하 트랩핑 막은 상기 열처리에 의해 치밀화될 수 있다. 따라서, 상기 블록킹 막을 형성하는 동안 상기 전하 트랩핑 막 상에 원치않는 막이 형성되는 것을 방지할 수 있으며, 이에 따라 상기 불휘발성 메모리 장치의 문턱 전압 윈도우 특성이 개선될 수 있다.According to the embodiments of the present invention as described above, the charge trapping film may be densified by the heat treatment. Accordingly, an unwanted film may be prevented from being formed on the charge trapping film while the blocking film is formed, thereby improving the threshold voltage window characteristic of the nonvolatile memory device.

이하, 본 발명에 따른 실시예들을 첨부된 도면을 참조하여 상세하게 설명하면 다음과 같다. 그러나, 본 발명은 하기의 실시예들에 한정되지 않고 다른 형태로 구현될 수도 있다. 여기서 소개되는 실시예들은 개시된 내용이 보다 완전해질 수 있도록 그리고 당업자에게 본 발명의 사상과 특징이 충분히 전달될 수 있도록 하기 위해 제공된다. 도면들에 있어서, 각 장치 또는 막(층) 및 영역들의 두께는 본 발명의 명확성을 기하기 위하여 과장되게 도시되었으며, 또한 각 장치는 본 명세서에서 설명되지 아니한 다양한 부가 장치들을 구비할 수 있으며, 막(층)이 다른 막(층) 또는 기판 상에 위치하는 것으로 언급되는 경우, 다른 막(층) 또는 기판 상에 직접 형성되거나 그들 사이에 추가적인 막(층)이 개재될 수 있다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings. However, the present invention is not limited to the following embodiments and may be implemented in other forms. The embodiments introduced herein are provided to make the disclosure more complete and to fully convey the spirit and features of the invention to those skilled in the art. In the drawings, the thickness of each device or film (layer) and regions has been exaggerated for clarity of the invention, and each device may have a variety of additional devices not described herein. When (layer) is mentioned as being located on another film (layer) or substrate, an additional film (layer) may be formed directly on or between the other film (layer) or substrate.

도 1 내지 도 7은 본 발명의 일 실시예에 따른 불휘발성 메모리 장치의 제조 방법을 설명하기 위한 개략적인 단면도들이다.1 to 7 are schematic cross-sectional views illustrating a method of manufacturing a nonvolatile memory device in accordance with an embodiment of the present invention.

도 1 내지 도 3을 참조하면, 실리콘웨이퍼와 같은 반도체 기판(100)의 표면 부위에 소자 분리막(미도시)을 형성함으로써 액티브 영역을 정의한다. 구체적으로, 실리콘 부분 산화(Local oxidation of silicon; LOCOS) 공정 또는 셸로우 트렌치 소자분리(shallow trench isolation; STI) 공정을 통해 반도체 기판의(100) 표면 부위에 상기 소자 분리막을 형성한다.1 to 3, an active region is defined by forming an isolation layer (not shown) on a surface portion of a semiconductor substrate 100 such as a silicon wafer. Specifically, the device isolation layer is formed on the surface portion of the semiconductor substrate 100 through a local oxidation of silicon (LOCOS) process or a shallow trench isolation (STI) process.

상기 반도체 기판(100) 상에 터널 절연막(102), 전하 트랩핑 막(104), 블록킹 막(108), 및 도전막(110)을 순차적으로 형성한다.The tunnel insulating layer 102, the charge trapping layer 104, the blocking layer 108, and the conductive layer 110 are sequentially formed on the semiconductor substrate 100.

상기 터널 절연막(102)은 실리콘 산화물(SiO2)로 이루어질 수 있으며, 열 산화 공정에 의해 형성될 수 있다. 상기 터널 절연막(102)은 약 30 내지 100Å 정도의 두께를 가질 수 있다. 예를 들면, 상기 터널 절연막(102)은 상기 반도체 기판(100) 상에 약 40Å 정도의 두께를 갖도록 형성될 수 있다.The tunnel insulating layer 102 may be made of silicon oxide (SiO 2 ), and may be formed by a thermal oxidation process. The tunnel insulating layer 102 may have a thickness of about 30 to about 100 μs. For example, the tunnel insulating layer 102 may be formed on the semiconductor substrate 100 to have a thickness of about 40 GPa.

상기 전하 트랩핑 막(104)은 상기 반도체 기판(100)의 채널 영역으로부터 전자들을 트랩하기 위하여 형성된다. 상기 전하 트랩핑 막(104)은 실리콘 질화물(예를 들면, Si3N4)을 포함할 수 있다.The charge trapping film 104 is formed to trap electrons from the channel region of the semiconductor substrate 100. The charge trapping film 104 may include silicon nitride (eg, Si 3 N 4 ).

상기 전하 트랩핑 막(104)은 저압 화학 기상 증착에 의해 상기 터널 절연막(102) 상에 약 20 내지 100Å 정도의 두께로 형성될 수 있다. 예를 들면, 상기 전하 트랩핑 막(104)은 상기 터널 절연막(102) 상에 약 60Å 정도의 두께로 형성될 수 있다.The charge trapping film 104 may be formed to a thickness of about 20 to about 100 kHz on the tunnel insulating film 102 by low pressure chemical vapor deposition. For example, the charge trapping film 104 may be formed on the tunnel insulating film 102 to a thickness of about 60 μs.

상기 전하 트랩핑 막(104)을 형성한 후, 상기 전하 트랩핑 막(104)을 치밀화시키기 위하여 약 1000 내지 1250℃ 정도의 온도에서 열처리를 수행한다. 상기 열처리는 상기 전하 트랩핑 막(104)을 치밀화시킴으로써 상기 블록킹 막(108)을 형성 하기 위한 후속 공정에서 상기 전하 트랩핑 막(104) 상에 원치않는 막이 형성되는 것을 방지하기 위하여 수행된다.After the charge trapping film 104 is formed, heat treatment is performed at a temperature of about 1000 to 1250 ° C. to densify the charge trapping film 104. The heat treatment is performed to prevent the formation of an unwanted film on the charge trapping film 104 in a subsequent process for forming the blocking film 108 by densifying the charge trapping film 104.

특히, 상기 열처리는 약 1150 내지 1250℃의 온도에서 수행될 수 있다. 예를 들면, 상기 열처리는 약 1200℃의 온도에서 수행될 수 있다.In particular, the heat treatment may be performed at a temperature of about 1150 to 1250 ℃. For example, the heat treatment may be performed at a temperature of about 1200 ℃.

또한, 상기 열처리는 질소를 포함하는 가스 분위기에서 수행될 수 있다. 예를 들면, 질소(N2) 가스 분위기 또는 암모니아(NH3) 가스 분위기에서 수행될 수 있다.In addition, the heat treatment may be performed in a gas atmosphere containing nitrogen. For example, it may be performed in a nitrogen (N 2 ) gas atmosphere or in an ammonia (NH 3 ) gas atmosphere.

본 발명의 다른 실시예에 따르면, 상기 열처리는 아르곤(Ar) 또는 헬륨(He)과 같은 불활성 가스 분위기에서 수행될 수도 있다.According to another embodiment of the present invention, the heat treatment may be performed in an inert gas atmosphere such as argon (Ar) or helium (He).

상기 열처리를 수행하는 동안 상기 전하 트랩핑 막(104)의 표면 부위가 산화되는 것을 방지하기 위하여 상기 열처리는 약 1×10-4torr 이하의 산소 분압(partial pressure)을 갖는 가스 분위기에서 수행되는 것이 바람직하다. 예를 들면, 상기 열처리는 약 1×10-6 내지 1×10-4torr 정도의 산소 분압을 갖는 가스 분위기에서 수행될 수 있다. 특히, 상기 열처리는 약 1×10-6torr 이하의 산소 분압을 갖는 가스 분위기에서 수행되는 것이 바람직하다. 따라서, 상기 열처리를 수행하는 동안 상기 전하 트랩핑 막(104) 상에서 실리콘 산질화막이 형성되는 것을 방지할 수 있다.In order to prevent the surface portion of the charge trapping film 104 from being oxidized during the heat treatment, the heat treatment may be performed in a gas atmosphere having an oxygen partial pressure of about 1 × 10 −4 torr or less. desirable. For example, the heat treatment may be performed in a gas atmosphere having an oxygen partial pressure of about 1 × 10 −6 to 1 × 10 −4 torr. In particular, the heat treatment is preferably performed in a gas atmosphere having an oxygen partial pressure of about 1 × 10 −6 torr or less. Therefore, it is possible to prevent the silicon oxynitride film from being formed on the charge trapping film 104 during the heat treatment.

상기 블록킹 막(108)은 상기 열처리된 전하 트랩핑 막(106) 상에 형성된다. 상기 블록킹 막(108)은 상기 전하 트랩핑 막(106)과 상기 도전막(110) 사이에서 전기적인 절연을 제공한다. 상기 블록킹 막(108)은 실리콘 산화물, 실리콘 산질화물 또는 실리콘 질화물보다 높은 유전율을 갖는 고유전율 물질로 이루어질 수 있으며, 화학 기상 증착 또는 원자층 증착에 의해 형성될 수 있다. 예를 들면, 상기 블록킹 막(108)은 알루미늄 산화물을 포함할 수 있으며, 상기 전하 트랩핑 막(106) 상에 약 100 내지 400Å 정도의 두께로 형성될 수 있다. 특히, 상기 블록킹 막(108)은 상기 전하 트랩핑 막(106) 상에 약 200Å 정도의 두께로 형성될 수 있다.The blocking film 108 is formed on the heat treated charge trapping film 106. The blocking film 108 provides electrical insulation between the charge trapping film 106 and the conductive film 110. The blocking film 108 may be formed of a high dielectric constant material having a higher dielectric constant than silicon oxide, silicon oxynitride, or silicon nitride, and may be formed by chemical vapor deposition or atomic layer deposition. For example, the blocking film 108 may include aluminum oxide, and may be formed on the charge trapping film 106 to a thickness of about 100 to about 400 kPa. In particular, the blocking layer 108 may be formed on the charge trapping layer 106 to a thickness of about 200 μs.

본 발명의 일 실시예에 따르면, 상기 금속 산화물은 하프늄(Hf), 지르코늄(Zr), 탄탈룸(Ta), 알루미늄(Al), 란탄(La), 세륨(Ce), 프라세오디뮴(Pr), 네오디뮴(Nd), 사마륨(Sm), 유로퓸(Eu), 가돌리늄(Gd), 테르븀(Tb), 디스프로슘(Dy), 홀뮴(Ho), 에르븀(Er), 툴륨(Tm), 이테르븀(Yb), 루테튬(Lu) 등을 포함할 수 있다. 특히, 상기 블록킹 막(108)은 하프늄 알루미늄 산화물(HfAlO), 란탄 산화물(La2O3), 알루미늄 란탄 산화물(AlLaO), 하프늄 란탄 산화물(HfLaO) 등을 포함할 수 있다.According to one embodiment of the present invention, the metal oxide is hafnium (Hf), zirconium (Zr), tantalum (Ta), aluminum (Al), lanthanum (La), cerium (Ce), praseodymium (Pr), neodymium ( Nd, samarium (Sm), europium (Eu), gadolinium (Gd), terbium (Tb), dysprosium (Dy), holmium (Ho), erbium (Er), thulium (Tm), ytterbium (Yb), lutetium ( Lu) and the like. In particular, the blocking layer 108 may include hafnium aluminum oxide (HfAlO), lanthanum oxide (La 2 O 3 ), aluminum lanthanum oxide (AlLaO), hafnium lanthanum oxide (HfLaO), and the like.

본 발명의 다른 실시예에 따르면, 상기 블록킹 막(108)은 금속 산질화물, 금속 실리콘 산화물, 금속 실리콘 산질화물 등을 포함할 수 있으며, 상기 금속은 하프늄(Hf), 지르코늄(Zr), 탄탈룸(Ta), 알루미늄(Al), 란탄(La), 세륨(Ce), 프라세오디뮴(Pr), 네오디뮴(Nd), 사마륨(Sm), 유로퓸(Eu), 가돌리늄(Gd), 테르븀(Tb), 디스프로슘(Dy), 홀뮴(Ho), 에르븀(Er), 툴륨(Tm), 이테르븀(Yb), 루테튬(Lu) 등을 포함할 수 있다.According to another embodiment of the present invention, the blocking film 108 may include metal oxynitride, metal silicon oxide, metal silicon oxynitride, and the like, and the metal may be hafnium (Hf), zirconium (Zr), or tantalum ( Ta, aluminum (Al), lanthanum (La), cerium (Ce), praseodymium (Pr), neodymium (Nd), samarium (Sm), europium (Eu), gadolinium (Gd), terbium (Tb), dysprosium ( Dy), holmium (Ho), erbium (Er), thulium (Tm), ytterbium (Yb), lutetium (Lu), and the like.

상기 블록킹 막(108)을 형성하는 동안, 상기 전하 트랩핑 막(106)이 상기 열처리에 의해 충분히 치밀화된 상태이므로, 상기 전하 트랩핑 막(106) 상에 원치않는 반응 부산물 막이 형성되는 것이 방지될 수 있다. 구체적으로, 상기 블록킹 막(108)을 형성하기 위하여 제공되는 산화제와 상기 전하 트랩핑 막(106)의 표면 부위 사이에서의 산화 반응이 충분히 억제될 수 있으며, 이에 따라 상기 전하 트랩핑 막(106) 상에 실리콘 산질화막과 같은 반응 부산물 막의 형성이 방지될 수 있다.While forming the blocking film 108, since the charge trapping film 106 is sufficiently compacted by the heat treatment, an unwanted reaction byproduct film can be prevented from being formed on the charge trapping film 106. Can be. Specifically, the oxidation reaction between the oxidant provided to form the blocking film 108 and the surface portion of the charge trapping film 106 can be sufficiently suppressed, thus the charge trapping film 106 Formation of a reaction byproduct film such as a silicon oxynitride film on the phase can be prevented.

이하, 상기 열처리된 전하 트랩핑 막(106) 상에 블록킹 막(108)으로서 기능하는 알루미늄 산화막을 원자층 증착을 통해 형성하는 방법을 상세하게 설명한다.Hereinafter, a method of forming an aluminum oxide film serving as the blocking film 108 on the heat treated charge trapping film 106 through atomic layer deposition will be described in detail.

먼저, 상기 전하 트랩핑 막(106)이 형성된 반도체 기판(100)을 원자층 증착을 위한 챔버(미도시) 내에 위치시킨다. 이때, 상기 챔버 내부의 온도는 약 150 내지 400℃ 정도로 유지될 수 있으며, 압력은 약 0.1 내지 3.0torr 정도로 유지될 수 있다. 예를 들면, 상기 챔버 내부의 온도는 약 300℃ 정도로 유지되며, 압력은 약 1.0torr 정도로 유지될 수 있다.First, the semiconductor substrate 100 on which the charge trapping film 106 is formed is positioned in a chamber (not shown) for atomic layer deposition. In this case, the temperature inside the chamber may be maintained at about 150 to 400 ℃ degree, the pressure may be maintained at about 0.1 to 3.0 torr. For example, the temperature inside the chamber may be maintained at about 300 ° C., and the pressure may be maintained at about 1.0 torr.

상기 전하 트랩핑 막(106) 상에 알루미늄 전구체를 포함하는 제1 반응 물질을 제공하여 상기 전하 트랩핑 막(106) 상에 알루미늄 전구체 막을 형성한다. 상기 제1 반응 물질로는 기상의 알루미늄 전구체가 사용될 수 있으며, 상기 기상의 알루미늄 전구체는 질소 또는 아르곤과 같은 캐리어 가스에 의해 운반될 수 있다. 또한, 상기 기상의 알루미늄 전구체는 액체 전달 시스템(liquid delivery system; LDS) 또는 버블러 시스템(bubbler system)을 통해 제공될 수 있다.A first reactant including an aluminum precursor is provided on the charge trapping film 106 to form an aluminum precursor film on the charge trapping film 106. A gaseous aluminum precursor may be used as the first reactant, and the gaseous aluminum precursor may be carried by a carrier gas such as nitrogen or argon. The vapor phase aluminum precursor may also be provided through a liquid delivery system (LDS) or a bubbler system.

상기 알루미늄 전구체의 예로는 TMA(trimethyl aluminium, Al(CH3)3), TEA(triethyl aluminium, Al(C2H5)3) 등이 있으며, 이들의 혼합물이 사용될 수도 있다. 상기 제1 반응 물질은 약 0.5초 내지 3초 동안 상기 반도체 기판(100) 상으로 도입될 수 있다. 예를 들면, 상기 제1 반응 물질은 약 2초 동안 반도체 기판(100) 상으로 도입될 수 있다.Examples of the aluminum precursors include TMA (trimethyl aluminum, Al (CH 3 ) 3 ), TEA (triethyl aluminum, Al (C 2 H 5 ) 3 ), and mixtures thereof. The first reactant may be introduced onto the semiconductor substrate 100 for about 0.5 to 3 seconds. For example, the first reactant may be introduced onto the semiconductor substrate 100 for about 2 seconds.

상기와 같이 반도체 기판(100) 상으로 제공된 제1 반응 물질의 일부는 상기 전하 트랩핑 막(106) 상에 화학 흡착되어 상기 알루미늄 전구체 막을 형성하며, 나머지는 상기 알루미늄 전구체 막 상에 물리 흡착되거나 상기 챔버 내에서 표류한다.A portion of the first reactant material provided on the semiconductor substrate 100 as described above is chemisorbed on the charge trapping film 106 to form the aluminum precursor film, and the rest is physically adsorbed on the aluminum precursor film or the Drift in the chamber.

상기 알루미늄 전구체 막을 형성한 후, 상기 챔버 내부로 퍼지 가스를 제공하면서 상기 챔버를 진공 배기시킨다. 상기 퍼지 가스로는 질소 또는 아르곤이 사용될 수 있으며, 상기 퍼지 가스는 약 0.5 내지 5초 동안 공급될 수 있다. 예를 들면, 상기 퍼지 가스는 약 2초 동안 공급될 수 있다.After the aluminum precursor film is formed, the chamber is evacuated while providing a purge gas into the chamber. Nitrogen or argon may be used as the purge gas, and the purge gas may be supplied for about 0.5 to 5 seconds. For example, the purge gas may be supplied for about 2 seconds.

상기 알루미늄 전구체 막 상에 물리 흡착된 제1 반응 물질과 상기 챔버 내에 표류하는 제1 반응 물질은 상기 챔버 내로 공급되는 퍼지 가스와 함께 상기 챔버로부터 진공 배기된다.The first reactant physically adsorbed on the aluminum precursor film and the first reactant drifting in the chamber are evacuated from the chamber together with the purge gas supplied into the chamber.

상기 챔버를 퍼지시킨 후, 상기 반도체 기판(100) 상으로 산소를 포함하는 제2 반응 물질을 공급하여 상기 알루미늄 전구체 막을 산화시킴으로써 상기 전하 트랩핑 막(106) 상에 상기 알루미늄 산화물을 포함하는 블록킹 막(108)을 형성한 다.After the chamber has been purged, a blocking film including the aluminum oxide on the charge trapping film 106 is provided by oxidizing the aluminum precursor film by supplying a second reactive material containing oxygen onto the semiconductor substrate 100. Form (108).

상기 산소를 포함하는 제2 반응 물질의 예로서는 O3, O2, H2O, 플라즈마 O2 등을 들 수 있다. 이들은 단독으로 사용될 수 있으며, 경우에 따라 혼합물의 형태로 사용될 수도 있다. 예를 들면, 상기 알루미늄 전구체 막 상으로 O3 가스가 약 1 내지 5초 동안 공급될 수 있다. 특히, 상기 제2 반응 물질은 상기 알루미늄 전구체 막 상으로 약 3초 동안 공급될 수 있다.Examples of the second reactive material containing oxygen include O 3 , O 2 , H 2 O, plasma O 2 , and the like. These may be used alone or in the form of mixtures as the case may be. For example, O 3 gas may be supplied for about 1 to 5 seconds onto the aluminum precursor film. In particular, the second reactant may be supplied over the aluminum precursor film for about 3 seconds.

상기 전하 트랩핑 막(106)이 상기 열처리에 의해 충분히 치밀화된 상태이므로, 상기 제2 반응 물질이 공급되는 동안 상기 제2 반응 물질과 상기 전하 트랩핑 막(106) 사이의 반응이 충분히 억제될 수 있다. 결과적으로, 상기 전하 트랩핑 막(106)과 상기 블록킹 막(108) 사이에서 실리콘 산질화물과 같은 원치않는 반응 부산물 막이 형성되는 것이 충분히 방지될 수 있다.Since the charge trapping film 106 is sufficiently compacted by the heat treatment, the reaction between the second reactant and the charge trapping film 106 may be sufficiently suppressed while the second reactant is supplied. have. As a result, formation of an unwanted reaction byproduct film such as silicon oxynitride between the charge trapping film 106 and the blocking film 108 can be sufficiently prevented.

상기 블록킹 막(108)을 형성한 후, 상기 챔버 내부로 퍼지 가스를 공급하여 상기 알루미늄 전구체 막과 상기 제2 반응 물질의 반응에 의해 발생된 반응 부산물과 잔여 제2 반응 물질을 챔버로부터 제거한다. 상기 퍼지 가스는 약 1초 내지 5초 동안 공급될 수 있다. 예를 들면, 상기 퍼지 가스는 약 3초 동안 공급될 수 있다.After the blocking film 108 is formed, a purge gas is supplied into the chamber to remove the reaction by-products generated by the reaction of the aluminum precursor film and the second reactant and the remaining second reactant from the chamber. The purge gas may be supplied for about 1 second to 5 seconds. For example, the purge gas may be supplied for about 3 seconds.

상기 블록킹 막(108)을 형성하기 위한 단계들은 상기 블록킹 막(108)이 목적하는 두께를 가질 때까지 반복적으로 수행될 수 있다.Steps for forming the blocking film 108 may be repeatedly performed until the blocking film 108 has a desired thickness.

이어서, 상기 블록킹 막(108) 상에 도전막(110)을 형성한다. 상기 도전막(110)은 제1 도전막(112), 접착막(114), 제2 도전막(116)을 포함할 수 있다.Subsequently, a conductive film 110 is formed on the blocking film 108. The conductive layer 110 may include a first conductive layer 112, an adhesive layer 114, and a second conductive layer 116.

상기 블록킹 막(108) 상에 제1 도전막(112)을 약 100 내지 400Å 정도의 두께로 형성한다. 예를 들면, 상기 제1 도전막(112)은 화학 기상 증착, 원자층 증착, 물리 기상 증착 등을 이용하여 약 200Å 정도의 두께로 형성될 수 있다.The first conductive layer 112 is formed on the blocking layer 108 to a thickness of about 100 to about 400 microns. For example, the first conductive layer 112 may be formed to a thickness of about 200 kW using chemical vapor deposition, atomic layer deposition, physical vapor deposition, and the like.

상기 제1 도전막(112)은 약 4eV 이상의 일함수를 갖는 물질로 이루어질 수 있다. 예를 들면, 상기 제1 도전막(112)은 티타늄(Ti), 티타늄 질화물(TiN), 탄탈룸(Ta), 탄탈룸 질화물(TaN), 텅스텐(W), 텅스텐 질화물(WN), 하프늄(Hf), 니오븀(Nb), 몰리브덴(Mo), 몰리브덴 질화물(Mo2N), 일산화루테늄(RuO), 이산화루테늄(RuO2), 이리듐(Ir), 이리듐 산화물(IrO2), 백금(Pt), 코발트(Co), 크롬(Cr), 티타늄알루미나이드(Ti3Al), 티타늄 알루미늄 질화물(Ti2AlN), 팔라듐(Pd), 텅스텐 실리사이드(WSi), 니켈 실리사이드(NiSi), 코발트 실리사이드(CoSi), 탄탈룸 실리사이드(TaSi) 등을 포함할 수 있다.The first conductive layer 112 may be formed of a material having a work function of about 4 eV or more. For example, the first conductive layer 112 may include titanium (Ti), titanium nitride (TiN), tantalum (Ta), tantalum nitride (TaN), tungsten (W), tungsten nitride (WN), and hafnium (Hf). , Niobium (Nb), molybdenum (Mo), molybdenum nitride (Mo 2 N), ruthenium monoxide (RuO), ruthenium dioxide (RuO 2 ), iridium (Ir), iridium oxide (IrO 2 ), platinum (Pt), cobalt (Co), chromium (Cr), titanium aluminide (Ti 3 Al), titanium aluminum nitride (Ti 2 AlN), palladium (Pd), tungsten silicide (WSi), nickel silicide (NiSi), cobalt silicide (CoSi), Tantalum silicide (TaSi) and the like.

본 발명의 다른 실시예에 따르면, 상기 제1 도전막(112)의 일함수를 증가시키기 위한 후속 처리가 추가적으로 수행될 수 있다. 예를 들면, 상기 제1 도전막(112)을 형성한 후, 열처리, 플라즈마 처리 또는 이온 주입 공정 등이 추가적으로 수행될 수 있다. 상기 후속 처리는 상기 제1 도전막(112)을 이루는 물질 원소와 다른 물질 원소를 사용하여 수행될 수 있다. 특히, 상기 후속 처리는 2족 내지 8족 원소를 포함하는 가스를 이용하여 수행될 수 있다. 예를 들면, 상기 후속 처리는 N, O, F, Ne, He, P, S, Cl, Ar, As, Se, Br, Kr, Sb, Te, I 또는 Xe 원소를 포함하는 가스를 이용하여 수행될 수 있다.According to another embodiment of the present invention, subsequent processing for increasing the work function of the first conductive layer 112 may be additionally performed. For example, after the first conductive layer 112 is formed, a heat treatment, a plasma treatment, or an ion implantation process may be additionally performed. The subsequent processing may be performed using a material element different from the material element forming the first conductive layer 112. In particular, the subsequent treatment may be performed using a gas containing a Group 2 to Group 8 element. For example, the subsequent treatment is performed using a gas containing elements of N, O, F, Ne, He, P, S, Cl, Ar, As, Se, Br, Kr, Sb, Te, I or Xe. Can be.

상기 제1 도전막(112)을 형성한 후, 상기 제1 도전막(112) 상에 접착막(114)을 약 50Å 정도의 두께로 형성한다. 상기 접착막(114)으로는 금속 질화막이 사용될 수 있으며, 상기 금속 질화막으로는 텅스텐 질화막, 티타늄 질화막, 탄탈룸 질화막 등이 사용될 수 있다.After the first conductive film 112 is formed, an adhesive film 114 is formed on the first conductive film 112 to a thickness of about 50 GPa. A metal nitride film may be used as the adhesive layer 114, and a tungsten nitride film, a titanium nitride film, a tantalum nitride film, or the like may be used as the metal nitride film.

상기 접착막(114) 상에 제2 도전막(116)을 형성한다. 상기 제2 도전막(116)은 텅스텐으로 이루어질 수 있으며, 상기 접착막(114) 상에 약 300Å 정도의 두께로 형성될 수 있다. 이와는 다르게, 상기 제2 도전막(116)은 금속 실리사이드로 이루어질 수도 있다. 상기 금속 실리사이드로는 텅스텐 실리사이드, 탄탈룸 실리사이드, 코발트 실리사이드, 티타늄 실리사이드 등이 사용될 수 있다.A second conductive film 116 is formed on the adhesive film 114. The second conductive layer 116 may be made of tungsten, and may be formed on the adhesive layer 114 to a thickness of about 300 μs. Alternatively, the second conductive layer 116 may be made of metal silicide. As the metal silicide, tungsten silicide, tantalum silicide, cobalt silicide, titanium silicide, or the like may be used.

도 4를 참조하면, 상기 도전막(110) 상에 포토레지스트 패턴을 형성한다. 상기 포토레지스트 패턴은 본 발명의 기술 분야에서 널리 알려진 포토리소그래피 공정을 이용하여 형성될 수 있다.Referring to FIG. 4, a photoresist pattern is formed on the conductive layer 110. The photoresist pattern may be formed using a photolithography process that is well known in the art.

상기 도전막(110)을 패터닝하여 상기 블록킹 막(108) 상에 제1 도전막 패턴(122), 접착막 패턴(124) 및 제2 도전막 패턴(126)을 포함하는 게이트 전극 구조물(120)을 형성한다. 예를 들면, 상기 포토레지스트 패턴을 식각 마스크로서 사용하는 이방성 식각 공정을 수행함으로써 상기 게이트 전극 구조물(120)을 형성할 수 있다. 상기 제1 도전막 패턴(122)은 게이트 전극으로서 기능할 수 있으며, 상기 제2 도전막 패턴(126)은 워드 라인으로서 기능할 수 있다.The gate electrode structure 120 including the first conductive layer pattern 122, the adhesive layer pattern 124, and the second conductive layer pattern 126 on the blocking layer 108 by patterning the conductive layer 110. To form. For example, the gate electrode structure 120 may be formed by performing an anisotropic etching process using the photoresist pattern as an etching mask. The first conductive layer pattern 122 may function as a gate electrode, and the second conductive layer pattern 126 may function as a word line.

상기 포토레지스트 패턴은 상기 게이트 전극 구조물(120)을 형성한 후, 애싱 및 스트립 공정을 통해 제거될 수 있다.The photoresist pattern may be removed through an ashing and stripping process after forming the gate electrode structure 120.

도 5를 참조하면, 상기 게이트 전극 구조물(120) 및 상기 블록킹 막(108) 상에 스페이서막(128)을 형성한다. 상기 스페이서막(128)은 실리콘 산화막(130) 및 실리콘 질화막(132)을 포함할 수 있다. 구체적으로, 상기 게이트 전극 구조물(120) 및 상기 블록킹 막(108) 상에 실리콘 산화막(130)을 형성한 후, 상기 실리콘 산화막(130) 상에 실리콘 질화막(132)을 형성한다. 상기 실리콘 산화막(130) 및 실리콘 질화막(132)은 화학 기상 증착 공정을 이용하여 각각 형성될 수 있다. 본 발명의 다른 실시예에 따르면, 상기 실리콘 질화막(130)은 상기 실리콘 산화막(132)을 형성한 후 인시튜 방식으로 형성될 수도 있다.Referring to FIG. 5, a spacer layer 128 is formed on the gate electrode structure 120 and the blocking layer 108. The spacer layer 128 may include a silicon oxide layer 130 and a silicon nitride layer 132. Specifically, after the silicon oxide layer 130 is formed on the gate electrode structure 120 and the blocking layer 108, a silicon nitride layer 132 is formed on the silicon oxide layer 130. The silicon oxide layer 130 and the silicon nitride layer 132 may be formed using a chemical vapor deposition process, respectively. According to another embodiment of the present invention, the silicon nitride film 130 may be formed in-situ after the silicon oxide film 132 is formed.

도 6을 참조하면, 상기 스페이서막(128)을 이방성 식각하여 상기 게이트 전극 구조물(120)의 측면들 상에 이중 스페이서(134)를 형성한다. 상기 이중 스페이서(134)는 실리콘 산화물 스페이서(136)와 실리콘 질화물 스페이서(138)를 포함한다.Referring to FIG. 6, the spacer layer 128 is anisotropically etched to form a double spacer 134 on side surfaces of the gate electrode structure 120. The double spacer 134 includes a silicon oxide spacer 136 and a silicon nitride spacer 138.

본 발명의 다른 실시예에 따르면, 상기 스페이서막은 실리콘 산화물 또는 실리콘 질화물을 포함하는 단일막 구조를 가질 수도 있으며, 상기 게이트 전극 구조물(120)의 측면들 상에는 단일막 스페이서가 형성될 수도 있다.According to another embodiment of the present invention, the spacer layer may have a single layer structure including silicon oxide or silicon nitride, and a single layer spacer may be formed on side surfaces of the gate electrode structure 120.

도 7을 참조하면, 상기 게이트 전극 구조물(120) 및 상기 이중 스페이서(134)를 식각 마스크로 사용하는 이방성 식각을 수행하여 상기 블록킹 막(108), 전하 트랩핑 막(106) 및 터널 절연막(102)으로부터 블록킹 막 패턴(140), 전하 트랩핑 막 패턴(142) 및 터널 절연막 패턴(146)을 형성한다.Referring to FIG. 7, the blocking film 108, the charge trapping film 106, and the tunnel insulating film 102 are performed by performing anisotropic etching using the gate electrode structure 120 and the double spacer 134 as an etching mask. ), A blocking film pattern 140, a charge trapping film pattern 142, and a tunnel insulating film pattern 146 are formed.

결과적으로, 상기 반도체 기판(100)의 채널 영역(100a) 상에 상기 게이트 전 극 구조물(120), 이중 스페이서(134), 블록킹 막 패턴(140), 전하 트랩핑 막 패턴(142) 및 터널 절연막 패턴(144)을 포함하는 게이트 구조물(150)이 형성된다.As a result, the gate electrode structure 120, the double spacer 134, the blocking film pattern 140, the charge trapping film pattern 142, and the tunnel insulating layer are formed on the channel region 100a of the semiconductor substrate 100. A gate structure 150 is formed that includes the pattern 144.

본 발명의 다른 실시예에 따르면, 상기 게이트 구조물(150)을 형성하는 동안 발생된 상기 반도체 기판(100) 및 상기 게이트 구조물(150)의 식각 손상을 치유하기 위한 재산화 공정을 수행할 수 있다.According to another exemplary embodiment of the present disclosure, an reoxidation process may be performed to etch damage of the semiconductor substrate 100 and the gate structure 150 generated during the formation of the gate structure 150.

또한, 본 발명의 다른 실시예에 따르면, 게이트 구조물은 상기 도전막(110), 블록킹 막(108), 전하 트랩핑 막(106) 및 터널 절연막(102)을 순차적으로 패터닝함으로써 형성될 수 있다. 상기와 같이 형성된 게이트 구조물은 도전막 패턴, 블록킹 막 패턴, 전하 트랩핑 막 패턴 및 터널 절연막 패턴을 포함할 수 있으며, 상기 게이트 구조물의 측면들 상에 스페이서가 형성될 수 있다.In addition, according to another embodiment of the present invention, the gate structure may be formed by sequentially patterning the conductive layer 110, the blocking layer 108, the charge trapping layer 106, and the tunnel insulating layer 102. The gate structure formed as described above may include a conductive layer pattern, a blocking layer pattern, a charge trapping layer pattern, and a tunnel insulation layer pattern, and spacers may be formed on side surfaces of the gate structure.

이어서, 상기 게이트 구조물(150)과 인접한 반도체 기판(100)의 표면 부위들에 소스/드레인 영역들(152a, 152b)을 형성한다. 상기 소스/드레인 영역들(152a, 152b)은 상기 게이트 구조물(150)을 이온 주입 마스크로서 이용하는 이온 주입 공정 및 열처리 공정에 의해 형성될 수 있다.Subsequently, source / drain regions 152a and 152b are formed in surface portions of the semiconductor substrate 100 adjacent to the gate structure 150. The source / drain regions 152a and 152b may be formed by an ion implantation process and a heat treatment process using the gate structure 150 as an ion implantation mask.

상기한 바와 같이 본 발명의 일 실시예에 따라 제조된 불휘발성 메모리 장치(10)는 싱글 레벨 셀(single level cell; SLC) 또는 멀티 레벨 셀(multi level cell; MLC)로서 사용될 수 있으며, F-N 터널링 또는 채널 열전자 주입을 이용하여 전기적으로 데이터의 프로그래밍 및 소거를 수행할 수 있다.As described above, the nonvolatile memory device 10 manufactured according to an embodiment of the present invention may be used as a single level cell (SLC) or a multi level cell (MLC), and may be used for FN tunneling. Alternatively, channel hot electron injection can be used to program and erase data electrically.

상기 불휘발성 메모리 장치(10)가 싱글 레벨 셀로서 사용되는 경우, 상기 전하 트랩핑 막 패턴(142)에는 1비트의 정보가 저장될 수 있다. 예를 들면, 상기 전 하 트랩핑 막 패턴(142)에는 ‘0’ 또는 ‘1’의 로직 상태가 저장될 수 있다.When the nonvolatile memory device 10 is used as a single level cell, one bit of information may be stored in the charge trapping film pattern 142. For example, a logic state of '0' or '1' may be stored in the charge trapping film pattern 142.

구체적으로, 상기 게이트 전극 구조물(120)에 약 5 내지 18V 정도의 프로그래밍 전압이 인가되면, 상기 반도체 기판(100)의 채널 영역(100a)으로부터 전자들이 F-N 터널링에 의해 상기 전하 트랩핑 막 패턴(142)의 트랩 사이트들에 트랩된다. 이에 따라, 상기 전하 트랩핑 막 패턴(142)에는 ‘1’의 로직 상태가 저장된다. 즉, 상기 전하 트랩핑 막 패턴(142)에 저장된 로직 상태에 따라 상기 채널 영역(100a)에서의 문턱 전압이 변화되며, 상기 로직 상태는 상기 게이트 전극 구조물(120)과 드레인 영역(152b)에 서로 다른 읽기 전압들을 각각 인가하여 상기 채널 영역(100a)에서의 전류를 검출함으로써 판단될 수 있다.Specifically, when a programming voltage of about 5 to 18V is applied to the gate electrode structure 120, electrons from the channel region 100a of the semiconductor substrate 100 are tunneled by the FN tunneling pattern 142 by FN tunneling. Trapped at trap sites. Accordingly, a logic state of '1' is stored in the charge trapping film pattern 142. That is, the threshold voltage in the channel region 100a is changed according to the logic state stored in the charge trapping film pattern 142, and the logic state is in the gate electrode structure 120 and the drain region 152b. It may be determined by detecting different currents in the channel region 100a by applying different read voltages, respectively.

상기 불휘발성 메모리 장치(10)가 멀티 레벨 셀로서 사용되는 경우, 상기 전하 트랩핑 막 패턴(142)에는 ‘00’, ‘01’, ‘10’ 또는 ‘11’의 로직 상태가 저장될 수 있다.When the nonvolatile memory device 10 is used as a multi-level cell, a logic state of '00', '01', '10', or '11' may be stored in the charge trapping film pattern 142. .

구체적으로, 상기 불휘발성 메모리 장치(10)는 상기 전하 트랩핑 막 패턴(142) 내에 트랩되는 전자들의 수에 따라 다른 문턱 전압들을 가질 수 있으며, 상기 문턱 전압들에 따라 상기 불휘발성 메모리 장치(10)에는 ‘00’, ‘01’, ‘10’ 또는 ‘11’의 로직 상태가 저장될 수 있다.Specifically, the nonvolatile memory device 10 may have different threshold voltages according to the number of electrons trapped in the charge trapping film pattern 142, and the nonvolatile memory device 10 according to the threshold voltages. ) May store logic states of '00', '01', '10' or '11'.

한편, 상기 전하 트랩핑 막 패턴(142)에는 채널 열전자 주입에 의해 전자들이 트랩될 수도 있다. 구체적으로, 상기 게이트 전극 구조물(120) 및 상기 드레인 영역(152b)에 프로그래밍 전압들이 인가되고, 상기 소스 영역(152a)이 접지되는 경우, 전자들은 상기 소스 영역(152a)으로부터 상기 드레인 영역(152b)을 향하여 상 기 채널 영역(100a)을 통해 이동한다. 이때, 상기 전자들 중 일부는 상기 터널 절연막 패턴(144)의 전위 장벽(potential barrier)을 뛰어넘기에 충분한 에너지를 얻게되며, 상기 전하 트랩핑 막 패턴(142)의 트랩 사이트들에 트랩될 수 있다. 결과적으로, 상기 불휘발성 메모리 장치(10)의 문턱 전압이 상승되며, 이에 따라 상기 불휘발성 메모리 장치(10)에는 1 비트의 정보가 저장될 수 있다.Meanwhile, electrons may be trapped in the charge trapping film pattern 142 by channel hot electron injection. Specifically, when programming voltages are applied to the gate electrode structure 120 and the drain region 152b and the source region 152a is grounded, electrons are transferred from the source region 152a to the drain region 152b. It moves through the channel region 100a. In this case, some of the electrons may obtain sufficient energy to overcome the potential barrier of the tunnel insulation pattern 144 and may be trapped at the trap sites of the charge trapping layer pattern 142. . As a result, the threshold voltage of the nonvolatile memory device 10 is increased, so that one bit of information may be stored in the nonvolatile memory device 10.

불휘발성 메모리 장치의 문턱 전압 윈도우Threshold Voltage Window for Nonvolatile Memory Devices

도 8은 종래의 방법에 의해 형성된 불휘발성 메모리 장치의 문턱 전압과 본 발명의 일 실시예에 따라 형성된 불휘발성 메모리 장치의 문턱 전압을 나타내는 그래프이다.8 is a graph illustrating a threshold voltage of a nonvolatile memory device formed by a conventional method and a threshold voltage of a nonvolatile memory device formed according to an embodiment of the present invention.

먼저, 종래의 방법에 따라 반도체 기판 상에 제1 불휘발성 메모리 장치를 제조하였다. 구체적으로, 상기 제1 불휘발성 메모리 장치는 약 40Å 정도의 두께를 가지며 터널 절연막으로서 기능하는 실리콘 산화막, 약 70Å 정도의 두께를 가지며 전하 트랩핑 막으로서 기능하는 실리콘 질화막, 약 200Å 정도의 두께를 가지며 블록킹 막으로서 기능하는 알루미늄 산화막, 약 200Å 정도의 두께를 가지며 게이트 전극으로서 기능하는 탄탈룸 질화막, 약 50Å 정도의 두께를 가지며 접착막 또는 장벽막으로서 기능하는 텅스텐 질화막, 및 약 300Å 정도의 두께를 가지며 워드 라인으로서 기능하는 텅스텐 막을 포함한다.First, a first nonvolatile memory device was manufactured on a semiconductor substrate according to a conventional method. Specifically, the first nonvolatile memory device has a thickness of about 40 GPa, a silicon oxide film serving as a tunnel insulating film, a thickness of about 70 GPa, a silicon nitride film serving as a charge trapping film, and a thickness of about 200 GPa. An aluminum oxide film serving as a blocking film, a tantalum nitride film having a thickness of about 200 GPa and serving as a gate electrode, a tungsten nitride film having a thickness of about 50 GPa and serving as an adhesive or barrier film, and a thickness of about 300 GPa And a tungsten film that functions as a line.

또한, 본 발명의 일 실시예에 따라 반도체 기판 상에 제2 불휘발성 메모리 장치를 제조하였다. 구체적으로, 상기 제2 불휘발성 메모리 장치는 약 40Å 정도의 두께를 가지며 터널 절연막으로서 기능하는 실리콘 산화막, 약 70Å 정도의 두께를 가지며 전하 트랩핑 막으로서 기능하는 실리콘 질화막, 약 200Å 정도의 두께를 가지며 블록킹 막으로서 기능하는 알루미늄 산화막, 약 200Å 정도의 두께를 가지며 게이트 전극으로서 기능하는 탄탈룸 질화막, 약 50Å 정도의 두께를 가지며 접착막 또는 장벽막으로서 기능하는 텅스텐 질화막, 및 약 300Å 정도의 두께를 가지며 워드 라인으로서 기능하는 텅스텐 막을 포함한다. 상기 제2 불휘발성 메모리 장치의 제조에서, 상기 실리콘 질화막을 형성한 후, 약 1200℃의 온도에서 약 3분 동안 급속 열처리(rapid thermal annealing; RTA) 공정을 수행하였다. 상기 급속 열처리는 질소를 포함하는 가스 분위기에서 수행되었으며, 이때 상기 급속 열처리 챔버 내부의 산소 분압은 약 5×10-6torr 정도로 측정되었다.In addition, a second nonvolatile memory device is fabricated on a semiconductor substrate according to an embodiment of the present invention. Specifically, the second nonvolatile memory device has a thickness of about 40 GPa, a silicon oxide film serving as a tunnel insulating film, a thickness of about 70 GPa, a silicon nitride film serving as a charge trapping film, and a thickness of about 200 GPa. An aluminum oxide film serving as a blocking film, a tantalum nitride film having a thickness of about 200 GPa and serving as a gate electrode, a tungsten nitride film having a thickness of about 50 GPa and serving as an adhesive or barrier film, and a thickness of about 300 GPa And a tungsten film that functions as a line. In the fabrication of the second nonvolatile memory device, after the silicon nitride film is formed, a rapid thermal annealing (RTA) process is performed at a temperature of about 1200 ° C. for about 3 minutes. The rapid heat treatment was performed in a gas atmosphere containing nitrogen, wherein the partial pressure of oxygen in the rapid heat treatment chamber was measured at about 5 × 10 −6 torr.

이어서, 상기 제1 및 제2 불휘발성 메모리 장치들의 문턱 전압들을 측정하였다. 그 결과를 도 8에 도시하였다. 도 6에서 가로축은 프로그래밍 전압 및 소거 전압의 인가 시간을 나타낸다.Subsequently, threshold voltages of the first and second nonvolatile memory devices were measured. The results are shown in FIG. In Figure 6, the horizontal axis represents the application time of the programming voltage and the erase voltage.

1) 상기 제1 불휘발성 메모리 장치에 약 17.0V의 프로그래밍 전압을 인가하였으며, 이에 의해 프로그램된 제1 불휘발성 메모리 장치의 문턱 전압을 측정하였다.1) A programming voltage of about 17.0 V was applied to the first nonvolatile memory device, thereby measuring the threshold voltage of the programmed first nonvolatile memory device.

2) 상기 제1 불휘발성 메모리 장치에 약 -19.0V의 소거 전압을 인가하였으며, 이에 의해 소거된 제1 불휘발성 메모리 장치의 문턱 전압을 측정하였다.2) An erase voltage of about −19.0 V was applied to the first nonvolatile memory device, and thus the threshold voltage of the erased first nonvolatile memory device was measured.

3) 상기 제2 불휘발성 메모리 장치에 약 17.0V의 프로그래밍 전압을 인가하 였으며, 이에 의해 프로그램된 제2 불휘발성 메모리 장치의 문턱 전압을 측정하였다.3) A programming voltage of about 17.0 V was applied to the second nonvolatile memory device, thereby measuring the threshold voltage of the programmed second nonvolatile memory device.

4) 상기 제2 불휘발성 메모리 장치에 약 -19.0V의 소거 전압을 인가하였으며, 이에 의해 소거된 제2 불휘발성 메모리 장치의 문턱 전압을 측정하였다.4) An erase voltage of about −19.0 V was applied to the second nonvolatile memory device, and thus the threshold voltage of the erased second nonvolatile memory device was measured.

도 8을 참조하면, 약 100㎲ 동안 상기 프로그래밍 전압을 인가하는 프로그램 동작에서, 상기 제2 불휘발성 메모리 장치의 문턱 전압은 상기 제1 불휘발성 메모리 장치와 비교하여 약 0.4V 정도 감소되었다.Referring to FIG. 8, in a program operation of applying the programming voltage for about 100 mA, the threshold voltage of the second nonvolatile memory device is reduced by about 0.4V compared to the first nonvolatile memory device.

또한, 약 10ms 동안 상기 소거 전압을 인가하는 소거 동작에서 상기 제2 불휘발성 메모리 장치의 문턱 전압은 상기 제1 불휘발성 메모리 장치와 비교하여 약 2.7V 정도 감소되었다.In addition, in an erase operation in which the erase voltage is applied for about 10 ms, the threshold voltage of the second nonvolatile memory device is reduced by about 2.7 V compared to the first nonvolatile memory device.

결과적으로, 상기 제2 불휘발성 메모리 장치의 문턱 전압 윈도우가 상기 제1 불휘발성 메모리 장치와 비교하여 약 2.3V 정도 개선되었음이 확인되었다.As a result, it was confirmed that the threshold voltage window of the second nonvolatile memory device was improved by about 2.3V compared to the first nonvolatile memory device.

상기와 같은 본 발명의 실시예들에 따르면, 상기 열처리에 의해 상기 전하 트랩핑 막과 상기 블록킹 막 사이에서 원치않는 막이 형성되는 것이 충분히 방지될 수 있으며, 이에 따라 상기 불휘발성 메모리 장치의 문턱 전압 원도우가 충분히 증가될 수 있다.According to the embodiments of the present invention as described above, an unwanted film can be sufficiently prevented from being formed between the charge trapping film and the blocking film by the heat treatment, and thus a threshold voltage window of the nonvolatile memory device. Can be increased sufficiently.

상기에서는 본 발명의 바람직한 실시예를 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.Although described above with reference to a preferred embodiment of the present invention, those skilled in the art will be variously modified and changed within the scope of the invention without departing from the spirit and scope of the invention described in the claims below I can understand that you can.

Claims (20)

채널 영역을 갖는 기판 상에 터널 절연막을 형성하는 단계;Forming a tunnel insulating film on a substrate having a channel region; 상기 터널 절연막 상에 실리콘 질화물을 포함하며 상기 채널 영역으로부터 전자들을 트랩핑하기 위한 전하 트랩핑 막을 형성하는 단계;Forming a charge trapping film comprising silicon nitride on the tunnel insulating film for trapping electrons from the channel region; 상기 전하 트랩핑 막을 치밀화시키기 위하여 1000 내지 1250℃의 온도에서 상기 전하 트랩핑 막을 열처리하는 단계;Heat treating the charge trapping film at a temperature of 1000 to 1250 ° C. to densify the charge trapping film; 상기 열처리된 전하 트랩핑 막 상에 블록킹 막을 형성하는 단계;Forming a blocking film on the heat treated charge trapping film; 상기 블록킹 막 상에 도전막을 형성하는 단계; 및Forming a conductive film on the blocking film; And 상기 도전막, 블록킹 막, 전하 트랩핑 막 및 터널 절연막을 패터닝하여 상기 채널 영역 상에 게이트 구조물을 형성하는 단계를 포함하는 불휘발성 메모리 장치의 제조 방법.Patterning the conductive film, the blocking film, the charge trapping film, and the tunnel insulating film to form a gate structure on the channel region. 제1항에 있어서, 상기 블록킹 막은 산화물을 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.The method of claim 1, wherein the blocking layer comprises an oxide. 제2항에 있어서, 상기 블록킹 막은 실리콘 산화물을 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.The method of claim 2, wherein the blocking layer comprises silicon oxide. 제2항에 있어서, 상기 블록킹 막은 실리콘 질화물보다 높은 유전율을 갖는 금속 산화물을 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.The method of claim 2, wherein the blocking layer comprises a metal oxide having a higher dielectric constant than silicon nitride. 제4항에 있어서, 상기 금속 산화물은 하프늄(Hf), 지르코늄(Zr), 탄탈룸(Ta), 알루미늄(Al), 란탄(La), 세륨(Ce), 프라세오디뮴(Pr), 네오디뮴(Nd), 사마륨(Sm), 유로퓸(Eu), 가돌리늄(Gd), 테르븀(Tb), 디스프로슘(Dy), 홀뮴(Ho), 에르븀(Er), 툴륨(Tm), 이테르븀(Yb) 및 루테튬(Lu)으로 이루어진 군으로부터 선택된 적어도 하나를 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.The method of claim 4, wherein the metal oxide is hafnium (Hf), zirconium (Zr), tantalum (Ta), aluminum (Al), lanthanum (La), cerium (Ce), praseodymium (Pr), neodymium (Nd), With samarium (Sm), europium (Eu), gadolinium (Gd), terbium (Tb), dysprosium (Dy), holmium (Ho), erbium (Er), thulium (Tm), ytterbium (Yb) and lutetium (Lu) At least one selected from the group consisting of a method for manufacturing a nonvolatile memory device. 제5항에 있어서, 상기 금속 산화물은 실리콘을 더 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.The method of claim 5, wherein the metal oxide further comprises silicon. 제1항에 있어서, 상기 열처리는 질소를 포함하는 가스 분위기에서 수행되는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.The method of claim 1, wherein the heat treatment is performed in a gas atmosphere containing nitrogen. 제1항에 있어서, 상기 열처리는 불활성 가스 분위기에서 수행되는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.The method of claim 1, wherein the heat treatment is performed in an inert gas atmosphere. 제1항에 있어서, 상기 열처리는 1×10-6 내지 1×10-4torr의 산소 분압을 갖는 가스 분위기에서 수행되는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방 법.The method of claim 1, wherein the heat treatment is performed in a gas atmosphere having an oxygen partial pressure of 1 × 10 −6 to 1 × 10 −4 torr. 제1항에 있어서, 상기 열처리는 1×10-6torr 이하의 산소 분압을 갖는 가스 분위기에서 수행되는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.The method of claim 1, wherein the heat treatment is performed in a gas atmosphere having an oxygen partial pressure of 1 × 10 −6 torr or less. 제1항에 있어서, 상기 게이트 구조물을 형성하는 단계는,The method of claim 1, wherein the forming of the gate structure comprises: 상기 도전막을 패터닝하여 게이트 전극 구조물을 형성하는 단계; 및Patterning the conductive film to form a gate electrode structure; And 상기 블록킹 막, 전하 트랩핑 막 및 터널 절연막을 패터닝하여 블록킹 막 패턴, 전하 트랩핑 막 패턴 및 터널 절연막 패턴을 형성하는 단계를 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.Patterning the blocking film, the charge trapping film, and the tunnel insulating film to form a blocking film pattern, a charge trapping film pattern, and a tunnel insulating film pattern. 제11항에 있어서, 상기 게이트 전극 구조물의 측면들 상에 스페이서를 형성하는 단계를 더 포함하며, 상기 블록킹 막, 전하 트랩핑 막 및 터널 절연막은 상기 스페이서를 식각 마스크로 이용하는 식각 공정을 통해 패터닝되는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.The method of claim 11, further comprising forming a spacer on side surfaces of the gate electrode structure, wherein the blocking film, the charge trapping film, and the tunnel insulating film are patterned through an etching process using the spacer as an etching mask. A method of manufacturing a nonvolatile memory device, characterized in that. 제1항에 있어서, 상기 게이트 구조물을 형성한 후 상기 게이트 구조물과 인접하는 상기 기판의 표면 부위들에 소스/드레인 영역들을 형성하는 단계를 더 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.The method of claim 1, further comprising forming source / drain regions in surface portions of the substrate adjacent to the gate structure after forming the gate structure. 채널 영역을 갖는 기판 상에 터널 절연막을 형성하는 단계;Forming a tunnel insulating film on a substrate having a channel region; 상기 터널 절연막 상에 실리콘 질화물을 포함하며 상기 채널 영역으로부터 전자들을 트랩핑하기 위한 전하 트랩핑 막을 형성하는 단계;Forming a charge trapping film comprising silicon nitride on the tunnel insulating film for trapping electrons from the channel region; 상기 전하 트랩핑 막을 치밀화시키기 위하여 상기 전하 트랩핑 막을 열처리하는 단계;Heat treating the charge trapping film to densify the charge trapping film; 상기 열처리된 전하 트랩핑 막 상에 실리콘 질화물보다 높은 유전 상수를 갖는 금속 산화물을 포함하는 블록킹 막을 형성하는 단계;Forming a blocking film on the heat treated charge trapping film, the blocking film comprising a metal oxide having a higher dielectric constant than silicon nitride; 상기 블록킹 막 상에 도전막을 형성하는 단계; 및Forming a conductive film on the blocking film; And 상기 도전막, 블록킹 막, 전하 트랩핑 막 및 터널 절연막을 패터닝하여 상기 채널 영역 상에 게이트 구조물을 형성하는 단계를 포함하는 불휘발성 메모리 장치의 제조 방법.Patterning the conductive film, the blocking film, the charge trapping film, and the tunnel insulating film to form a gate structure on the channel region. 제14항에 있어서, 상기 열처리는 1150 내지 1250℃의 온도에서 수행되는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.The method of claim 14, wherein the heat treatment is performed at a temperature of 1150 to 1250 ° C. 16. 제14항에 있어서, 상기 금속 산화물은 하프늄(Hf), 지르코늄(Zr), 탄탈룸(Ta), 알루미늄(Al), 란탄(La), 세륨(Ce), 프라세오디뮴(Pr), 네오디뮴(Nd), 사마륨(Sm), 유로퓸(Eu), 가돌리늄(Gd), 테르븀(Tb), 디스프로슘(Dy), 홀뮴(Ho), 에르븀(Er), 툴륨(Tm), 이테르븀(Yb) 및 루테튬(Lu)으로 이루어진 군으로부터 선택된 적어도 하나를 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.The method of claim 14, wherein the metal oxide is hafnium (Hf), zirconium (Zr), tantalum (Ta), aluminum (Al), lanthanum (La), cerium (Ce), praseodymium (Pr), neodymium (Nd), With samarium (Sm), europium (Eu), gadolinium (Gd), terbium (Tb), dysprosium (Dy), holmium (Ho), erbium (Er), thulium (Tm), ytterbium (Yb) and lutetium (Lu) A method of manufacturing a nonvolatile memory device, characterized in that it comprises at least one selected from the group consisting of. 제16항에 있어서, 상기 금속 산화물은 실리콘을 더 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.17. The method of claim 16, wherein the metal oxide further comprises silicon. 제14항에 있어서, 상기 열처리는 질소를 포함하는 가스 분위기에서 수행되는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.15. The method of claim 14, wherein the heat treatment is performed in a gas atmosphere containing nitrogen. 제14항에 있어서, 상기 열처리는 불활성 가스 분위기에서 수행되는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.15. The method of claim 14, wherein the heat treatment is performed in an inert gas atmosphere. 제14항에 있어서, 상기 열처리는 1×10-4torr 이하의 산소 분압을 갖는 가스 분위기에서 수행되는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.The method of claim 14, wherein the heat treatment is performed in a gas atmosphere having an oxygen partial pressure of 1 × 10 −4 torr or less.
KR1020060091063A 2006-09-20 2006-09-20 Method of manufacturing a non-volatile memory device KR100814374B1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020060091063A KR100814374B1 (en) 2006-09-20 2006-09-20 Method of manufacturing a non-volatile memory device
US11/902,209 US8114735B2 (en) 2006-09-20 2007-09-20 Method of manufacturing a non-volatile memory device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060091063A KR100814374B1 (en) 2006-09-20 2006-09-20 Method of manufacturing a non-volatile memory device

Publications (1)

Publication Number Publication Date
KR100814374B1 true KR100814374B1 (en) 2008-03-18

Family

ID=39410794

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060091063A KR100814374B1 (en) 2006-09-20 2006-09-20 Method of manufacturing a non-volatile memory device

Country Status (1)

Country Link
KR (1) KR100814374B1 (en)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030084823A (en) * 1997-10-07 2003-11-01 가부시끼가이샤 히다치 세이사꾸쇼 Semiconductor Memory Device and Manufacturing Method thereof
KR20040000352A (en) * 2002-06-24 2004-01-03 가부시키가이샤 히타치세이사쿠쇼 Seniconductor device and method for fabricating the same
KR20060003485A (en) * 2004-07-06 2006-01-11 삼성전자주식회사 Method for forming a tunneling insulating layer in a nonvolatible memory device
KR20060055548A (en) * 2006-03-23 2006-05-23 후지쯔 가부시끼가이샤 Semiconductor device and method for manufacturing semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030084823A (en) * 1997-10-07 2003-11-01 가부시끼가이샤 히다치 세이사꾸쇼 Semiconductor Memory Device and Manufacturing Method thereof
KR20040000352A (en) * 2002-06-24 2004-01-03 가부시키가이샤 히타치세이사쿠쇼 Seniconductor device and method for fabricating the same
KR20060003485A (en) * 2004-07-06 2006-01-11 삼성전자주식회사 Method for forming a tunneling insulating layer in a nonvolatible memory device
KR20060055548A (en) * 2006-03-23 2006-05-23 후지쯔 가부시끼가이샤 Semiconductor device and method for manufacturing semiconductor device

Similar Documents

Publication Publication Date Title
US7564094B2 (en) Non-volatile memory devices and methods of manufacturing the same
KR100757333B1 (en) Method of manufacturing a non-volatile memory device
KR100644405B1 (en) Gate structure of a non-volatile memory device and method of manufacturing the same
TWI453899B (en) MOS type semiconductor memory device
US7419918B2 (en) Methods of forming a thin-film structure, methods of manufacturing non-volatile semiconductor devices using the same, and resulting non-volatile semiconductor devices
KR101033222B1 (en) Method of fabricating the non-volatile memory device having charge trapping layer
KR100807227B1 (en) Non-volatile memory device and method of manufacturing the same
KR100757324B1 (en) Method of manufacturing a non-volatile memory device
US8114735B2 (en) Method of manufacturing a non-volatile memory device
US7635633B2 (en) Non-volatile memory device and method of manufacturing the same
KR100819003B1 (en) Method for fabricating non-volatile memory device
KR100823715B1 (en) Method of manufacturing a non-volatile memory device
KR100759845B1 (en) Non-volatile memory device and method of manufacturing the same
KR100807220B1 (en) Method of manufacturing non-volatile memory device
KR101153310B1 (en) Method for manufacturing a mos semiconductor memory device, and plasma cvd device
US8455344B2 (en) Method of manufacturing non-volatile memory device
KR100807228B1 (en) Method of manufacturing a non-volatile memory device
KR100814374B1 (en) Method of manufacturing a non-volatile memory device
KR100763535B1 (en) Method of manufacturing a non-volatile memory device
KR20090010604A (en) Method of manufacturing a non-volatile memory device
US20070246768A1 (en) Nonvolatile memory device and method of fabricating the same
KR20080074406A (en) Method of manufacturing a non-volatile memory device
KR20090049533A (en) Method of manufacturing semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120229

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee