KR20090010604A - Method of manufacturing a non-volatile memory device - Google Patents

Method of manufacturing a non-volatile memory device Download PDF

Info

Publication number
KR20090010604A
KR20090010604A KR1020070073856A KR20070073856A KR20090010604A KR 20090010604 A KR20090010604 A KR 20090010604A KR 1020070073856 A KR1020070073856 A KR 1020070073856A KR 20070073856 A KR20070073856 A KR 20070073856A KR 20090010604 A KR20090010604 A KR 20090010604A
Authority
KR
South Korea
Prior art keywords
film
charge trapping
heat treatment
gas
layer
Prior art date
Application number
KR1020070073856A
Other languages
Korean (ko)
Inventor
김홍석
황기현
박광민
최시영
백승재
이인선
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020070073856A priority Critical patent/KR20090010604A/en
Priority to US11/902,209 priority patent/US8114735B2/en
Publication of KR20090010604A publication Critical patent/KR20090010604A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/4234Gate electrodes for transistors with charge trapping gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Non-Volatile Memory (AREA)
  • Semiconductor Memories (AREA)

Abstract

A method for manufacturing a non-volatile memory device is provided to improve data maintaining characteristic and reliability of the device by removing defective sites inside a charge trapping layer through a thermal process and preventing the generation of an unwanted layer on the charge trapping layer when forming a blocking layer. A tunnel insulating layer is formed on a substrate(100) having a channel region. A charge trapping layer for trapping electrons from the channel region is formed. The charge trapping layer is compacted by a thermal process using the first gas including nitride and the second gas including oxygen. A blocking layer is formed on the charge trapping layer to perform the thermal process. A conductive layer is formed on the blocking layer. A gate structure(150) is formed on the channel region by patterning the conductive layer, the blocking layer, the charge trapping layer, and the tunnel insulating layer.

Description

불휘발성 메모리 장치의 제조 방법{Method of manufacturing a non-volatile memory device}Method of manufacturing a non-volatile memory device

본 발명은 불휘발성 메모리 장치의 제조 방법에 관한 것이다. 보다 상세하게는, 전하 트랩핑 막을 포함하는 불휘발성 메모리 장치의 제조 방법에 관한 것이다.The present invention relates to a method of manufacturing a nonvolatile memory device. More particularly, the present invention relates to a method of manufacturing a nonvolatile memory device including a charge trapping film.

반도체 메모리 장치는 DRAM(dynamic random access memory) 및 SRAM(static random access memory)과 같이 데이터의 입·출력이 상대적으로 빠른 반면, 시간이 경과됨에 따라 데이터가 소실되는 휘발성(volatile) 메모리 장치와, ROM(read only memory)과 같이 데이터의 입·출력이 상대적으로 느리지만, 데이터를 영구 저장이 가능한 불휘발성(non-volatile) 메모리 장치로 구분될 수 있다. 상기 불휘발성 메모리 장치의 경우, 전기적으로 데이터의 입·출력이 가능한 EEPROM(electrically erasable programmable read only memory) 또는 플래시 EEPROM 메모리에 대한 수요가 늘고 있다. 상기 플래시 EEPROM 메모리 장치는 F-N 터널링(Fowler-Nordheim tunneling) 또는 채널 열전자 주입(channel hot electron injection)을 이용하여 전기적으로 데이터의 프로그래밍(programming) 및 소거(erasing)를 수행한다. 상기 플래시 메모리 장치는 플로팅 게이트 타입의 불휘발성 메모리 장치와 SONOS(silicon oxide nitride oxide semiconductor) 또는 MONOS(metal oxide nitride oxide semiconductor) 타입의 불휘발성 메모리 장치로 크게 구분될 수 있다.Semiconductor memory devices, such as dynamic random access memory (DRAM) and static random access memory (SRAM), have relatively fast data input and output, while volatile memory devices lose data over time, and ROM Although data input and output is relatively slow, such as read only memory, it can be classified as a non-volatile memory device that can store data permanently. In the case of the nonvolatile memory device, there is an increasing demand for an electrically erasable programmable read only memory (EEPROM) or a flash EEPROM memory capable of electrically inputting / outputting data. The flash EEPROM memory device electrically performs programming and erasing of data using F-N tunneling or channel hot electron injection. The flash memory device may be classified into a nonvolatile memory device of a floating gate type and a nonvolatile memory device of a silicon oxide nitride oxide semiconductor (SONOS) or a metal oxide nitride oxide semiconductor (MONOS) type.

상기 SONOS 또는 MONOS 타입의 불휘발성 메모리 장치는 반도체 기판 상에 형성된 터널 절연막, 상기 채널 영역을 통해 이동하는 전자들을 트랩핑하기 위한 전하 트랩핑 막, 상기 전하 트랩핑 막 상에 형성된 블록킹 막, 상기 블록킹 막 상에 형성된 게이트 전극, 상기 게이트 전극의 측면들 상에 형성된 스페이서를 포함할 수 있다.The SONOS or MONOS type nonvolatile memory device includes a tunnel insulating film formed on a semiconductor substrate, a charge trapping film for trapping electrons moving through the channel region, a blocking film formed on the charge trapping film, and the blocking. It may include a gate electrode formed on the film, a spacer formed on the side surfaces of the gate electrode.

상기 전하 트랩핑 막으로는 실리콘 질화막이 사용될 수 있다. 그러나, 상기 실리콘 질화막 내의 실리콘 댕글링 본드들, 실리콘 수소 결합들, 등과 같은 결함 사이트들은 측방 전하 확산을 유발시킬 수 있으며, 이에 따라 상기 불휘발성 메모리 장치의 데이터 유지 특성 및 신뢰성이 크게 저하될 수 있다.A silicon nitride film may be used as the charge trapping film. However, defect sites such as silicon dangling bonds, silicon hydrogen bonds, and the like in the silicon nitride film may cause lateral charge diffusion, thereby greatly reducing data retention and reliability of the nonvolatile memory device. .

한편, 상기 SONOS 또는 MONOS 타입의 불휘발성 메모리 장치는 싱글 레벨 셀(single level cell; SLC) 또는 멀티 레벨 셀(multi level cell; MLC)로서 사용될 수 있다.The SONOS or MONOS type nonvolatile memory device may be used as a single level cell (SLC) or a multi level cell (MLC).

상기 불휘발성 메모리 장치가 싱글 레벨 셀로서 사용되는 경우, 상기 전하 트랩핑 막에는 ‘0’ 또는 ‘1’의 로직 상태가 저장될 수 있다.When the nonvolatile memory device is used as a single level cell, a logic state of '0' or '1' may be stored in the charge trapping layer.

상기 불휘발성 메모리 장치(10)가 멀티 레벨 셀로서 사용되는 경우, 상기 전하 트랩핑 막에는 ‘00’, ‘01’, ‘10’ 또는 ‘11’의 로직 상태가 저장될 수 있다.When the nonvolatile memory device 10 is used as a multi-level cell, a logic state of '00', '01', '10', or '11' may be stored in the charge trapping layer.

상기 불휘발성 메모리 장치가 멀티 레벨 셀로서 사용되는 경우, 상기 불휘발성 메모리 장치에는 싱글 레벨 셀로서 사용되는 경우와 비교하여 더 많은 열적 스트레스 또는 전기적 스트레스가 인가될 수 있다. 따라서, 상기 불휘발성 메모리 장치가 멀티 레벨 셀로서 사용되는 경우 약 6.0V 이상의 문턱 전압 윈도우가 요구된다.When the nonvolatile memory device is used as a multi-level cell, more thermal stress or electrical stress may be applied to the nonvolatile memory device as compared with the case where the nonvolatile memory device is used as a single level cell. Thus, when the nonvolatile memory device is used as a multi-level cell, a threshold voltage window of about 6.0V or more is required.

상기 불휘발성 메모리 장치의 문턱 전압 윈도우를 증가시키기 위하여 상기 블록킹 막으로서 실리콘 질화물보다 높은 유전율을 갖는 금속 산화물 막이 사용될 수 있다. 예를 들면, 상기 블록킹 막으로서 알루미늄 산화막이 사용될 수 있다.A metal oxide film having a higher dielectric constant than silicon nitride may be used as the blocking film to increase the threshold voltage window of the nonvolatile memory device. For example, an aluminum oxide film may be used as the blocking film.

그러나, 상기 알루미늄 산화막을 형성하는 동안 상기 전하 트랩핑 막으로서 사용되는 실리콘 질화막과 상기 알루미늄 산화막 사이에 원치않는 막이 형성될 수 있다. 예를 들면, 상기 실리콘 질화막과 알루미늄 산화막 사이에는 알루미늄 실리콘 산질화막이 형성될 수 있으며, 상기 알루미늄 실리콘 산질화막은 상기 불휘발성 메모리 장치의 문턱 전압 윈도우를 감소시킬 수 있다. 즉, 상기 알루미늄 실리콘 산질화막에 의해 프로그래밍 또는 소거 동작시 상기 터널 절연막에 인가되는 전기장(electric field)이 감소될 수 있으며, 이에 따라 상기 문턱 전압 윈도우가 감소될 수 있다. 결과적으로, 상기 불휘발성 메모리 장치의 신뢰도가 저하될 수 있다.However, during the formation of the aluminum oxide film, an unwanted film may be formed between the silicon nitride film used as the charge trapping film and the aluminum oxide film. For example, an aluminum silicon oxynitride layer may be formed between the silicon nitride layer and the aluminum oxide layer, and the aluminum silicon oxynitride layer may reduce the threshold voltage window of the nonvolatile memory device. That is, the electric field applied to the tunnel insulating layer during the programming or erasing operation may be reduced by the aluminum silicon oxynitride layer, and thus the threshold voltage window may be reduced. As a result, the reliability of the nonvolatile memory device may be lowered.

상기와 같은 문제점을 해결하기 위한 본 발명의 목적은 데이터 유지 특성 및 신뢰도가 향상된 불휘발성 메모리 장치를 제공하는데 있다.An object of the present invention for solving the above problems is to provide a nonvolatile memory device with improved data retention characteristics and reliability.

상기 목적을 달성하기 위한 본 발명의 일 측면에 따르면, 채널 영역을 갖는 기판 상에 터널 절연막이 형성되며, 상기 터널 절연막 상에는 실리콘 질화물을 포함하며 상기 채널 영역으로부터 전자들을 트랩핑하기 위한 전하 트랩핑 막이 형성된다. 상기 전하 트랩핑 막은 질소를 포함하는 제1 가스와 산소를 포함하는 제2 가스를 이용하여 열처리될 수 있으며, 이에 따라 상기 전하 트랩핑 막 내부의 결함 사이트들이 제거될 수 있으며, 상기 전하 트랩핑 막이 충분히 치밀화될 수 있다. According to an aspect of the present invention for achieving the above object, a tunnel insulating film is formed on a substrate having a channel region, a charge trapping film containing silicon nitride on the tunnel insulating film for trapping electrons from the channel region Is formed. The charge trapping film may be heat-treated using a first gas containing nitrogen and a second gas containing oxygen, thereby eliminating defect sites in the charge trapping film, thereby preventing the charge trapping film from being Can be sufficiently compacted.

상기 열처리된 전하 트랩핑 막 상에는 블록킹 막 및 도전막이 순차적으로 형성되며, 상기 도전막, 블록킹 막, 전하 트랩핑 막 및 터널 절연막은 상기 채널 영역 상에 게이트 구조물을 형성하기 위하여 패터닝될 수 있다.A blocking film and a conductive film are sequentially formed on the heat-treated charge trapping film, and the conductive film, blocking film, charge trapping film, and tunnel insulating film may be patterned to form a gate structure on the channel region.

본 발명의 일 실시예에 따르면, 상기 열처리는 약 900℃ 내지 1250℃ 정도의 온도에서 수행될 수 있다.According to one embodiment of the present invention, the heat treatment may be performed at a temperature of about 900 ℃ to 1250 ℃.

본 발명의 일 실시예에 따르면, 상기 열처리는 약 1150℃ 내지 1250℃ 정도의 온도에서 수행될 수 있다.According to one embodiment of the present invention, the heat treatment may be performed at a temperature of about 1150 ℃ to 1250 ℃.

본 발명의 일 실시예에 따르면, 상기 블록킹 막은 실리콘 질화물보다 높은 유전율을 갖는 금속 산화물을 포함할 수 있다.According to an embodiment of the present invention, the blocking film may include a metal oxide having a higher dielectric constant than silicon nitride.

본 발명의 일 실시예에 따르면, 상기 금속 산화물은 하프늄(Hf), 지르코늄(Zr), 탄탈룸(Ta), 알루미늄(Al), 란탄(La), 세륨(Ce), 프라세오디뮴(Pr), 네오디뮴(Nd), 사마륨(Sm), 유로퓸(Eu), 가돌리늄(Gd), 테르븀(Tb), 디스프로슘(Dy), 홀뮴(Ho), 에르븀(Er), 툴륨(Tm), 이테르븀(Yb), 루테튬(Lu), 등을 포함할 수 있으며, 이들은 단독으로 또는 혼합의 형태로 사용될 수 있다.According to one embodiment of the present invention, the metal oxide is hafnium (Hf), zirconium (Zr), tantalum (Ta), aluminum (Al), lanthanum (La), cerium (Ce), praseodymium (Pr), neodymium ( Nd, samarium (Sm), europium (Eu), gadolinium (Gd), terbium (Tb), dysprosium (Dy), holmium (Ho), erbium (Er), thulium (Tm), ytterbium (Yb), lutetium ( Lu), and the like, which may be used alone or in the form of a mixture.

본 발명의 일 실시예에 따르면, 상기 금속 산화물은 실리콘을 더 포함할 수 있다.According to an embodiment of the present invention, the metal oxide may further include silicon.

본 발명의 일 실시예에 따르면, 상기 제1 가스는 질소(N2), 암모니아(NH3), 등을 포함할 수 있으며, 이들은 단독으로 또는 혼합의 형태로 사용될 수 있다.According to an embodiment of the present invention, the first gas may include nitrogen (N 2 ), ammonia (NH 3 ), or the like, which may be used alone or in a mixed form.

본 발명의 일 실시예에 따르면, 상기 제2 가스는 산소(O2), 오존(O3), 일산화질소(NO), 등을 포함할 수 있으며, 이들은 단독으로 또는 혼합의 형태로 사용될 수 있다.According to an embodiment of the present invention, the second gas may include oxygen (O 2 ), ozone (O 3 ), nitrogen monoxide (NO), and the like, which may be used alone or in a mixed form. .

본 발명의 일 실시예에 따르면, 상기 열처리는 상기 제1 가스 및 상기 제2 가스의 혼합 가스를 이용하여 수행될 수 있다.According to an embodiment of the present invention, the heat treatment may be performed using a mixed gas of the first gas and the second gas.

본 발명의 일 실시예에 따르면, 상기 혼합 가스는 약 90% 내지 99% 정도의 질소와 약 1% 내지 10% 정도의 일산화질소를 포함할 수 있다.According to an embodiment of the present invention, the mixed gas may include about 90% to about 99% nitrogen and about 1% to about 10% nitrogen monoxide.

본 발명의 일 실시예에 따르면, 상기 혼합 가스는 약 95% 내지 98% 정도의 질소와 약 2% 내지 5% 정도의 일산화질소를 포함할 수 있다.According to one embodiment of the present invention, the mixed gas may include about 95% to 98% nitrogen and about 2% to 5% nitrogen monoxide.

본 발명의 일 실시예에 따르면, 상기 혼합 가스는 약 95% 내지 99% 정도의 질소와 약 1% 내지 5% 정도의 산소를 포함할 수 있다.According to one embodiment of the present invention, the mixed gas may include about 95% to 99% nitrogen and about 1% to 5% oxygen.

본 발명의 일 실시예에 따르면, 상기 혼합 가스는 약 97% 내지 99% 정도의 질소와 약 1% 내지 3% 정도의 오존을 포함할 수 있다.According to one embodiment of the present invention, the mixed gas may include about 97% to 99% nitrogen and about 1% to 3% ozone.

본 발명의 일 실시예에 따르면, 상기 제1 가스를 이용하는 제1 열처리와 상기 제2 가스를 이용하는 제2 열처리가 순차적으로 수행될 수 있다.According to an embodiment of the present invention, the first heat treatment using the first gas and the second heat treatment using the second gas may be sequentially performed.

본 발명의 일 실시예에 따르면, 상기 열처리에 의해 상기 전하 트랩핑 막 상에는 치밀화된 실리콘 산질화막이 형성될 수 있다.According to an embodiment of the present invention, a densified silicon oxynitride film may be formed on the charge trapping film by the heat treatment.

본 발명의 일 실시예에 따르면, 상기 도전막은 게이트 전극 구조물을 형성하기 위하여 패터닝될 수 있으며, 이어서 상기 블록킹 막, 전하 트랩핑 막 및 터널 절연막은 블록킹 막 패턴, 전하 트랩핑 막 패턴 및 터널 절연막 패턴을 형성하기 위하여 패터닝될 수 있다.According to an embodiment of the present invention, the conductive layer may be patterned to form a gate electrode structure, and then the blocking layer, the charge trapping layer, and the tunnel insulation layer may include a blocking layer pattern, a charge trapping layer pattern, and a tunnel insulation layer pattern. It can be patterned to form.

본 발명의 일 실시예에 따르면, 상기 게이트 전극 구조물의 측면들 상에는 스페이서가 형성될 수 있으며, 상기 블록킹 막, 전하 트랩핑 막 및 터널 절연막은 상기 스페이서를 식각 마스크로 이용하는 식각 공정을 통해 패터닝될 수 있다.In example embodiments, a spacer may be formed on side surfaces of the gate electrode structure, and the blocking layer, the charge trapping layer, and the tunnel insulating layer may be patterned through an etching process using the spacer as an etching mask. have.

본 발명의 일 실시예에 따르면, 상기 게이트 구조물을 형성한 후 상기 게이트 구조물과 인접하는 상기 기판의 표면 부위들에는 소스/드레인 영역들이 형성될 수 있다.According to an embodiment of the present invention, source / drain regions may be formed in surface portions of the substrate adjacent to the gate structure after the gate structure is formed.

본 발명의 실시예들에 따르면, 상기 전하 트랩핑 막 내의 결함 사이트들은 상기 열처리에 의해 충분히 제거될 수 있다. 또한, 상기 전하 트랩핑 막은 상기 열 처리에 의해 충분히 치밀화될 수 있으므로 상기 블록킹 막을 형성하는 동안 상기 전하 트랩핑 막 상에 원치않는 막이 생성되는 것이 방지될 수 있다. 결과적으로, 상기 불휘발성 메모리 장치의 데이터 유지 특성 및 신뢰도가 크게 개선될 수 있다.According to embodiments of the present invention, defect sites in the charge trapping film can be sufficiently removed by the heat treatment. In addition, the charge trapping film can be sufficiently densified by the heat treatment so that an unwanted film can be prevented from being generated on the charge trapping film while forming the blocking film. As a result, data retention characteristics and reliability of the nonvolatile memory device can be greatly improved.

이하, 본 발명에 따른 실시예들을 첨부된 도면을 참조하여 상세하게 설명하면 다음과 같다. 그러나, 본 발명은 하기의 실시예들에 한정되지 않고 다른 형태로 구현될 수도 있다. 여기서 소개되는 실시예들은 개시된 내용이 보다 완전해질 수 있도록 그리고 당업자에게 본 발명의 사상과 특징이 충분히 전달될 수 있도록 하기 위해 제공된다. 도면들에 있어서, 각 장치 또는 막(층) 및 영역들의 두께는 본 발명의 명확성을 기하기 위하여 과장되게 도시되었으며, 또한 각 장치는 본 명세서에서 설명되지 아니한 다양한 부가 장치들을 구비할 수 있으며, 막(층)이 다른 막(층) 또는 기판 상에 위치하는 것으로 언급되는 경우, 다른 막(층) 또는 기판 상에 직접 형성되거나 그들 사이에 추가적인 막(층)이 개재될 수 있다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings. However, the present invention is not limited to the following embodiments and may be implemented in other forms. The embodiments introduced herein are provided to make the disclosure more complete and to fully convey the spirit and features of the invention to those skilled in the art. In the drawings, the thickness of each device or film (layer) and regions has been exaggerated for clarity of the invention, and each device may have a variety of additional devices not described herein. When (layer) is mentioned as being located on another film (layer) or substrate, an additional film (layer) may be formed directly on or between the other film (layer) or substrate.

도 1 내지 도 7은 본 발명의 일 실시예에 따른 불휘발성 메모리 장치의 제조 방법을 설명하기 위한 단면도들이다.1 to 7 are cross-sectional views illustrating a method of manufacturing a nonvolatile memory device in accordance with an embodiment of the present invention.

도 1 및 도 2를 참조하면, 실리콘웨이퍼와 같은 반도체 기판(100)의 표면 부위에 소자 분리막(미도시)을 형성함으로써 액티브 영역을 정의한다. 구체적으로, 실리콘 부분 산화(Local oxidation of silicon; LOCOS) 공정 또는 셸로우 트렌치 소자분리(shallow trench isolation; STI) 공정을 통해 반도체 기판의(100) 표면 부위에 상기 소자 분리막을 형성한다.1 and 2, an active region is defined by forming an isolation layer (not shown) on a surface portion of a semiconductor substrate 100 such as a silicon wafer. Specifically, the device isolation layer is formed on the surface portion of the semiconductor substrate 100 through a local oxidation of silicon (LOCOS) process or a shallow trench isolation (STI) process.

상기 반도체 기판(100) 상에 터널 절연막(102), 전하 트랩핑 막(104), 블록킹 막(108), 및 도전막(110)을 순차적으로 형성한다.The tunnel insulating layer 102, the charge trapping layer 104, the blocking layer 108, and the conductive layer 110 are sequentially formed on the semiconductor substrate 100.

상기 터널 절연막(102)은 실리콘 산화물(SiO2)로 이루어질 수 있으며, 열 산화 공정에 의해 형성될 수 있다. 상기 터널 절연막(102)은 약 30 내지 100Å 정도의 두께를 가질 수 있다. 예를 들면, 상기 터널 절연막(102)은 상기 반도체 기판(100) 상에 약 40Å 정도의 두께를 갖도록 형성될 수 있다.The tunnel insulating layer 102 may be made of silicon oxide (SiO 2 ), and may be formed by a thermal oxidation process. The tunnel insulating layer 102 may have a thickness of about 30 to about 100 μs. For example, the tunnel insulating layer 102 may be formed on the semiconductor substrate 100 to have a thickness of about 40 GPa.

상기 전하 트랩핑 막(104)은 상기 반도체 기판(100)의 채널 영역으로부터 전자들을 트랩하기 위하여 형성된다. 상기 전하 트랩핑 막(104)은 실리콘 질화물(예를 들면, Si3N4)을 포함할 수 있다.The charge trapping film 104 is formed to trap electrons from the channel region of the semiconductor substrate 100. The charge trapping film 104 may include silicon nitride (eg, Si 3 N 4 ).

상기 전하 트랩핑 막(104)은 저압 화학 기상 증착에 의해 상기 터널 절연막(102) 상에 약 20 내지 100Å 정도의 두께로 형성될 수 있다. 예를 들면, 상기 전하 트랩핑 막(104)은 상기 터널 절연막(102) 상에 약 60Å 정도의 두께로 형성될 수 있다.The charge trapping film 104 may be formed to a thickness of about 20 to about 100 kHz on the tunnel insulating film 102 by low pressure chemical vapor deposition. For example, the charge trapping film 104 may be formed on the tunnel insulating film 102 to a thickness of about 60 μs.

상기 전하 트랩핑 막(104)을 형성한 후, 상기 전하 트랩핑 막(104)을 치밀화시키기 위하여 약 900℃ 내지 1250℃ 정도의 온도에서 열처리를 수행한다. 상기 열처리는 상기 전하 트랩핑 막(104)을 치밀화시킴으로써 상기 블록킹 막(108)을 형성하기 위한 후속 공정에서 상기 전하 트랩핑 막(104) 상에 원치않는 막이 형성되는 것을 방지하기 위하여 수행될 수 있다. 특히, 상기 열처리는 상기 전하 트랩핑 막(104)의 치밀화 효과를 상승시키기 위하여 약 1150℃ 내지 1250℃ 정도의 온도에 서 수행될 수 있다.After the charge trapping film 104 is formed, heat treatment is performed at a temperature of about 900 ° C to 1250 ° C to densify the charge trapping film 104. The heat treatment may be performed to prevent unwanted film formation on the charge trapping film 104 in a subsequent process for forming the blocking film 108 by densifying the charge trapping film 104. . In particular, the heat treatment may be performed at a temperature of about 1150 ℃ to 1250 ℃ to increase the densification effect of the charge trapping film 104.

또한, 상기 열처리는 전하 트랩핑 막(104) 내의 결함 사이트들을 제거하기 위하여 수행될 수 있다. 특히, 상기 전하 트랩핑 막(104) 내에는 실리콘 댕글링 본드, 실리콘 수소 결합 등과 같은 결함 사이트들이 존재하며, 상기 결함 사이트들에 의해 상기 전하 트랩핑 막(104)의 전기적 특성이 저하될 수 있다. 예를 들면, 상기 결함 사이트들은 전하 트랩핑 막(104) 내에서 전자들의 측방 확산을 야기할 수 있으며, 이에 따라 상기 전하 트랩핑 막(104)을 포함하는 불휘발성 메모리 장치의 데이터 유지 특성 및 신뢰성이 저하될 수 있다.In addition, the heat treatment may be performed to remove defect sites in the charge trapping film 104. In particular, defect sites such as silicon dangling bonds, silicon hydrogen bonds, and the like are present in the charge trapping film 104, and electrical characteristics of the charge trapping film 104 may be degraded by the defect sites. . For example, the defect sites may cause lateral diffusion of electrons in the charge trapping film 104, thus the data retention characteristics and reliability of a nonvolatile memory device including the charge trapping film 104. This can be degraded.

상기 열처리는 상기 결함 사이트들을 제거하기 위하여 질소를 포함하는 제1 가스와 산소를 포함하는 제2 가스의 혼합 가스를 이용하여 수행될 수 있다. 상기 열처리를 수행하는 동안 상기 전하 트랩핑 막(104) 내의 수소와 산소가 치환될 수 있으며, 또한 실리콘 댕글링 본드들이 제거될 수 있다. 결과적으로, 상기 전하 트랩핑 막(104)의 표면 부위는 치밀화된 실리콘 산질화막(SiON)으로 형성될 수 있다.The heat treatment may be performed using a mixed gas of a first gas containing nitrogen and a second gas containing oxygen to remove the defect sites. During the heat treatment, hydrogen and oxygen in the charge trapping film 104 may be replaced, and silicon dangling bonds may be removed. As a result, the surface portion of the charge trapping film 104 may be formed of a densified silicon oxynitride film (SiON).

추가적으로, 상기 열처리를 수행함으로써 상기 터널 절연막(102)과 상기 기판(100) 사이에서 계면 부위의 질소 농도를 증가시킬 수 있으며, 이에 따라 상기 터널 절연막(102)의 유전 상수가 증가될 수 있으며 상기 터널 절연막(102)을 통한 누설 전류를 감소시킬 수 있다. 결과적으로, 상기 불휘발성 메모리 장치의 신뢰성이 향상될 수 있다.In addition, by performing the heat treatment, the nitrogen concentration of the interface portion between the tunnel insulating film 102 and the substrate 100 may be increased, and thus the dielectric constant of the tunnel insulating film 102 may be increased and the tunnel may be increased. The leakage current through the insulating film 102 can be reduced. As a result, the reliability of the nonvolatile memory device can be improved.

상기 제1 가스로는 질소(N2) 또는 암모니아(NH3)가 사용될 수 있으며, 이들 은 혼합 가스의 형태로 사용될 수도 있다. 상기 제2 가스로는 일산화질소(NO), 산소(O2) 또는 오존(O3)이 사용될 수 있으며, 이들은 혼합 가스의 형태로 사용될 수도 있다. 상기 혼합 가스는 약 0.5 내지 1.0ℓ/min 정도의 유량으로 제공될 수 있다.Nitrogen (N 2 ) or ammonia (NH 3 ) may be used as the first gas, and these may be used in the form of a mixed gas. Nitrogen monoxide (NO), oxygen (O 2 ) or ozone (O 3 ) may be used as the second gas, and they may be used in the form of a mixed gas. The mixed gas may be provided at a flow rate of about 0.5 to 1.0 l / min.

예를 들면, 상기 열처리는 질소와 일산화질소의 혼합 가스를 이용하여 수행될 수 있다. 상기 혼합 가스는 약 90% 내지 99% 정도의 질소와 약 1% 내지 10% 정도의 일산화질소를 포함할 수 있다. 특히, 상기 혼합 가스는 약 95% 내지 98% 정도의 질소와 약 2% 내지 5% 정도의 일산화질소를 포함할 수 있다.For example, the heat treatment may be performed using a mixed gas of nitrogen and nitrogen monoxide. The mixed gas may include about 90% to about 99% nitrogen and about 1% to about 10% nitrogen monoxide. In particular, the mixed gas may include about 95% to 98% nitrogen and about 2% to 5% nitrogen monoxide.

한편, 상기 제2 가스로서 아산화질소(N2O)가 사용될 수 있으나, 상기 아산화질소는 일산화질소에 비하여 상대적으로 산화력이 크기 때문에 상기 제2 가스로서 사용하기에 적절하지 않다.Meanwhile, although nitrous oxide (N 2 O) may be used as the second gas, the nitrous oxide is not suitable for use as the second gas because the oxidizing power is relatively higher than that of nitrogen monoxide.

본 발명의 다른 실시예에 따르면, 상기 혼합 가스는 약 95% 내지 99% 정도의 질소 가스와 약 1% 내지 5% 정도의 산소 가스를 포함할 수 있다.According to another embodiment of the present invention, the mixed gas may include about 95% to about 99% nitrogen gas and about 1% to about 5% oxygen gas.

본 발명의 또 다른 실시예에 따르면, 상기 혼합 가스는 약 97% 내지 99% 정도의 질소 가스와 약 1% 내지 3% 정도의 오존 가스를 포함할 수 있다.According to another embodiment of the present invention, the mixed gas may include about 97% to 99% nitrogen gas and about 1% to 3% ozone gas.

본 발명의 또 다른 실시예에 따르면, 상기 전하 트랩핑 막(104)은 제1 열처리 및 제2 열처리에 의해 치밀화될 수 있다. 예를 들면, 상기 제1 가스를 이용하는 제1 열처리와 상기 제2 가스를 이용하는 제2 열처리가 순차적으로 수행될 수 있다. 특히, 상기 제2 열처리는 산소 또는 오존을 이용하는 급속 열처리 공정(rapid thermal process; RTP) 설비에 의해 수행될 수 있다. 예를 들면, 상기 제2 열처리 는 상기 급속 열처리 공정 설비를 이용하여 산소 가스 분위기에서 약 1분 내지 5분 동안 수행될 수 있다. 또한, 상기 제2 열처리는 상기 급속 열처리 공정 설비를 이용하여 오존 가스 분위기에서 약 1분 내지 3분 동안 수행될 수도 있다.According to another embodiment of the present invention, the charge trapping film 104 may be densified by the first heat treatment and the second heat treatment. For example, a first heat treatment using the first gas and a second heat treatment using the second gas may be sequentially performed. In particular, the second heat treatment may be performed by a rapid thermal process (RTP) facility using oxygen or ozone. For example, the second heat treatment may be performed for about 1 to 5 minutes in an oxygen gas atmosphere using the rapid heat treatment process equipment. In addition, the second heat treatment may be performed for about 1 minute to 3 minutes in an ozone gas atmosphere using the rapid heat treatment process equipment.

본 발명의 또 다른 실시예에 따르면, 상기 열처리는 상기 제1 가스를 이용하여 약 1000℃ 내지 1250℃ 정도의 온도에서 수행될 수 있다. 특히, 상기 열처리는 약 1150 내지 1250℃의 온도에서 수행될 수 있다. 예를 들면, 상기 열처리는 질소 가스 분위기에서 약 1200℃의 온도에서 수행될 수 있다.According to another embodiment of the present invention, the heat treatment may be performed at a temperature of about 1000 ℃ to 1250 ℃ using the first gas. In particular, the heat treatment may be performed at a temperature of about 1150 to 1250 ℃. For example, the heat treatment may be performed at a temperature of about 1200 ° C. in a nitrogen gas atmosphere.

본 발명의 또 다른 실시예에 따르면, 상기 열처리는 아르곤(Ar) 또는 헬륨(He)과 같은 불활성 가스 분위기에서 수행될 수도 있다.According to another embodiment of the present invention, the heat treatment may be performed in an inert gas atmosphere such as argon (Ar) or helium (He).

상기 제1 가스를 이용하여 상기 열처리를 수행하는 동안 상기 전하 트랩핑 막(104)의 표면 부위가 산화되는 것을 방지하기 위하여 상기 열처리는 약

Figure 112007053516180-PAT00001
이하의 산소 분압(partial pressure)을 갖는 가스 분위기에서 수행될 수 있다. 예를 들면, 상기 열처리는 약
Figure 112007053516180-PAT00002
내지
Figure 112007053516180-PAT00003
정도의 산소 분압을 갖는 가스 분위기에서 수행될 수 있다. 특히, 상기 열처리는 약
Figure 112007053516180-PAT00004
이하의 산소 분압을 갖는 가스 분위기에서 수행되는 것이 바람직하다.The heat treatment is about to prevent oxidation of the surface portion of the charge trapping film 104 during the heat treatment using the first gas.
Figure 112007053516180-PAT00001
It may be performed in a gas atmosphere having the following oxygen partial pressure. For example, the heat treatment is about
Figure 112007053516180-PAT00002
To
Figure 112007053516180-PAT00003
It may be performed in a gas atmosphere having a degree of oxygen partial pressure. In particular, the heat treatment is about
Figure 112007053516180-PAT00004
It is preferably carried out in a gas atmosphere having the following oxygen partial pressure.

상기 제1 가스를 이용하는 열처리는 상기 전하 트랩핑 막(104)을 치밀화시키고 상기 전하 트랩핑 막(104) 내의 결함 사이트들을 제거하기 위하여 수행될 수 있다.A heat treatment using the first gas may be performed to densify the charge trapping film 104 and remove defect sites in the charge trapping film 104.

도 3을 참조하면, 상기 블록킹 막(108)은 상기 열처리된 전하 트랩핑 막(106) 상에 형성된다. 상기 블록킹 막(108)은 상기 전하 트랩핑 막(106)과 상기 도전막(110) 사이에서 전기적인 절연을 제공한다. 상기 블록킹 막(108)은 실리콘 산화물 또는 실리콘 질화물보다 높은 유전율을 갖는 고유전율 물질로 이루어질 수 있으며, 화학 기상 증착 또는 원자층 증착에 의해 형성될 수 있다. 예를 들면, 상기 블록킹 막(108)은 알루미늄 산화물을 포함할 수 있으며, 상기 전하 트랩핑 막(106) 상에 약 100 내지 400Å 정도의 두께로 형성될 수 있다. 특히, 상기 블록킹 막(108)은 상기 전하 트랩핑 막(106) 상에 약 200Å 정도의 두께로 형성될 수 있다.Referring to FIG. 3, the blocking film 108 is formed on the heat treated charge trapping film 106. The blocking film 108 provides electrical insulation between the charge trapping film 106 and the conductive film 110. The blocking film 108 may be made of a high dielectric constant material having a higher dielectric constant than silicon oxide or silicon nitride, and may be formed by chemical vapor deposition or atomic layer deposition. For example, the blocking film 108 may include aluminum oxide, and may be formed on the charge trapping film 106 to a thickness of about 100 to about 400 kPa. In particular, the blocking layer 108 may be formed on the charge trapping layer 106 to a thickness of about 200 μs.

본 발명의 일 실시예에 따르면, 상기 금속 산화물은 하프늄(Hf), 지르코늄(Zr), 탄탈룸(Ta), 알루미늄(Al), 란탄(La), 세륨(Ce), 프라세오디뮴(Pr), 네오디뮴(Nd), 사마륨(Sm), 유로퓸(Eu), 가돌리늄(Gd), 테르븀(Tb), 디스프로슘(Dy), 홀뮴(Ho), 에르븀(Er), 툴륨(Tm), 이테르븀(Yb), 루테튬(Lu) 등을 포함할 수 있다. 특히, 상기 블록킹 막(108)은 하프늄 알루미늄 산화물(HfAlO), 란탄 산화물(La2O3), 알루미늄 란탄 산화물(AlLaO), 하프늄 란탄 산화물(HfLaO) 등을 포함할 수 있다.According to one embodiment of the present invention, the metal oxide is hafnium (Hf), zirconium (Zr), tantalum (Ta), aluminum (Al), lanthanum (La), cerium (Ce), praseodymium (Pr), neodymium ( Nd, samarium (Sm), europium (Eu), gadolinium (Gd), terbium (Tb), dysprosium (Dy), holmium (Ho), erbium (Er), thulium (Tm), ytterbium (Yb), lutetium ( Lu) and the like. In particular, the blocking layer 108 may include hafnium aluminum oxide (HfAlO), lanthanum oxide (La 2 O 3 ), aluminum lanthanum oxide (AlLaO), hafnium lanthanum oxide (HfLaO), and the like.

본 발명의 다른 실시예에 따르면, 상기 블록킹 막(108)은 금속 산질화물, 금속 실리콘 산화물, 금속 실리콘 산질화물 등을 포함할 수 있으며, 상기 금속은 하프늄(Hf), 지르코늄(Zr), 탄탈룸(Ta), 알루미늄(Al), 란탄(La), 세륨(Ce), 프라세오디뮴(Pr), 네오디뮴(Nd), 사마륨(Sm), 유로퓸(Eu), 가돌리늄(Gd), 테르븀(Tb), 디스프로슘(Dy), 홀뮴(Ho), 에르븀(Er), 툴륨(Tm), 이테르븀(Yb), 루테튬(Lu) 등을 포함할 수 있다.According to another embodiment of the present invention, the blocking film 108 may include metal oxynitride, metal silicon oxide, metal silicon oxynitride, and the like, and the metal may be hafnium (Hf), zirconium (Zr), or tantalum ( Ta, aluminum (Al), lanthanum (La), cerium (Ce), praseodymium (Pr), neodymium (Nd), samarium (Sm), europium (Eu), gadolinium (Gd), terbium (Tb), dysprosium ( Dy), holmium (Ho), erbium (Er), thulium (Tm), ytterbium (Yb), lutetium (Lu), and the like.

상기 블록킹 막(108)을 형성하는 동안, 상기 전하 트랩핑 막(106)이 상기 열처리에 의해 충분히 치밀화된 상태이므로, 상기 전하 트랩핑 막(106) 상에 원치않는 반응 부산물 막, 예를 들면, 금속 실리콘 산질화물 막이 형성되는 것이 방지될 수 있다. 구체적으로, 상기 블록킹 막(108)을 형성하기 위하여 제공되는 금속 전구체와 산화제 및 상기 전하 트랩핑 막(106)의 표면 부위 사이에서의 반응이 충분히 억제될 수 있으며, 이에 따라 상기 전하 트랩핑 막(106) 상에 금속 실리콘 산화물 막, 예를 들면, 알루미늄 실리콘 산화물 막의 형성이 방지될 수 있다.While forming the blocking film 108, the charge trapping film 106 is sufficiently compacted by the heat treatment, so that an unwanted reaction byproduct film, for example, on the charge trapping film 106 is Formation of the metal silicon oxynitride film can be prevented. Specifically, the reaction between the metal precursor provided to form the blocking film 108 and the oxidant and the surface portion of the charge trapping film 106 can be sufficiently suppressed, and thus the charge trapping film ( The formation of a metal silicon oxide film, eg, aluminum silicon oxide film, on 106 can be prevented.

이하, 상기 열처리된 전하 트랩핑 막(106) 상에 블록킹 막(108)으로서 기능하는 알루미늄 산화막을 원자층 증착을 통해 형성하는 방법을 상세하게 설명한다.Hereinafter, a method of forming an aluminum oxide film serving as the blocking film 108 on the heat treated charge trapping film 106 through atomic layer deposition will be described in detail.

먼저, 상기 전하 트랩핑 막(106)이 형성된 반도체 기판(100)을 원자층 증착을 위한 챔버(미도시) 내에 위치시킨다. 이때, 상기 챔버 내부의 온도는 약 150 내지 400℃ 정도로 유지될 수 있으며, 압력은 약 0.1 내지 3.0torr 정도로 유지될 수 있다. 예를 들면, 상기 챔버 내부의 온도는 약 300℃ 정도로 유지되며, 압력은 약 1.0torr 정도로 유지될 수 있다.First, the semiconductor substrate 100 on which the charge trapping film 106 is formed is positioned in a chamber (not shown) for atomic layer deposition. In this case, the temperature inside the chamber may be maintained at about 150 to 400 ℃ degree, the pressure may be maintained at about 0.1 to 3.0 torr. For example, the temperature inside the chamber may be maintained at about 300 ° C., and the pressure may be maintained at about 1.0 torr.

상기 전하 트랩핑 막(106) 상에 알루미늄 전구체를 포함하는 제1 반응 물질을 제공하여 상기 전하 트랩핑 막(106) 상에 알루미늄 전구체 막을 형성한다. 상기 제1 반응 물질로는 기상의 알루미늄 전구체가 사용될 수 있으며, 상기 기상의 알루미늄 전구체는 질소 또는 아르곤과 같은 캐리어 가스에 의해 운반될 수 있다. 또한, 상기 기상의 알루미늄 전구체는 액체 전달 시스템(liquid delivery system; LDS) 또는 버블러 시스템(bubbler system)을 통해 제공될 수 있다.A first reactant including an aluminum precursor is provided on the charge trapping film 106 to form an aluminum precursor film on the charge trapping film 106. A gaseous aluminum precursor may be used as the first reactant, and the gaseous aluminum precursor may be carried by a carrier gas such as nitrogen or argon. The vapor phase aluminum precursor may also be provided through a liquid delivery system (LDS) or a bubbler system.

상기 알루미늄 전구체의 예로는 TMA(trimethyl aluminium, Al(CH3)3), TEA(triethyl aluminium, Al(C2H5)3) 등이 있으며, 이들의 혼합물이 사용될 수도 있다. 상기 제1 반응 물질은 약 0.5초 내지 3초 동안 상기 반도체 기판(100) 상으로 도입될 수 있다. 예를 들면, 상기 제1 반응 물질은 약 2초 동안 반도체 기판(100) 상으로 도입될 수 있다.Examples of the aluminum precursors include TMA (trimethyl aluminum, Al (CH 3 ) 3 ), TEA (triethyl aluminum, Al (C 2 H 5 ) 3 ), and mixtures thereof. The first reactant may be introduced onto the semiconductor substrate 100 for about 0.5 to 3 seconds. For example, the first reactant may be introduced onto the semiconductor substrate 100 for about 2 seconds.

상기와 같이 반도체 기판(100) 상으로 제공된 제1 반응 물질의 일부는 상기 전하 트랩핑 막(106) 상에 화학 흡착되어 상기 알루미늄 전구체 막을 형성하며, 나머지는 상기 알루미늄 전구체 막 상에 물리 흡착되거나 상기 챔버 내에서 표류한다.A portion of the first reactant material provided on the semiconductor substrate 100 as described above is chemisorbed on the charge trapping film 106 to form the aluminum precursor film, and the rest is physically adsorbed on the aluminum precursor film or the Drift in the chamber.

상기 알루미늄 전구체 막을 형성한 후, 상기 챔버 내부로 퍼지 가스를 제공하면서 상기 챔버를 진공 배기시킨다. 상기 퍼지 가스로는 질소 또는 아르곤이 사용될 수 있으며, 상기 퍼지 가스는 약 0.5 내지 5초 동안 공급될 수 있다. 예를 들면, 상기 퍼지 가스는 약 2초 동안 공급될 수 있다.After the aluminum precursor film is formed, the chamber is evacuated while providing a purge gas into the chamber. Nitrogen or argon may be used as the purge gas, and the purge gas may be supplied for about 0.5 to 5 seconds. For example, the purge gas may be supplied for about 2 seconds.

상기 알루미늄 전구체 막 상에 물리 흡착된 제1 반응 물질과 상기 챔버 내에 표류하는 제1 반응 물질은 상기 챔버 내로 공급되는 퍼지 가스와 함께 상기 챔버로부터 진공 배기된다.The first reactant physically adsorbed on the aluminum precursor film and the first reactant drifting in the chamber are evacuated from the chamber together with the purge gas supplied into the chamber.

상기 챔버를 퍼지시킨 후, 상기 반도체 기판(100) 상으로 산소를 포함하는 제2 반응 물질을 공급하여 상기 알루미늄 전구체 막을 산화시킴으로써 상기 전하 트랩핑 막(106) 상에 상기 알루미늄 산화물을 포함하는 블록킹 막(108)을 형성한다.After the chamber has been purged, a blocking film including the aluminum oxide on the charge trapping film 106 is provided by oxidizing the aluminum precursor film by supplying a second reactive material containing oxygen onto the semiconductor substrate 100. Form 108.

상기 산소를 포함하는 제2 반응 물질의 예로서는 O3, O2, H2O, 플라즈마 O2 등을 들 수 있다. 이들은 단독으로 사용될 수 있으며, 경우에 따라 혼합물의 형태로 사용될 수도 있다. 예를 들면, 상기 알루미늄 전구체 막 상으로 O3 가스가 약 1 내지 5초 동안 공급될 수 있다. 특히, 상기 제2 반응 물질은 상기 알루미늄 전구체 막 상으로 약 3초 동안 공급될 수 있다.Examples of the second reactive material containing oxygen include O 3 , O 2 , H 2 O, plasma O 2 , and the like. These may be used alone or in the form of mixtures as the case may be. For example, O 3 gas may be supplied for about 1 to 5 seconds onto the aluminum precursor film. In particular, the second reactant may be supplied over the aluminum precursor film for about 3 seconds.

상기 전하 트랩핑 막(106)이 상기 열처리에 의해 충분히 치밀화된 상태이므로, 상기 제2 반응 물질이 공급되는 동안 상기 알루미늄 전구체 막과 제2 반응 물질 및 상기 전하 트랩핑 막(106) 사이의 반응이 충분히 억제될 수 있다. 결과적으로, 상기 전하 트랩핑 막(106)과 상기 블록킹 막(108) 사이에서 알루미늄 실리콘 산질화물과 같은 원치않는 반응 부산물 막이 형성되는 것이 충분히 방지될 수 있다.Since the charge trapping film 106 is sufficiently densified by the heat treatment, the reaction between the aluminum precursor film and the second reactant material and the charge trapping film 106 is prevented while the second reactant material is supplied. It can be sufficiently suppressed. As a result, formation of an unwanted reaction byproduct film such as aluminum silicon oxynitride between the charge trapping film 106 and the blocking film 108 can be sufficiently prevented.

상기 블록킹 막(108)을 형성한 후, 상기 챔버 내부로 퍼지 가스를 공급하여 상기 알루미늄 전구체 막과 상기 제2 반응 물질의 반응에 의해 발생된 반응 부산물과 잔여 제2 반응 물질을 챔버로부터 제거한다. 상기 퍼지 가스는 약 1초 내지 5초 동안 공급될 수 있다. 예를 들면, 상기 퍼지 가스는 약 3초 동안 공급될 수 있다.After the blocking film 108 is formed, a purge gas is supplied into the chamber to remove the reaction by-products generated by the reaction of the aluminum precursor film and the second reactant and the remaining second reactant from the chamber. The purge gas may be supplied for about 1 second to 5 seconds. For example, the purge gas may be supplied for about 3 seconds.

상기 블록킹 막(108)을 형성하기 위한 단계들은 상기 블록킹 막(108)이 목적하는 두께를 가질 때까지 반복적으로 수행될 수 있다.Steps for forming the blocking film 108 may be repeatedly performed until the blocking film 108 has a desired thickness.

이어서, 상기 블록킹 막(108) 상에 도전막(110)을 형성한다. 상기 도전막(110)은 제1 도전막(112), 접착막(114), 제2 도전막(116)을 포함할 수 있다.Subsequently, a conductive film 110 is formed on the blocking film 108. The conductive layer 110 may include a first conductive layer 112, an adhesive layer 114, and a second conductive layer 116.

상기 블록킹 막(108) 상에 제1 도전막(112)을 약 100 내지 400Å 정도의 두께로 형성한다. 예를 들면, 상기 제1 도전막(112)은 화학 기상 증착, 원자층 증착, 물리 기상 증착 등을 이용하여 약 200Å 정도의 두께로 형성될 수 있다.The first conductive layer 112 is formed on the blocking layer 108 to a thickness of about 100 to about 400 microns. For example, the first conductive layer 112 may be formed to a thickness of about 200 kW using chemical vapor deposition, atomic layer deposition, physical vapor deposition, and the like.

상기 제1 도전막(112)은 약 4eV 이상의 일함수를 갖는 물질로 이루어질 수 있다. 예를 들면, 상기 제1 도전막(112)은 티타늄(Ti), 티타늄 질화물(TiN), 탄탈룸(Ta), 탄탈룸 질화물(TaN), 텅스텐(W), 텅스텐 질화물(WN), 하프늄(Hf), 니오븀(Nb), 몰리브덴(Mo), 몰리브덴 질화물(Mo2N), 일산화루테늄(RuO), 이산화루테늄(RuO2), 이리듐(Ir), 이리듐 산화물(IrO2), 백금(Pt), 코발트(Co), 크롬(Cr), 티타늄알루미나이드(Ti3Al), 티타늄 알루미늄 질화물(Ti2AlN), 팔라듐(Pd), 텅스텐 실리사이드(WSi), 니켈 실리사이드(NiSi), 코발트 실리사이드(CoSi), 탄탈룸 실리사이드(TaSi) 등을 포함할 수 있다.The first conductive layer 112 may be formed of a material having a work function of about 4 eV or more. For example, the first conductive layer 112 may include titanium (Ti), titanium nitride (TiN), tantalum (Ta), tantalum nitride (TaN), tungsten (W), tungsten nitride (WN), and hafnium (Hf). , Niobium (Nb), molybdenum (Mo), molybdenum nitride (Mo 2 N), ruthenium monoxide (RuO), ruthenium dioxide (RuO 2 ), iridium (Ir), iridium oxide (IrO 2 ), platinum (Pt), cobalt (Co), chromium (Cr), titanium aluminide (Ti 3 Al), titanium aluminum nitride (Ti 2 AlN), palladium (Pd), tungsten silicide (WSi), nickel silicide (NiSi), cobalt silicide (CoSi), Tantalum silicide (TaSi) and the like.

본 발명의 다른 실시예에 따르면, 상기 제1 도전막(112)의 일함수를 증가시키기 위한 후속 처리가 추가적으로 수행될 수 있다. 예를 들면, 상기 제1 도전막(112)을 형성한 후, 열처리, 플라즈마 처리 또는 이온 주입 공정 등이 추가적으로 수행될 수 있다. 상기 후속 처리는 상기 제1 도전막(112)을 이루는 물질 원소와 다른 물질 원소를 사용하여 수행될 수 있다. 특히, 상기 후속 처리는 2족 내지 8족 원소를 포함하는 가스를 이용하여 수행될 수 있다. 예를 들면, 상기 후속 처리는 N, O, F, Ne, He, P, S, Cl, Ar, As, Se, Br, Kr, Sb, Te, I 또는 Xe 원소를 포함하는 가스를 이용하여 수행될 수 있다.According to another embodiment of the present invention, subsequent processing for increasing the work function of the first conductive layer 112 may be additionally performed. For example, after the first conductive layer 112 is formed, a heat treatment, a plasma treatment, or an ion implantation process may be additionally performed. The subsequent processing may be performed using a material element different from the material element forming the first conductive layer 112. In particular, the subsequent treatment may be performed using a gas containing a Group 2 to Group 8 element. For example, the subsequent treatment is performed using a gas containing elements of N, O, F, Ne, He, P, S, Cl, Ar, As, Se, Br, Kr, Sb, Te, I or Xe. Can be.

상기 제1 도전막(112)을 형성한 후, 상기 제1 도전막(112) 상에 접착막(114)을 약 50Å 정도의 두께로 형성한다. 상기 접착막(114)으로는 금속 질화막이 사용될 수 있으며, 상기 금속 질화막으로는 텅스텐 질화막, 티타늄 질화막, 탄탈룸 질화막 등이 사용될 수 있다.After the first conductive film 112 is formed, an adhesive film 114 is formed on the first conductive film 112 to a thickness of about 50 GPa. A metal nitride film may be used as the adhesive layer 114, and a tungsten nitride film, a titanium nitride film, a tantalum nitride film, or the like may be used as the metal nitride film.

상기 접착막(114) 상에 제2 도전막(116)을 형성한다. 상기 제2 도전막(116)은 텅스텐으로 이루어질 수 있으며, 상기 접착막(114) 상에 약 300Å 정도의 두께로 형성될 수 있다. 이와는 다르게, 상기 제2 도전막(116)은 금속 실리사이드로 이루어질 수도 있다. 상기 금속 실리사이드로는 텅스텐 실리사이드, 탄탈룸 실리사이드, 코발트 실리사이드, 티타늄 실리사이드 등이 사용될 수 있다.A second conductive film 116 is formed on the adhesive film 114. The second conductive layer 116 may be made of tungsten, and may be formed on the adhesive layer 114 to a thickness of about 300 μs. Alternatively, the second conductive layer 116 may be made of metal silicide. As the metal silicide, tungsten silicide, tantalum silicide, cobalt silicide, titanium silicide, or the like may be used.

도 4를 참조하면, 상기 도전막(110) 상에 포토레지스트 패턴을 형성한다. 상기 포토레지스트 패턴은 본 발명의 기술 분야에서 널리 알려진 포토리소그래피 공정을 이용하여 형성될 수 있다.Referring to FIG. 4, a photoresist pattern is formed on the conductive layer 110. The photoresist pattern may be formed using a photolithography process that is well known in the art.

상기 도전막(110)을 패터닝하여 상기 블록킹 막(108) 상에 제1 도전막 패턴(122), 접착막 패턴(124) 및 제2 도전막 패턴(126)을 포함하는 게이트 전극 구조물(120)을 형성한다. 예를 들면, 상기 포토레지스트 패턴을 식각 마스크로서 사용하는 이방성 식각 공정을 수행함으로써 상기 게이트 전극 구조물(120)을 형성할 수 있다. 상기 제1 도전막 패턴(122)은 게이트 전극으로서 기능할 수 있으며, 상기 제2 도전막 패턴(126)은 워드 라인으로서 기능할 수 있다.The gate electrode structure 120 including the first conductive layer pattern 122, the adhesive layer pattern 124, and the second conductive layer pattern 126 on the blocking layer 108 by patterning the conductive layer 110. To form. For example, the gate electrode structure 120 may be formed by performing an anisotropic etching process using the photoresist pattern as an etching mask. The first conductive layer pattern 122 may function as a gate electrode, and the second conductive layer pattern 126 may function as a word line.

상기 포토레지스트 패턴은 상기 게이트 전극 구조물(120)을 형성한 후, 애싱 및 스트립 공정을 통해 제거될 수 있다.The photoresist pattern may be removed through an ashing and stripping process after forming the gate electrode structure 120.

도 5를 참조하면, 상기 게이트 전극 구조물(120) 및 상기 블록킹 막(108) 상에 스페이서막(128)을 형성한다. 상기 스페이서막(128)은 실리콘 산화막(130) 및 실리콘 질화막(132)을 포함할 수 있다. 구체적으로, 상기 게이트 전극 구조물(120) 및 상기 블록킹 막(108) 상에 실리콘 산화막(130)을 형성한 후, 상기 실리콘 산화막(130) 상에 실리콘 질화막(132)을 형성한다. 상기 실리콘 산화막(130) 및 실리콘 질화막(132)은 화학 기상 증착 공정을 이용하여 각각 형성될 수 있다. 본 발명의 다른 실시예에 따르면, 상기 실리콘 질화막(130)은 상기 실리콘 산화막(132)을 형성한 후 인시튜 방식으로 형성될 수도 있다.Referring to FIG. 5, a spacer layer 128 is formed on the gate electrode structure 120 and the blocking layer 108. The spacer layer 128 may include a silicon oxide layer 130 and a silicon nitride layer 132. Specifically, after the silicon oxide layer 130 is formed on the gate electrode structure 120 and the blocking layer 108, a silicon nitride layer 132 is formed on the silicon oxide layer 130. The silicon oxide layer 130 and the silicon nitride layer 132 may be formed using a chemical vapor deposition process, respectively. According to another embodiment of the present invention, the silicon nitride film 130 may be formed in-situ after the silicon oxide film 132 is formed.

도 6을 참조하면, 상기 스페이서막(128)을 이방성 식각하여 상기 게이트 전극 구조물(120)의 측면들 상에 이중 스페이서(134)를 형성한다. 상기 이중 스페이서(134)는 실리콘 산화물 스페이서(136)와 실리콘 질화물 스페이서(138)를 포함한다.Referring to FIG. 6, the spacer layer 128 is anisotropically etched to form a double spacer 134 on side surfaces of the gate electrode structure 120. The double spacer 134 includes a silicon oxide spacer 136 and a silicon nitride spacer 138.

본 발명의 다른 실시예에 따르면, 상기 스페이서막은 실리콘 산화물 또는 실리콘 질화물을 포함하는 단일막 구조를 가질 수도 있으며, 상기 게이트 전극 구조물(120)의 측면들 상에는 단일막 스페이서가 형성될 수도 있다.According to another embodiment of the present invention, the spacer layer may have a single layer structure including silicon oxide or silicon nitride, and a single layer spacer may be formed on side surfaces of the gate electrode structure 120.

도 7을 참조하면, 상기 게이트 전극 구조물(120) 및 상기 이중 스페이서(134)를 식각 마스크로 사용하는 이방성 식각을 수행하여 상기 블록킹 막(108), 전하 트랩핑 막(106) 및 터널 절연막(102)으로부터 블록킹 막 패턴(140), 전하 트 랩핑 막 패턴(142) 및 터널 절연막 패턴(146)을 형성한다.Referring to FIG. 7, the blocking film 108, the charge trapping film 106, and the tunnel insulating film 102 are performed by performing anisotropic etching using the gate electrode structure 120 and the double spacer 134 as an etching mask. The blocking film pattern 140, the charge trapping film pattern 142, and the tunnel insulating film pattern 146 are formed from the.

결과적으로, 상기 반도체 기판(100)의 채널 영역(100a) 상에 상기 게이트 전극 구조물(120), 이중 스페이서(134), 블록킹 막 패턴(140), 전하 트랩핑 막 패턴(142) 및 터널 절연막 패턴(144)을 포함하는 게이트 구조물(150)이 형성된다.As a result, the gate electrode structure 120, the double spacer 134, the blocking film pattern 140, the charge trapping film pattern 142, and the tunnel insulating film pattern on the channel region 100a of the semiconductor substrate 100. A gate structure 150 is formed that includes 144.

본 발명의 다른 실시예에 따르면, 상기 게이트 구조물(150)을 형성하는 동안 발생된 상기 반도체 기판(100) 및 상기 게이트 구조물(150)의 식각 손상을 치유하기 위한 재산화 공정을 수행할 수 있다.According to another exemplary embodiment of the present disclosure, an reoxidation process may be performed to etch damage of the semiconductor substrate 100 and the gate structure 150 generated during the formation of the gate structure 150.

또한, 본 발명의 다른 실시예에 따르면, 게이트 구조물은 상기 도전막(110), 블록킹 막(108), 전하 트랩핑 막(106) 및 터널 절연막(102)을 순차적으로 패터닝함으로써 형성될 수 있다. 상기와 같이 형성된 게이트 구조물은 도전막 패턴, 블록킹 막 패턴, 전하 트랩핑 막 패턴 및 터널 절연막 패턴을 포함할 수 있으며, 상기 게이트 구조물의 측면들 상에 스페이서가 형성될 수 있다.In addition, according to another embodiment of the present invention, the gate structure may be formed by sequentially patterning the conductive layer 110, the blocking layer 108, the charge trapping layer 106, and the tunnel insulating layer 102. The gate structure formed as described above may include a conductive layer pattern, a blocking layer pattern, a charge trapping layer pattern, and a tunnel insulation layer pattern, and spacers may be formed on side surfaces of the gate structure.

이어서, 상기 게이트 구조물(150)과 인접한 반도체 기판(100)의 표면 부위들에 소스/드레인 영역들(152a, 152b)을 형성한다. 상기 소스/드레인 영역들(152a, 152b)은 상기 게이트 구조물(150)을 이온 주입 마스크로서 이용하는 이온 주입 공정 및 열처리 공정에 의해 형성될 수 있다.Subsequently, source / drain regions 152a and 152b are formed in surface portions of the semiconductor substrate 100 adjacent to the gate structure 150. The source / drain regions 152a and 152b may be formed by an ion implantation process and a heat treatment process using the gate structure 150 as an ion implantation mask.

상기한 바와 같이 본 발명의 일 실시예에 따라 제조된 불휘발성 메모리 장치(10)는 싱글 레벨 셀(single level cell; SLC) 또는 멀티 레벨 셀(multi level cell; MLC)로서 사용될 수 있으며, F-N 터널링 또는 채널 열전자 주입을 이용하여 전기적으로 데이터의 프로그래밍 및 소거를 수행할 수 있다.As described above, the nonvolatile memory device 10 manufactured according to an embodiment of the present invention may be used as a single level cell (SLC) or a multi level cell (MLC), and may be used for FN tunneling. Alternatively, channel hot electron injection can be used to program and erase data electrically.

상기 불휘발성 메모리 장치(10)가 싱글 레벨 셀로서 사용되는 경우, 상기 전하 트랩핑 막 패턴(142)에는 1비트의 정보가 저장될 수 있다. 예를 들면, 상기 전하 트랩핑 막 패턴(142)에는 ‘0’ 또는 ‘1’의 로직 상태가 저장될 수 있다.When the nonvolatile memory device 10 is used as a single level cell, one bit of information may be stored in the charge trapping film pattern 142. For example, a logic state of '0' or '1' may be stored in the charge trapping film pattern 142.

구체적으로, 상기 게이트 전극 구조물(120)에 약 5 내지 18V 정도의 프로그래밍 전압이 인가되면, 상기 반도체 기판(100)의 채널 영역(100a)으로부터 전자들이 F-N 터널링에 의해 상기 전하 트랩핑 막 패턴(142)의 트랩 사이트들에 트랩된다. 이에 따라, 상기 전하 트랩핑 막 패턴(142)에는 ‘1’의 로직 상태가 저장된다. 즉, 상기 전하 트랩핑 막 패턴(142)에 저장된 로직 상태에 따라 상기 채널 영역(100a)에서의 문턱 전압이 변화되며, 상기 로직 상태는 상기 게이트 전극 구조물(120)과 드레인 영역(152b)에 서로 다른 읽기 전압들을 각각 인가하여 상기 채널 영역(100a)에서의 전류를 검출함으로써 판단될 수 있다.Specifically, when a programming voltage of about 5 to 18V is applied to the gate electrode structure 120, electrons from the channel region 100a of the semiconductor substrate 100 are tunneled by the FN tunneling pattern 142 by FN tunneling. Trapped at trap sites. Accordingly, a logic state of '1' is stored in the charge trapping film pattern 142. That is, the threshold voltage in the channel region 100a is changed according to the logic state stored in the charge trapping film pattern 142, and the logic state is in the gate electrode structure 120 and the drain region 152b. It may be determined by detecting different currents in the channel region 100a by applying different read voltages, respectively.

상기 불휘발성 메모리 장치(10)가 멀티 레벨 셀로서 사용되는 경우, 상기 전하 트랩핑 막 패턴(142)에는 ‘00’, ‘01’, ‘10’ 또는 ‘11’의 로직 상태가 저장될 수 있다.When the nonvolatile memory device 10 is used as a multi-level cell, a logic state of '00', '01', '10', or '11' may be stored in the charge trapping film pattern 142. .

구체적으로, 상기 불휘발성 메모리 장치(10)는 상기 전하 트랩핑 막 패턴(142) 내에 트랩되는 전자들의 수에 따라 다른 문턱 전압들을 가질 수 있으며, 상기 문턱 전압들에 따라 상기 불휘발성 메모리 장치(10)에는 ‘00’, ‘01’, ‘10’ 또는 ‘11’의 로직 상태가 저장될 수 있다.Specifically, the nonvolatile memory device 10 may have different threshold voltages according to the number of electrons trapped in the charge trapping film pattern 142, and the nonvolatile memory device 10 according to the threshold voltages. ) May store logic states of '00', '01', '10' or '11'.

한편, 상기 전하 트랩핑 막 패턴(142)에는 채널 열전자 주입에 의해 전자들이 트랩될 수도 있다. 구체적으로, 상기 게이트 전극 구조물(120) 및 상기 드레인 영역(152b)에 프로그래밍 전압들이 인가되고, 상기 소스 영역(152a)이 접지되는 경우, 전자들은 상기 소스 영역(152a)으로부터 상기 드레인 영역(152b)을 향하여 상기 채널 영역(100a)을 통해 이동한다. 이때, 상기 전자들 중 일부는 상기 터널 절연막 패턴(144)의 전위 장벽(potential barrier)을 뛰어넘기에 충분한 에너지를 얻게되며, 상기 전하 트랩핑 막 패턴(142)의 트랩 사이트들에 트랩될 수 있다. 결과적으로, 상기 불휘발성 메모리 장치(10)의 문턱 전압이 상승되며, 이에 따라 상기 불휘발성 메모리 장치(10)에는 1 비트의 정보가 저장될 수 있다.Meanwhile, electrons may be trapped in the charge trapping film pattern 142 by channel hot electron injection. Specifically, when programming voltages are applied to the gate electrode structure 120 and the drain region 152b and the source region 152a is grounded, electrons are transferred from the source region 152a to the drain region 152b. To move through the channel region 100a. In this case, some of the electrons may obtain sufficient energy to overcome the potential barrier of the tunnel insulation pattern 144 and may be trapped at the trap sites of the charge trapping layer pattern 142. . As a result, the threshold voltage of the nonvolatile memory device 10 is increased, so that one bit of information may be stored in the nonvolatile memory device 10.

전하 트랩핑 막에 대한 분석Analysis of charge trapping membrane

종래의 방법에 따라 반도체 기판 상에 약 40Å 정도의 두께를 갖고 제1 터널 절연막으로서 기능할 수 있는 실리콘 산화막을 형성하였다. 이어서, 상기 제1 터널 절연막 상에 제1 전하 트랩핑 막으로서 기능할 수 있는 실리콘 질화막을 약 70Å 정도의 두께로 형성하였다.According to the conventional method, a silicon oxide film having a thickness of about 40 GPa and functioning as a first tunnel insulating film was formed on a semiconductor substrate. Subsequently, a silicon nitride film capable of functioning as a first charge trapping film was formed on the first tunnel insulating film to a thickness of about 70 kPa.

본 발명의 일 실시예에 따라 반도체 기판 상에 약 40Å 정도의 두께를 갖고 제2 터널 절연막으로서 기능할 수 있는 실리콘 산화막을 형성하고, 상기 제2 터널 절연막 상에 제2 전하 트랩핑 막으로서 기능할 수 있는 실리콘 질화막을 약 70Å 정도의 두께로 형성하였다. 이어서, 약 98% 정도의 질소 가스와 약 2% 정도의 일산화질소 가스의 혼합 가스를 이용하여 약 950℃의 온도에서 약 30분 동안 열처리를 수행하였다. 이때, 상기 혼합 가스는 약 1ℓ/min 정도의 유량으로 공급되었다. 예를 들면, 상기 열처리가 수행되는 챔버 내부로 질소 가스가 약 0.98ℓ/min 정도의 유량으로 공급되었으며, 일산화질소 가스가 약 0.02ℓ/min 정도의 유량으로 공급되었다.According to an embodiment of the present invention, a silicon oxide film having a thickness of about 40 GPa is formed on a semiconductor substrate and can function as a second tunnel insulating film, and functions as a second charge trapping film on the second tunnel insulating film. Silicon nitride film was formed to a thickness of about 70 kHz. Subsequently, heat treatment was performed at a temperature of about 950 ° C. for about 30 minutes using a mixed gas of about 98% nitrogen gas and about 2% nitrogen monoxide gas. At this time, the mixed gas was supplied at a flow rate of about 1 l / min. For example, nitrogen gas was supplied into the chamber where the heat treatment was performed at a flow rate of about 0.98 l / min, and nitrogen monoxide gas was supplied at a flow rate of about 0.02 l / min.

본 발명의 일 실시예에 따라 반도체 기판 상에 약 40Å 정도의 두께를 갖고 제3 터널 절연막으로서 기능할 수 있는 실리콘 산화막을 형성하고, 상기 제3 터널 절연막 상에 제3 전하 트랩핑 막으로서 기능할 수 있는 실리콘 질화막을 약 70Å 정도의 두께로 형성하였다. 이어서, 질소 가스를 이용하여 약 1000℃의 온도에서 약 30분 동안 열처리를 수행하였다.According to an embodiment of the present invention, a silicon oxide film having a thickness of about 40 GPa is formed on a semiconductor substrate and may function as a third tunnel insulating film, and may function as a third charge trapping film on the third tunnel insulating film. Silicon nitride film was formed to a thickness of about 70 kHz. Subsequently, heat treatment was performed for about 30 minutes at a temperature of about 1000 ° C. using nitrogen gas.

도 8은 종래의 기술에 의해 형성된 제1 터널 절연막과 제1 전하 트랩핑 막 내의 수소 농도와 본 발명의 실시예들에 의해 형성된 제2 및 제3 터널 절연막들 및 제2 및 제3 전하 트랩핑 막들 내의 수소 농도를 나타내는 그래프이다.8 illustrates hydrogen concentration in a first tunnel insulating film and a first charge trapping film formed by a conventional technique, and second and third tunnel insulating films and second and third charge trapping formed by embodiments of the present invention. It is a graph showing the hydrogen concentration in the membranes.

이차 이온 질량 분석기(secondary ion mass spectrometry; SIMS)상기 제1, 제2 및 제3 터널 절연막들과 상기 제1, 제2 및 제3 전하 트랩핑 막들 내의 수소 농도를 측정하였다. 도 8에 도시된 바와 같이, 상기 제2 및 제3 터널 절연막들 및 상기 제2 및 제3 전하 트랩핑 막들 내부의 수소 농도는 상기 제1 터널 절연막 및 제1 전하 트랩핑 막에 비하여 크게 감소되었음을 알 수 있다. 이는 제2 및 제3 터널 절연막들과 제2 및 제3 전하 트랩핑 막들 내의 실리콘 수소 결합들이 상기 혼합 가스를 사용하는 열처리와 상기 질소 가스를 사용하는 열처리에 의해 제거되었음을 의미한다.Secondary ion mass spectrometry (SIMS) Hydrogen concentrations in the first, second and third tunnel insulating films and the first, second and third charge trapping films were measured. As shown in FIG. 8, hydrogen concentrations in the second and third tunnel insulating layers and the second and third charge trapping layers are significantly reduced compared to the first tunnel insulating layer and the first charge trapping layer. Able to know. This means that the silicon hydrogen bonds in the second and third tunnel insulating films and the second and third charge trapping films are removed by the heat treatment using the mixed gas and the heat treatment using the nitrogen gas.

도 9는 종래의 기술에 의해 형성된 제1 전하 트랩핑 막 내의 산소 농도와 본 발명의 실시예에 의해 형성된 제2 전하 트랩핑 막 내의 산소 농도를 나타내는 그래 프이다.9 is a graph showing the oxygen concentration in the first charge trapping film formed by the prior art and the oxygen concentration in the second charge trapping film formed by the embodiment of the present invention.

또한, 이차 이온 질량 분석기를 이용하여 상기 제1 전하 트랩핑 막과 제2 전하 트랩핑 막 내의 산소 농도를 측정하였다. 도 9에 도시된 바와 같이, 상기 제2 전하 트랩핑 막 내의 산소 농도는 상기 제1 전하 트랩핑 막에 비하여 증가되었음을 알 수 있다. 이는 상기 제2 전하 트랩핑 막 내의 실리콘 댕글링 본드들이 상기 혼합 가스를 이용하는 열처리에 의해 제거되었음을 의미한다.In addition, the oxygen concentration in the first charge trapping film and the second charge trapping film was measured using a secondary ion mass spectrometer. As shown in FIG. 9, it can be seen that the oxygen concentration in the second charge trapping film is increased compared to the first charge trapping film. This means that the silicon dangling bonds in the second charge trapping film were removed by heat treatment using the mixed gas.

불휘발성 메모리 장치의 고온 스트레스 특성High Temperature Stress Characteristics of Nonvolatile Memory Devices

종래의 방법에 따라 반도체 기판 상에 제1 불휘발성 메모리 장치를 제조하였다. 구체적으로, 상기 제1 불휘발성 메모리 장치는 약 40Å 정도의 두께를 가지며 터널 절연막으로서 기능하는 실리콘 산화막, 약 70Å 정도의 두께를 가지며 전하 트랩핑 막으로서 기능하는 실리콘 질화막, 약 200Å 정도의 두께를 가지며 블록킹 막으로서 기능하는 알루미늄 산화막, 약 200Å 정도의 두께를 가지며 게이트 전극으로서 기능하는 탄탈룸 질화막, 약 50Å 정도의 두께를 가지며 접착막 또는 장벽막으로서 기능하는 텅스텐 질화막, 및 약 300Å 정도의 두께를 가지며 워드 라인으로서 기능하는 텅스텐 막을 포함한다.According to a conventional method, a first nonvolatile memory device is manufactured on a semiconductor substrate. Specifically, the first nonvolatile memory device has a thickness of about 40 GPa, a silicon oxide film serving as a tunnel insulating film, a thickness of about 70 GPa, a silicon nitride film serving as a charge trapping film, and a thickness of about 200 GPa. An aluminum oxide film serving as a blocking film, a tantalum nitride film having a thickness of about 200 GPa and serving as a gate electrode, a tungsten nitride film having a thickness of about 50 GPa and serving as an adhesive or barrier film, and a thickness of about 300 GPa And a tungsten film that functions as a line.

본 발명의 일 실시예에 따라 반도체 기판 상에 제2 불휘발성 메모리 장치를 제조하였다. 구체적으로, 상기 제2 불휘발성 메모리 장치는 약 40Å 정도의 두께를 가지며 터널 절연막으로서 기능하는 실리콘 산화막, 약 70Å 정도의 두께를 가지며 전하 트랩핑 막으로서 기능하는 실리콘 질화막, 약 200Å 정도의 두께를 가지며 블 록킹 막으로서 기능하는 알루미늄 산화막, 약 200Å 정도의 두께를 가지며 게이트 전극으로서 기능하는 탄탈룸 질화막, 약 50Å 정도의 두께를 가지며 접착막 또는 장벽막으로서 기능하는 텅스텐 질화막, 및 약 300Å 정도의 두께를 가지며 워드 라인으로서 기능하는 텅스텐 막을 포함한다. 상기 제2 불휘발성 메모리 장치의 제조에서, 상기 실리콘 질화막을 형성한 후, 약 90% 정도의 질소 가스와 약 10% 정도의 일산화질소 가스의 혼합 가스를 이용하여 약 950℃의 온도에서 약 60분 동안 수행하였다. 상기 혼합 가스는 약 1ℓ/min 정도의 유량으로 공급되었다. 예를 들면, 상기 열처리가 수행되는 챔버 내부로 질소 가스가 약 0.9ℓ/min 정도의 유량으로 공급되었으며, 일산화질소 가스가 약 0.1ℓ/min 정도의 유량으로 공급되었다.A second nonvolatile memory device is manufactured on a semiconductor substrate according to an embodiment of the present invention. Specifically, the second nonvolatile memory device has a thickness of about 40 GPa, a silicon oxide film serving as a tunnel insulating film, a thickness of about 70 GPa, a silicon nitride film serving as a charge trapping film, and a thickness of about 200 GPa. An aluminum oxide film serving as a blocking film, a tantalum nitride film having a thickness of about 200 GPa and a gate electrode, a tungsten nitride film having a thickness of about 50 GPa and serving as an adhesive or barrier film, and a thickness of about 300 GPa; And a tungsten film that functions as a word line. In the manufacturing of the second nonvolatile memory device, after forming the silicon nitride layer, about 60 minutes at a temperature of about 950 ° C. using a mixed gas of about 90% nitrogen gas and about 10% nitrogen monoxide gas. Was performed. The mixed gas was supplied at a flow rate of about 1 l / min. For example, nitrogen gas was supplied at a flow rate of about 0.9 l / min and nitrogen monoxide gas was supplied at a flow rate of about 0.1 l / min into the chamber where the heat treatment is performed.

본 발명의 일 실시예에 따라 반도체 기판 상에 제3 불휘발성 메모리 장치를 제조하였다. 구체적으로, 상기 제3 불휘발성 메모리 장치는 약 40Å 정도의 두께를 가지며 터널 절연막으로서 기능하는 실리콘 산화막, 약 70Å 정도의 두께를 가지며 전하 트랩핑 막으로서 기능하는 실리콘 질화막, 약 200Å 정도의 두께를 가지며 블록킹 막으로서 기능하는 알루미늄 산화막, 약 200Å 정도의 두께를 가지며 게이트 전극으로서 기능하는 탄탈룸 질화막, 약 50Å 정도의 두께를 가지며 접착막 또는 장벽막으로서 기능하는 텅스텐 질화막, 및 약 300Å 정도의 두께를 가지며 워드 라인으로서 기능하는 텅스텐 막을 포함한다. 상기 제3 불휘발성 메모리 장치의 제조에서, 상기 실리콘 질화막을 형성한 후, 약 90% 정도의 질소 가스와 약 10% 정도의 일산화질소 가스의 혼합 가스를 이용하여 약 950℃의 온도에서 약 120분 동안 수행하였다. 상기 혼합 가스는 약 1ℓ/min 정도의 유량으로 공급되었다. 예를 들면, 상 기 열처리가 수행되는 챔버 내부로 질소 가스가 약 0.9ℓ/min 정도의 유량으로 공급되었으며, 일산화질소 가스가 약 0.1ℓ/min 정도의 유량으로 공급되었다.In accordance with an embodiment of the present invention, a third nonvolatile memory device is manufactured on a semiconductor substrate. Specifically, the third nonvolatile memory device has a thickness of about 40 GPa, a silicon oxide film serving as a tunnel insulating film, a thickness of about 70 GPa, a silicon nitride film serving as a charge trapping film, and a thickness of about 200 GPa. An aluminum oxide film serving as a blocking film, a tantalum nitride film having a thickness of about 200 GPa and serving as a gate electrode, a tungsten nitride film having a thickness of about 50 GPa and serving as an adhesive or barrier film, and a thickness of about 300 GPa And a tungsten film that functions as a line. In the manufacturing of the third nonvolatile memory device, after forming the silicon nitride film, about 120 minutes at a temperature of about 950 ° C. using a mixed gas of about 90% nitrogen gas and about 10% nitrogen monoxide gas. Was performed. The mixed gas was supplied at a flow rate of about 1 l / min. For example, nitrogen gas was supplied at a flow rate of about 0.9 l / min and nitrogen monoxide gas was supplied at a flow rate of about 0.1 l / min into the chamber where the heat treatment is performed.

본 발명의 일 실시예에 따라 반도체 기판 상에 제4 불휘발성 메모리 장치를 제조하였다. 구체적으로, 상기 제4 불휘발성 메모리 장치는 약 40Å 정도의 두께를 가지며 터널 절연막으로서 기능하는 실리콘 산화막, 약 70Å 정도의 두께를 가지며 전하 트랩핑 막으로서 기능하는 실리콘 질화막, 약 200Å 정도의 두께를 가지며 블록킹 막으로서 기능하는 알루미늄 산화막, 약 200Å 정도의 두께를 가지며 게이트 전극으로서 기능하는 탄탈룸 질화막, 약 50Å 정도의 두께를 가지며 접착막 또는 장벽막으로서 기능하는 텅스텐 질화막, 및 약 300Å 정도의 두께를 가지며 워드 라인으로서 기능하는 텅스텐 막을 포함한다. 상기 제4 불휘발성 메모리 장치의 제조에서, 상기 실리콘 질화막을 형성한 후, 약 95% 정도의 질소 가스와 약 5% 정도의 일산화질소 가스의 혼합 가스를 이용하여 약 950℃의 온도에서 약 60분 동안 수행하였다. 상기 혼합 가스는 약 1ℓ/min 정도의 유량으로 공급되었다. 예를 들면, 상기 열처리가 수행되는 챔버 내부로 질소 가스가 약 0.95ℓ/min 정도의 유량으로 공급되었으며, 일산화질소 가스가 약 0.05ℓ/min 정도의 유량으로 공급되었다.In accordance with an embodiment of the present invention, a fourth nonvolatile memory device is manufactured on a semiconductor substrate. Specifically, the fourth nonvolatile memory device has a thickness of about 40 GPa, a silicon oxide film serving as a tunnel insulating film, a thickness of about 70 GPa, a silicon nitride film serving as a charge trapping film, and a thickness of about 200 GPa. An aluminum oxide film serving as a blocking film, a tantalum nitride film having a thickness of about 200 GPa and serving as a gate electrode, a tungsten nitride film having a thickness of about 50 GPa and serving as an adhesive or barrier film, and a thickness of about 300 GPa And a tungsten film that functions as a line. In the manufacturing of the fourth nonvolatile memory device, after the silicon nitride film is formed, 60 minutes at a temperature of about 950 ° C. using a mixed gas of about 95% nitrogen gas and about 5% nitrogen monoxide gas. Was performed. The mixed gas was supplied at a flow rate of about 1 l / min. For example, nitrogen gas was supplied into the chamber where the heat treatment was performed at a flow rate of about 0.95 l / min, and nitrogen monoxide gas was supplied at a flow rate of about 0.05 l / min.

이어서, 상기 제1, 제2, 제3 및 제4 불휘발성 메모리 장치들에 대하여 고온 스트레스 특성 시험을 수행하였다.Subsequently, high temperature stress characteristic tests were performed on the first, second, third and fourth nonvolatile memory devices.

구체적으로, 각각의 제1, 제2, 제3 및 제4 불휘발성 메모리 장치들의 프로그래밍 동작을 수행한 후, 상기 제1, 제2, 제3 및 제4 불휘발성 메모리 장치들 각각의 문턱 전압을 측정하였다. 상기 프로그래밍 동작들을 수행하는 약 17.0V 정도의 프로그래밍 전압을 약 100㎲ 동안 인가되었다.Specifically, after performing programming operations of each of the first, second, third, and fourth nonvolatile memory devices, threshold voltages of each of the first, second, third, and fourth nonvolatile memory devices are determined. Measured. A programming voltage of about 17.0V was applied for about 100 Hz to perform the programming operations.

상기 각각의 제1, 제2, 제3 및 제4 불휘발성 메모리 장치들에 대하여 프로그래밍 동작과 소거 동작을 1200회 반복적으로 수행하였다. 여기서, 상기 동작들을 수행하는 동안 상기 제1, 제2, 제3 및 제4 불휘발성 메모리 장치들에는 약 17.0V 정도의 프로그래밍 전압이 인가되었으며, 약 -19.0V 정도의 소거 전압이 인가되었다. 또한, 상기 동작들을 수행하는 동안 상기 프로그래밍 전압은 100㎲ 동안 인가되었으며, 상기 소거 전압은 10㎳ 동안 인가되었다.The programming and erasing operations were repeatedly performed 1200 times for each of the first, second, third and fourth nonvolatile memory devices. Here, a programming voltage of about 17.0V is applied to the first, second, third, and fourth nonvolatile memory devices, and an erase voltage of about -19.0V is applied to the first, second, third, and fourth nonvolatile memory devices. In addition, the programming voltage was applied for 100 kV while the erase voltage was applied for 10 kV while performing the operations.

상기 프로그래밍 동작들과 소거 동작들을 반복적으로 수행한 후, 상기 제1, 제2, 제3 및 제4 불휘발성 메모리 장치들을 약 200℃의 온도로 약 2시간 동안 베이크(bake) 처리하였다. 상기 베이크 처리를 수행한 후, 상기 제1, 제2, 제3 및 제4 불휘발성 메모리 장치들의 문턱 전압을 측정하였다.After repeatedly performing the programming and erase operations, the first, second, third and fourth nonvolatile memory devices were baked at a temperature of about 200 ° C. for about 2 hours. After performing the bake process, threshold voltages of the first, second, third and fourth nonvolatile memory devices were measured.

도 10은 종래의 기술에 의해 형성된 제1 불휘발성 메모리 장치의 문턱 전압 변화량과 본 발명의 실시예들에 의해 형성된 제2, 제3 및 제4 불휘발성 메모리 장치들의 문턱 전압 변화량들을 나타내는 그래프이다.FIG. 10 is a graph illustrating threshold voltage changes of a first nonvolatile memory device formed by a conventional technology and threshold voltage changes of second, third, and fourth nonvolatile memory devices formed by embodiments of the present invention.

도 10을 참조하면, 상기 제1 불휘발성 메모리 장치와 비교하여 제2, 제3 및 제4 불휘발성 메모리 장치들의 문턱 전압 변화량이 크게 감소하였음을 알 수 있다. 특히, 상기 제4 불휘발성 메모리 장치의 문턱 전압 변화량이 가장 작은 것을 알 수 있으며, 이는 상기 불휘발성 메모리 장치들의 문턱 전압 변화량이 일산화질소의 유량에 의해 좌우됨을 의미한다. 결과적으로, 상기 전하 트랩핑 막의 열처리는 약 95% 내지 98% 정도의 질소 가스와 약 2% 내지 5% 정도의 일산화질소 가스의 혼합 가스를 이용하여 수행되는 것이 가장 바람직하다는 것을 확인할 수 있었다.Referring to FIG. 10, it can be seen that the threshold voltage variation of the second, third, and fourth nonvolatile memory devices is greatly reduced compared to the first nonvolatile memory device. In particular, it can be seen that the threshold voltage change amount of the fourth nonvolatile memory device is the smallest, which means that the threshold voltage change amount of the nonvolatile memory devices depends on the flow rate of nitrogen monoxide. As a result, it was confirmed that the heat treatment of the charge trapping film is most preferably performed using a mixed gas of nitrogen gas of about 95% to 98% and nitrogen monoxide gas of about 2% to 5%.

불휘발성 메모리 장치의 문턱 전압 윈도우Threshold Voltage Window for Nonvolatile Memory Devices

본 발명의 일 실시예에 따라 반도체 기판 상에 제5 불휘발성 메모리 장치를 제조하였다. 구체적으로, 상기 제5 불휘발성 메모리 장치는 약 40Å 정도의 두께를 가지며 터널 절연막으로서 기능하는 실리콘 산화막, 약 70Å 정도의 두께를 가지며 전하 트랩핑 막으로서 기능하는 실리콘 질화막, 약 200Å 정도의 두께를 가지며 블록킹 막으로서 기능하는 알루미늄 산화막, 약 200Å 정도의 두께를 가지며 게이트 전극으로서 기능하는 탄탈룸 질화막, 약 50Å 정도의 두께를 가지며 접착막 또는 장벽막으로서 기능하는 텅스텐 질화막, 및 약 300Å 정도의 두께를 가지며 워드 라인으로서 기능하는 텅스텐 막을 포함한다. 상기 제2 불휘발성 메모리 장치의 제조에서, 상기 실리콘 질화막을 형성한 후, 약 1200℃의 온도에서 약 3분 동안 급속 열처리 공정을 수행하였다. 상기 급속 열처리는 질소를 포함하는 가스 분위기에서 수행되었으며, 이때 상기 급속 열처리 공정이 수행되는 챔버 내부의 산소 분압은 약 5×10-6torr 정도로 측정되었다.In accordance with an embodiment of the present invention, a fifth nonvolatile memory device is manufactured on a semiconductor substrate. Specifically, the fifth nonvolatile memory device has a thickness of about 40 GPa, a silicon oxide film serving as a tunnel insulating film, a thickness of about 70 GPa, a silicon nitride film serving as a charge trapping film, and a thickness of about 200 GPa. An aluminum oxide film serving as a blocking film, a tantalum nitride film having a thickness of about 200 GPa and serving as a gate electrode, a tungsten nitride film having a thickness of about 50 GPa and serving as an adhesive or barrier film, and a thickness of about 300 GPa And a tungsten film that functions as a line. In the manufacture of the second nonvolatile memory device, after the silicon nitride film was formed, a rapid heat treatment process was performed at a temperature of about 1200 ° C. for about 3 minutes. The rapid heat treatment was performed in a gas atmosphere containing nitrogen, wherein the partial pressure of oxygen in the chamber in which the rapid heat treatment process is performed was measured at about 5 × 10 −6 torr.

이어서, 상기 제1 및 제5 불휘발성 메모리 장치들의 문턱 전압들을 측정하였다. 그 결과를 도 8에 도시하였다. 도 6에서 가로축은 프로그래밍 전압 및 소거 전압의 인가 시간을 나타낸다.Subsequently, threshold voltages of the first and fifth nonvolatile memory devices were measured. The results are shown in FIG. In Figure 6, the horizontal axis represents the application time of the programming voltage and the erase voltage.

상기 제1 불휘발성 메모리 장치에 약 17.0V의 프로그래밍 전압을 인가하였으 며, 이에 의해 프로그램된 제1 불휘발성 메모리 장치의 문턱 전압을 측정하였다.A programming voltage of about 17.0 V was applied to the first nonvolatile memory device, thereby measuring the threshold voltage of the programmed first nonvolatile memory device.

상기 제1 불휘발성 메모리 장치에 약 -19.0V의 소거 전압을 인가하였으며, 이에 의해 소거된 제1 불휘발성 메모리 장치의 문턱 전압을 측정하였다.An erase voltage of about −19.0 V was applied to the first nonvolatile memory device, and thus the threshold voltage of the erased first nonvolatile memory device was measured.

상기 제5 불휘발성 메모리 장치에 약 17.0V의 프로그래밍 전압을 인가하였으며, 이에 의해 프로그램된 제5 불휘발성 메모리 장치의 문턱 전압을 측정하였다.A programming voltage of about 17.0 V was applied to the fifth nonvolatile memory device, thereby measuring the threshold voltage of the programmed fifth nonvolatile memory device.

상기 제5 불휘발성 메모리 장치에 약 -19.0V의 소거 전압을 인가하였으며, 이에 의해 소거된 제5 불휘발성 메모리 장치의 문턱 전압을 측정하였다.An erase voltage of about −19.0 V was applied to the fifth nonvolatile memory device, and thus the threshold voltage of the erased fifth nonvolatile memory device was measured.

도 11은 종래의 기술에 의해 형성된 제1 불휘발성 메모리 장치의 문턱 전압과 본 발명의 실시예에 의해 형성된 제5 불휘발성 메모리 장치의 문턱 전압을 나타내는 그래프이다.FIG. 11 is a graph illustrating a threshold voltage of a first nonvolatile memory device formed by a conventional technology and a threshold voltage of a fifth nonvolatile memory device formed by an embodiment of the present invention.

도 11을 참조하면, 약 100㎲ 동안 상기 프로그래밍 전압을 인가하는 프로그램 동작에서, 상기 제5 불휘발성 메모리 장치의 문턱 전압은 상기 제1 불휘발성 메모리 장치와 비교하여 약 0.4V 정도 감소되었다.Referring to FIG. 11, in a program operation of applying the programming voltage for about 100 mA, the threshold voltage of the fifth nonvolatile memory device is reduced by about 0.4V compared to the first nonvolatile memory device.

또한, 약 10ms 동안 상기 소거 전압을 인가하는 소거 동작에서 상기 제5 불휘발성 메모리 장치의 문턱 전압은 상기 제1 불휘발성 메모리 장치와 비교하여 약 2.7V 정도 감소되었다.In addition, in an erase operation in which the erase voltage is applied for about 10 ms, the threshold voltage of the fifth nonvolatile memory device is reduced by about 2.7 V compared to the first nonvolatile memory device.

결과적으로, 상기 제5 불휘발성 메모리 장치의 문턱 전압 윈도우가 상기 제1 불휘발성 메모리 장치와 비교하여 약 2.3V 정도 개선되었음이 확인되었다.As a result, it was confirmed that the threshold voltage window of the fifth nonvolatile memory device was improved by about 2.3V compared to the first nonvolatile memory device.

상기와 같은 본 발명의 실시예들에 따르면, 불휘발성 메모리 장치의 전하 트 랩핑 막은 고온 열처리에 의해 충분히 치밀화될 수 있으며, 이에 따라 상기 불휘발성 메모리 장치의 데이터 유지 특성 및 신뢰도가 개선될 수 있다.According to the embodiments of the present invention as described above, the charge trapping film of the nonvolatile memory device can be sufficiently densified by high temperature heat treatment, thereby improving data retention characteristics and reliability of the nonvolatile memory device.

특히, 질소를 포함하는 제1 가스와 산소를 포함하는 제2 가스의 혼합 가스를 사용하는 열처리에 의해 전하 트랩핑 막은 충분히 치밀화될 수 있으며, 상기 전하 트랩핑 막 상에는 치밀화된 실리콘 산질화막이 형성될 수 있다. 또한, 상기 전하 트랩핑 막의 열처리는 질소를 포함하는 가스 분위기에서 수행될 수 있다.In particular, the charge trapping film may be sufficiently densified by a heat treatment using a mixed gas of a first gas containing nitrogen and a second gas containing oxygen, and a densified silicon oxynitride film may be formed on the charge trapping film. Can be. In addition, the heat treatment of the charge trapping film may be performed in a gas atmosphere containing nitrogen.

상기 전하 트랩핑 막 내부의 결함 사이트들은 상기 열처리에 의해 충분히 제거될 수 있으며, 상기 전하 트랩핑 막이 상기 열처리에 의해 충분히 치밀화되므로 후속하는 블록킹 막의 증착 공정에서 금속 실리콘 산질화막과 같은 반응 부산물 막이 형성되는 것이 방지될 수 있다.Defect sites within the charge trapping film can be sufficiently removed by the heat treatment, and the charge trapping film is sufficiently densified by the heat treatment, so that a reaction byproduct film such as a metal silicon oxynitride film is formed in a subsequent blocking film deposition process. Can be prevented.

결과적으로, 상기 전하 트랩핑 막 내에서의 전하 측방 확산 및 상기 불휘발성 메모리 장치의 문턱 전압 윈도우의 감소가 방지될 수 있다.As a result, charge side diffusion in the charge trapping film and reduction of the threshold voltage window of the nonvolatile memory device can be prevented.

상기에서는 본 발명의 바람직한 실시예를 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.Although described above with reference to a preferred embodiment of the present invention, those skilled in the art will be variously modified and changed within the scope of the invention without departing from the spirit and scope of the invention described in the claims below I can understand that you can.

도 1 내지 도 7은 본 발명의 일 실시예에 따른 불휘발성 메모리 장치의 제조 방법을 설명하기 위한 단면도들이다.1 to 7 are cross-sectional views illustrating a method of manufacturing a nonvolatile memory device in accordance with an embodiment of the present invention.

도 8은 종래의 기술에 의해 형성된 제1 터널 절연막과 제1 전하 트랩핑 막 내의 수소 농도와 본 발명의 실시예들에 의해 형성된 제2 및 제3 터널 절연막들 및 제2 및 제3 전하 트랩핑 막들 내의 수소 농도를 나타내는 그래프이다.8 illustrates hydrogen concentration in a first tunnel insulating film and a first charge trapping film formed by a conventional technique, and second and third tunnel insulating films and second and third charge trapping formed by embodiments of the present invention. It is a graph showing the hydrogen concentration in the membranes.

도 9는 종래의 기술에 의해 형성된 제1 전하 트랩핑 막 내의 산소 농도와 본 발명의 실시예에 의해 형성된 제2 전하 트랩핑 막 내의 산소 농도를 나타내는 그래프이다.9 is a graph showing the oxygen concentration in the first charge trapping film formed by the prior art and the oxygen concentration in the second charge trapping film formed by the embodiment of the present invention.

도 10은 종래의 기술에 의해 형성된 제1 불휘발성 메모리 장치의 문턱 전압 변화량과 본 발명의 실시예들에 의해 형성된 제2, 제3 및 제4 불휘발성 메모리 장치들의 문턱 전압 변화량들을 나타내는 그래프이다.FIG. 10 is a graph illustrating threshold voltage changes of a first nonvolatile memory device formed by a conventional technology and threshold voltage changes of second, third, and fourth nonvolatile memory devices formed by embodiments of the present invention.

도 11은 종래의 기술에 의해 형성된 제1 불휘발성 메모리 장치의 문턱 전압과 본 발명의 실시예에 의해 형성된 제5 불휘발성 메모리 장치의 문턱 전압을 나타내는 그래프이다.FIG. 11 is a graph illustrating a threshold voltage of a first nonvolatile memory device formed by a conventional technology and a threshold voltage of a fifth nonvolatile memory device formed by an embodiment of the present invention.

<도면의 주요부분에 대한 부호의 설명><Description of the symbols for the main parts of the drawings>

10 : 불휘발성 메모리 장치 100 : 반도체 기판10 nonvolatile memory device 100 semiconductor substrate

102 : 터널 절연막 104 : 전하 트랩핑 막102 tunnel insulating film 104 charge trapping film

106 : 열처리된 전하 트랩핑 막 108 : 블록킹 막106 heat treated charge trapping film 108 blocking film

110 : 도전막 120 : 게이트 전극 구조물110 conductive film 120 gate electrode structure

134 : 이중 스페이서 150 : 게이트 구조물134: double spacer 150: gate structure

152a, 152b : 소스 드레인 영역152a, 152b: source drain region

Claims (18)

채널 영역을 갖는 기판 상에 터널 절연막을 형성하는 단계;Forming a tunnel insulating film on a substrate having a channel region; 상기 터널 절연막 상에 실리콘 질화물을 포함하며 상기 채널 영역으로부터 전자들을 트랩핑하기 위한 전하 트랩핑 막을 형성하는 단계;Forming a charge trapping film comprising silicon nitride on the tunnel insulating film for trapping electrons from the channel region; 상기 전하 트랩핑 막 내의 결함 사이트들을 제거하고 상기 전하 트랩핑 막을 치밀화시키기 위하여 질소를 포함하는 제1 가스와 산소를 포함하는 제2 가스를 이용하여 열처리를 수행하는 단계;Performing heat treatment using a first gas comprising nitrogen and a second gas comprising oxygen to remove defect sites in the charge trapping film and to densify the charge trapping film; 상기 열처리된 전하 트랩핑 막 상에 블록킹 막을 형성하는 단계;Forming a blocking film on the heat treated charge trapping film; 상기 블록킹 막 상에 도전막을 형성하는 단계; 및Forming a conductive film on the blocking film; And 상기 도전막, 블록킹 막, 전하 트랩핑 막 및 터널 절연막을 패터닝하여 상기 채널 영역 상에 게이트 구조물을 형성하는 단계를 포함하는 불휘발성 메모리 장치의 제조 방법.Patterning the conductive film, the blocking film, the charge trapping film, and the tunnel insulating film to form a gate structure on the channel region. 제1항에 있어서, 상기 열처리는 900℃ 내지 1250℃의 온도에서 수행되는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.The method of claim 1, wherein the heat treatment is performed at a temperature of 900 ° C. to 1250 ° C. 6. 제2항에 있어서, 상기 열처리는 1150℃ 내지 1250℃의 온도에서 수행되는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.The method of claim 2, wherein the heat treatment is performed at a temperature of 1150 ° C. to 1250 ° C. 4. 제1항에 있어서, 상기 블록킹 막은 실리콘 질화물보다 높은 유전율을 갖는 금속 산화물을 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.The method of claim 1, wherein the blocking layer comprises a metal oxide having a dielectric constant higher than that of silicon nitride. 제4항에 있어서, 상기 금속 산화물은 하프늄(Hf), 지르코늄(Zr), 탄탈룸(Ta), 알루미늄(Al), 란탄(La), 세륨(Ce), 프라세오디뮴(Pr), 네오디뮴(Nd), 사마륨(Sm), 유로퓸(Eu), 가돌리늄(Gd), 테르븀(Tb), 디스프로슘(Dy), 홀뮴(Ho), 에르븀(Er), 툴륨(Tm), 이테르븀(Yb) 및 루테튬(Lu)으로 이루어진 군으로부터 선택된 적어도 하나를 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.The method of claim 4, wherein the metal oxide is hafnium (Hf), zirconium (Zr), tantalum (Ta), aluminum (Al), lanthanum (La), cerium (Ce), praseodymium (Pr), neodymium (Nd), With samarium (Sm), europium (Eu), gadolinium (Gd), terbium (Tb), dysprosium (Dy), holmium (Ho), erbium (Er), thulium (Tm), ytterbium (Yb) and lutetium (Lu) At least one selected from the group consisting of a method for manufacturing a nonvolatile memory device. 제5항에 있어서, 상기 금속 산화물은 실리콘을 더 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.The method of claim 5, wherein the metal oxide further comprises silicon. 제1항에 있어서, 상기 제1 가스는 질소(N2) 및 암모니아(NH3)로 이루어진 군으로부터 선택된 적어도 하나를 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.The method of claim 1, wherein the first gas comprises at least one selected from the group consisting of nitrogen (N 2 ) and ammonia (NH 3 ). 제1항에 있어서, 상기 제2 가스는 산소(O2), 오존(O3) 및 일산화질소(NO)로 이루어진 군으로부터 선택된 적어도 하나를 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.The method of claim 1, wherein the second gas comprises at least one selected from the group consisting of oxygen (O 2 ), ozone (O 3 ), and nitrogen monoxide (NO). 제1항에 있어서, 상기 열처리는 상기 제1 가스 및 상기 제2 가스의 혼합 가스를 이용하여 수행되는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.The method of claim 1, wherein the heat treatment is performed using a mixed gas of the first gas and the second gas. 제9항에 있어서, 상기 혼합 가스는 90% 내지 99%의 질소와 1% 내지 10%의 일산화질소를 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.10. The method of claim 9, wherein the mixed gas comprises 90% to 99% nitrogen and 1% to 10% nitrogen monoxide. 제10항에 있어서, 상기 혼합 가스는 95% 내지 98%의 질소와 2% 내지 5%의 일산화질소를 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.The method of claim 10, wherein the mixed gas comprises 95% to 98% nitrogen and 2% to 5% nitrogen monoxide. 제9항에 있어서, 상기 혼합 가스는 95% 내지 99%의 질소와 1% 내지 5%의 산소를 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.10. The method of claim 9, wherein the mixed gas comprises 95% to 99% nitrogen and 1% to 5% oxygen. 제9항에 있어서, 상기 혼합 가스는 97% 내지 99%의 질소와 1% 내지 3%의 오존을 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.10. The method of claim 9, wherein the mixed gas comprises 97% to 99% nitrogen and 1% to 3% ozone. 제1항에 있어서, 상기 제1 가스를 이용하는 제1 열처리와 상기 제2 가스를 이용하는 제2 열처리가 순차적으로 수행되는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.The method of claim 1, wherein the first heat treatment using the first gas and the second heat treatment using the second gas are sequentially performed. 제1항에 있어서, 상기 열처리에 의해 상기 전하 트랩핑 막 상에는 치밀화된 실리콘 산질화막이 형성되는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.The method of claim 1, wherein a densified silicon oxynitride film is formed on the charge trapping film by the heat treatment. 제1항에 있어서, 상기 게이트 구조물을 형성하는 단계는,The method of claim 1, wherein the forming of the gate structure comprises: 상기 도전막을 패터닝하여 게이트 전극 구조물을 형성하는 단계; 및Patterning the conductive film to form a gate electrode structure; And 상기 블록킹 막, 전하 트랩핑 막 및 터널 절연막을 패터닝하여 블록킹 막 패턴, 전하 트랩핑 막 패턴 및 터널 절연막 패턴을 형성하는 단계를 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.Patterning the blocking film, the charge trapping film, and the tunnel insulating film to form a blocking film pattern, a charge trapping film pattern, and a tunnel insulating film pattern. 제16항에 있어서, 상기 게이트 전극 구조물의 측면들 상에 스페이서를 형성하는 단계를 더 포함하며, 상기 블록킹 막, 전하 트랩핑 막 및 터널 절연막은 상기 스페이서를 식각 마스크로 이용하는 식각 공정을 통해 패터닝되는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.The method of claim 16, further comprising forming a spacer on side surfaces of the gate electrode structure, wherein the blocking film, the charge trapping film, and the tunnel insulating film are patterned through an etching process using the spacer as an etching mask. A method of manufacturing a nonvolatile memory device, characterized in that. 제1항에 있어서, 상기 게이트 구조물을 형성한 후 상기 게이트 구조물과 인접하는 상기 기판의 표면 부위들에 소스/드레인 영역들을 형성하는 단계를 더 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.The method of claim 1, further comprising forming source / drain regions in surface portions of the substrate adjacent to the gate structure after forming the gate structure.
KR1020070073856A 2006-09-20 2007-07-24 Method of manufacturing a non-volatile memory device KR20090010604A (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020070073856A KR20090010604A (en) 2007-07-24 2007-07-24 Method of manufacturing a non-volatile memory device
US11/902,209 US8114735B2 (en) 2006-09-20 2007-09-20 Method of manufacturing a non-volatile memory device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070073856A KR20090010604A (en) 2007-07-24 2007-07-24 Method of manufacturing a non-volatile memory device

Publications (1)

Publication Number Publication Date
KR20090010604A true KR20090010604A (en) 2009-01-30

Family

ID=40489756

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070073856A KR20090010604A (en) 2006-09-20 2007-07-24 Method of manufacturing a non-volatile memory device

Country Status (1)

Country Link
KR (1) KR20090010604A (en)

Similar Documents

Publication Publication Date Title
US7564094B2 (en) Non-volatile memory devices and methods of manufacturing the same
KR100757333B1 (en) Method of manufacturing a non-volatile memory device
US7419918B2 (en) Methods of forming a thin-film structure, methods of manufacturing non-volatile semiconductor devices using the same, and resulting non-volatile semiconductor devices
TWI453899B (en) MOS type semiconductor memory device
US8278697B2 (en) Semiconductor device and method for manufacturing the same
US20050205969A1 (en) Charge trap non-volatile memory structure for 2 bits per transistor
KR100807227B1 (en) Non-volatile memory device and method of manufacturing the same
US8114735B2 (en) Method of manufacturing a non-volatile memory device
KR100757324B1 (en) Method of manufacturing a non-volatile memory device
KR100823715B1 (en) Method of manufacturing a non-volatile memory device
KR100819003B1 (en) Method for fabricating non-volatile memory device
KR100757335B1 (en) Non-volatile memory device and method of manufacturing the same
KR101153310B1 (en) Method for manufacturing a mos semiconductor memory device, and plasma cvd device
KR100807220B1 (en) Method of manufacturing non-volatile memory device
KR101036928B1 (en) Method for manufcturing semiconductor device
KR100759845B1 (en) Non-volatile memory device and method of manufacturing the same
US8163626B2 (en) Enhancing NAND flash floating gate performance
JP4358504B2 (en) Method for manufacturing nonvolatile semiconductor memory device
US8455344B2 (en) Method of manufacturing non-volatile memory device
KR100807228B1 (en) Method of manufacturing a non-volatile memory device
KR100814374B1 (en) Method of manufacturing a non-volatile memory device
KR20090010604A (en) Method of manufacturing a non-volatile memory device
KR100763535B1 (en) Method of manufacturing a non-volatile memory device
US8187973B2 (en) Method for manufacturing semiconductor device and the semiconductor device
KR20090049291A (en) Method of manufacturing semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application