KR100715070B1 - 재료 처리용 rf 플라즈마 소스 - Google Patents

재료 처리용 rf 플라즈마 소스 Download PDF

Info

Publication number
KR100715070B1
KR100715070B1 KR1020000020969A KR20000020969A KR100715070B1 KR 100715070 B1 KR100715070 B1 KR 100715070B1 KR 1020000020969 A KR1020000020969 A KR 1020000020969A KR 20000020969 A KR20000020969 A KR 20000020969A KR 100715070 B1 KR100715070 B1 KR 100715070B1
Authority
KR
South Korea
Prior art keywords
coil
coil segment
enclosure
segment
plasma
Prior art date
Application number
KR1020000020969A
Other languages
English (en)
Other versions
KR20010014787A (ko
Inventor
마이클 바니스
테츠야 이시가와
카벡에프. 니아지
츠토무 다나카
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20010014787A publication Critical patent/KR20010014787A/ko
Application granted granted Critical
Publication of KR100715070B1 publication Critical patent/KR100715070B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 플라즈마 튜브 근처에서 낮은 코일 전압을 유지하여, 코일과 플라즈마 사이의 용량 결합을 감소시키고 플라즈마 튜브 내면의 부식을 상당히 감소시키는 플라즈마 소스를 제공한다. 플라즈마 소스는 일반적으로 제1 코일 세그먼트와 제2 코일 세그먼트를 가지는 코일, 상기 코일에 연결된 RF 파워 소스 및 제1 코일 세그먼트와 제2 코일 세그먼트 사이에 배치된 엔클로저를 포함한다. 또한 본 발명은 제1 코일 세그먼트와 제2 코일 세그먼트 사이에 엔클로저를 배치하는 단계; 상기 엔클로저내로 가스를 유입시키는 단계; 및 상기 가스를 플라즈마로 여기시키기 위해 상기 코일 세그먼트에 RF 파워를 공급하는 단계를 포함하는 플라즈마 발생 방법을 제공한다. 본 발명은 대칭적 코일 구성, 플라즈마 챔버 근처에 저전압을 제공하도록 조절되는 매칭 네트워크를 갖는 비대칭적 코일 구성, 병렬로 구동되고 플라즈마 챔버 근처에 물리적으로 접지되는 코일 세그먼트를 가지는 센터 접지된 코일 구성, 및 직렬 또는 병렬로 구동되는 다수의 코일 세그먼트 쌍을 가지는 쌍 구성을 포함하는 다양한 코일 동작을 제공한다.

Description

재료 처리용 RF 플라즈마 소스 {A NOVEL RF PLASMA SOURCE FOR MATERIAL PROCESSING}
도 1은 종래 원격 유도 결합 플라즈마 소스의 개략도.
도 2는 본 발명에 따른 플라즈마 소스의 개략도.
도 3은 본 발명에 따른 플라즈마 소스의 코일 터미널과 코일 센터에서의 예시적 전압을 도시하는 그래프.
도 4는 본 발명에 따른 플라즈마 소스를 사용하는 처리 챔버의 개략도.
도 5는 센터 접지된 코일 구성을 가지는 본 발명에 따른 플라즈마 소스의 개략도.
도 6은 자기 공명 코일 구성을 가지는 본 발명에 따른 플라즈마 소스의 개략도.
도 7은 직렬 코일 구성을 가지는 본 발명에 따른 플라즈마 소스의 개략도.
도 8은 코일 단면 모양의 개략도.
※ 도면의 주요부분에 대한 부호의 설명 ※
200 : 플라즈마 소스 202 : 코일
208 : RF 파워 소스 210 : 엔클로저
214 : 제1 매칭 네트워크 216 : 제2 RF 매칭 네트워크
218 : 가스 유입구 220: 플라즈마 출구
본 발명은 일반적으로 플라즈마 처리에 관한 것이다. 특히, 본 발명은 플라즈마 재료 처리에 사용하기 위한 무선 주파수(RF) 플라즈마 소스에 관한 것이다.
플라즈마 재료 처리는 반도체 기판상에 집적 회로를 제조하는데 폭넓게 사용된다. 이런 처리는 전형적으로 에칭, 화학적 기상 증착, 물리적 기상 증착 및 다른 진공 처리를 포함한다. 이런 처리동안, 반도체 기판은 진공 처리 챔버내의 기체 플라즈마에 노출된다. 전형적으로 13.56㎒의 무선 주파수(3-30㎒ 사이의 RF)가 처리 챔버에 공급된 처리 가스를 여기시켜서 플라즈마를 발생시키는데 사용된다. 플라즈마는 처리 챔버에서 발생될 수 있고, 및/또는 원격 플라즈마 발생기로부터 처리 챔버로 유입될 수 있다. 처리 챔버에서의 플라즈마 발생과 원격 플라즈마 발생은 둘 다 종래 기술로 공지되어 있다. 각각의 플라즈마 발생 방법은 다양한 플라즈마 재료 처리에 사용되어 왔다. 예를 들면, NF3와 같은 세척 가스의 원격 플라즈마 발생은 세라믹 또는 알루미늄으로 제조되는 증착 챔버 또는 처리 키트 부품(예를 들어, 가스 분배기, 클램프 링 등)을 세척하는데 지속적으로 사용되어 왔다.
도 1은 종래 원격 유도 결합 플라즈마 소스의 개략도이다. 상기 플라즈마 소스(100)는 일반적으로 튜브(102), 튜브(102)의 길이를 따라 외부에 나선형으로 감긴 코일(104) 및 코일(104)에 연결된 RF 파워 소스(106)를 포함한다. 일반적으로, 도 1에 도시된 바와 같은 유도 결합은 소정 파워에 대해 유도 결합에 의해 발생된 플라즈마 밀도가 동일한 파워로 용량 결합에 의해 발생된 것보다 더 높기 때문에 용량 결합 이상으로 바람직하다. 더 높은 플라즈마 밀도는 일반적으로 증가된 반응 속도, 더 짧은 처리 시간 및 더 높은 처리량을 초래한다. 상기 RF 파워 소스(106)는 튜브내에 플라즈마를 발생시키는데 필요한 RF 에너지를 코일(104)에 공급한다. 전형적으로, RF 매칭 네트워크(108)가 RF 파워 소스(106)와 코일(104) 사이에 임피던스 매칭을 제공하기 위하여 RF 파워 소스(106)와 코일(104) 사이에 연결된다. 상기 임피던스 매칭은 코일(104)에 공급된 RF 파워 소스(106)가 RF 파워 소스(106)로 다시 반사되지 못하게 하여 RF 파워 소스(106)와 코일(104) 사이에 최적의 파워를 전달한다. 상기 튜브(102)는 한 단부에 배치된 처리 가스 유입구(110) 및 다른 단부에 배치된 플라즈마 출구(112)를 포함한다. 상기 처리 가스 유입구(110)는 처리 가스 소스(도시안됨)에 유동적으로 연결되고, 플라즈마 출구(112)는 처리 챔버(도시안됨)에 유동적으로 연결된다. 상기 원격 플라즈마 소스(100)는 일반적으로 챔버 엔클로저의 표면에, 전형적으로 챔버 엔클로저의 덮개 상부에 장착된다.
처리동안, 처리 가스가 처리 가스 유입구(110)를 통해 튜브(102)내로 유입되며, RF 파워 소스(106)가 코일(104)에 RF 파워를 공급하도록 동작된다. 상기 RF 파워는 코일(1040)에 에너지를 공급하여 튜브(102)내에 처리 가스를 플라즈마 상태로 여기시키는 RF계(RF field)를 생성한다. 다음에 플라즈마는 플라즈마 출구(112)로부터 처리 챔버내로 흘러간다. 전형적으로, 처리 가스는 튜브(102)내로 연속적으로 유입되고 처리주기 내내 처리 챔버내로 연속적 플라즈마 공급을 제공하기 위해 플라즈마로 여기된다.
전형적으로, 상기 RF 파워 소스가 코일(104)의 일단부에 수 킬로볼트 정도의 높은 피크 대 피크 전압을 공급하는 동안 코일(104)의 다른 단부는 접지된다. 접지된 일단부와 고전압에 연결된 일단부를 가지는 유도성 RF 코일과 관련한 문제점은 높은 RF 피크 대 피크 전위가 튜브(102)에서 발생되는 플라즈마내로 RF 파워의 강한 용량 결합을 초래한다는 것이다. RF 파워의 플라즈마로의 강한 용량 결합은 그것이 코일(104)에 의해 의도된 바와 같은 플라즈마에 유도 결합되는 RF 에너지를 감소시키기 때문에 바람직하지 않다. 용량 결합은 일반적으로 유전체 튜브 근처에 큰 외장 전압을 제공한다. 튜브(102) 근처의 고전압은 플라즈마로부터의 이온이 유전체 튜브 재료에 영향을 주는 큰 외장 전압에 의해 가속되기 때문에, 튜브(102)의 내면의 상당한 부식을 초래한다. 튜브(102) 부식은 튜브의 사용 수명을 감소시키고 기판에 결함을 가져올 수 있는 처리동안 오염 발생을 초래한다. 또한 부식은 튜브 뿐만 아니라 원격 유도 결합 플라즈마 소스의 사용 수명을 감소시키며, 부가적 비용 및 보수 및/또는 교환을 위한 처리 휴지시간을 초래한다. 더욱이, 용량 결합의 최소화가 소정 파워에 대해 고밀도 플라즈마를 초래하기 때문에 용량 결합을 감소 또는 최소화하는 것이 바람직하다.
따라서, 플라즈마 튜브 주변에 낮은 코일 전압을 유지하여, 코일과 플라즈마 사이의 용량 결합 및 플라즈마 튜브의 내면 부식을 감소시키는 원격 유도 결합 플라즈마 소스에 대한 필요성이 존재한다.
본 발명의 목적은 플라즈마 튜브 주변에서 낮은 코일 전압을 유지하여, 코일과 플라즈마 사이의 용량 결합을 감소시키고 플라즈마 튜브의 내면 부식을 상당히 감소시키는 플라즈마 소스를 제공하는 것이다.
플라즈마 소스는 일반적으로, 제1 코일 세그먼트와 제2 코일 세그먼트를 가지는 코일, 코일에 연결된 RF 파워 소스 및 제1 코일 세그먼트와 제2 코일 세그먼트 사이에 배치된 엔클로저를 포함한다. 바람직하게, 제1 RF 매칭 네트워크가 RF 파워 소스와 제1 코일 세그먼트 사이에 연결되며, 제2 RF 매칭 네트워크가 제2 코일 세그먼트와 접지 사이에 접속된다.
대안적으로, 각각의 코일 세그먼트는 RF 매칭 네트워크를 단순화하기 위하여 자기 공명 모드로 동작하도록 캐패시터에 연결된다. 바람직하게 하나의 캐패시터가 각각의 코일 세그먼트의 외부 단부에 연결되며, RF 파워 소스는 코일 세그먼트중 하나 또는 제1 및 제2 코일 세그먼트 사이의 중간 세그먼트에 연결된다.
본 발명의 다른 특징은 코일 세그먼트의 내부 단부를 접지시킴으로써 엔클로저 근처에 제로 전압을 제공한다. 이런 코일 구성에서, 상기 RF 파워 소스는 코일 세그먼트의 외부 단부에 연결되고, 바람직하게 RF 매칭 네트워크는 RF 파워 소스와 코일 세그먼트 사이에 연결된다. 코일 세그먼트의 내부 단부를 개별적으로 접지시키는 대신에, 제1 및 제2 코일 세그먼트를 연결하는 중간 코일 세그먼트가 엔클로저 근처에 제로 전압을 제공하도록 접지된다.
또한 본 발명은 플라즈마를 발생시키는 방법을 제공하는데, 코일의 제1 코일 세그먼트와 제2 코일 세그먼트 사이에 엔클로저를 배치하는 단계; 엔클로저내로 가스를 유입하는 단계; 및 가스를 플라즈마로 여기시키기 위해 코일에 RF 파워를 공급하는 단계를 포함한다. 본 발명은 대칭적 코일 구성, 자기 공진 구성, 플라즈마 챔버 근처에 저전압을 제공하도록 조절되는 매칭 네트워크를 갖는 비대칭적 코일 구성, 병렬로 구동되고 플라즈마 챔버 근처에 물리적으로 접지되는 코일 세그먼트를 가지는 센터 접지된 코일 구성, 및 직렬 또는 병렬로 구동되는 다수의 코일 세그먼트 셀을 갖는 쌍 구성을 포함하는, 다양한 코일 동작을 제공한다. 부가적으로, 코일과 플라즈마 사이의 유도 결합은 페라이트 코어를 가지는 코일 세그먼트를 사용함으로써 증진될 수 있다.
도 2는 본 발명에 따른 플라즈마 소스의 개략도이다. 상기 플라즈마 소스(200)는 일반적으로 제1 코일 세그먼트(204)와 제2 코일 세그먼트(206)를 가지는 코일(202), 코일(202)에 연결된 RF 파워 소스(208) 및 제1 코일 세그먼트(204)와 제2 코일 세그먼트(206) 사이에 배치된 엔클로저(210)를 포함한다. 상기 엔클로저(210)는 코일에 대해 비스듬히, 바람직하게는 엔클로저(210)를 통과하는 축(A)이 코일(202)을 통과하는 축(B)에 수직하도록 코일(202)에 대해 실질적으로 수직(예를 들어, ±10도)으로 배치된다. 바람직하게, 제1 매칭 네트워크(214)가 RF 파워 소스(208)와 제1 코일 세그먼트(204) 사이에 연결되며, 제2 RF 매칭 네트워크(216)가 제2 코일 세그먼트(206)와 접지 사이에 연결된다.
상기 엔클로저(210)는 엔클로저(210)의 반대쪽 단부에 배치된 가스 유입구(218)와 플라즈마 출구(220)를 포함한다. 상기 가스 유입구(218)는 처리 가스 소스(도시안됨)에 유동적으로 연결되며, 처리가스가 가스 유입구(218)를 통해 엔클로저(210)내로 유입된다. 본 발명에 의해 시도된 바와 같은, 처리 가스는 다양한 증착 가스 뿐만아니라 에칭 가스를 포함한다. 상기 플라즈마 출구(220)는 처리 챔버(예가 도 4에 도시되어 있음)에 유동적으로 연결되며, 엔클로저(210) 내부에 발생된 플라즈마는 플라즈마 출구(220)를 통해 처리 챔버로 흐른다. 대안적으로, 상기 플라즈마 출구(220)는 엔클로저(210)로부터 챔버에 연결된 펌프 출구 도관(도 4에 도시됨)을 통해 처리 챔버로 플라즈마를 펌핑하는 펌프(도 4에 도시됨)에 유동적으로 연결된다.
바람직하게, 상기 엔클로저(210)는 튜브를 포함하고 석영과 같은 유전체 재료로 제조된다. 택일적으로, 저항성 또는 반도전성 재료와 같은 재료가 엔클로저(210)를 위해 사용될 수 있다. 상기 엔클로저(210)의 구성을 위한 특정 재료는 시스템에 유입될 처리 가스에 따라 결정된다. 예를 들면, NF3를 포함하는 처리 가스로부터 플라즈마를 발생시키기 위해, 엔클로저(210)의 구성을 위한 바람직한 재료는 사파이어인데, 무엇보다도 사파이어가 플라즈마내에 형성된 활성종에 의한 부식에 대해 높은 저항성을 가지기 때문이다. 도 2에 도시된 바와 같이, 엔클로저(210)는 일반적으로 산업분야에서 널리 사용되고 종래 원격 유도 결합 플라즈마 소스에 사용되는 실린더형 엔클로저이다. 그러나, 직사각형 엔클로저와 같이 다른 단면 모양을 가지는 엔클로저가 본 발명에 의해 시도될 수 있다. 또한 엔클로저(210)는 가스 냉각제 또는 액체 냉각제를 사용하여 엔클로저를 냉각하는 냉각 자킷(도시안됨)을 포함할 수 있다.
상기 엔클로저(210)는 코일(202)의 제1 코일 세그먼트(204)와 제2 코일 세그먼트(206) 사이에, 바람직하게 엔클로저(210)를 통과하는 축 A가 코일(202)을 통과하는 축 B에 수직하도록 수직한 방식으로 배치된다. 상기 코일(202)은 엔클로저(210)의 중간 섹션을 가로지르는 단면 모양을 가지며, 코일(202)의 단면 모양의 내부 직경은 바람직하게 결합 효율을 최대화하기 위해 엔클로저(210)의 내부 직경과 거의 동일한 치수를 가진다. 예를 들면, 원형 단면 모양(도 8a에 도시된 바와 같이)을 가지는 코일은 실린더형 플라즈마 튜브의 내부 직경과 거의 동일한 치수를 가지는 내부 직경을 가진다. RF계가 제1 코일 세그먼트(204)와 제2 코일 세그먼트(206) 사이의 영역에 생성되기 때문에, 코일(202)과 엔클로저(210)의 단면 영역 사이의 증가된 교차는 플라즈마를 발생하기 위한 엔클로저(210)내의 증가된 활성 RF 영역을 생성한다. 바람직하게, 상기 코일(202)은 엔클로저 축 A와 동일한 방향으로 연장하는 긴 단면 축(D)을 가지는 연장하는 단면 모양을 가진다. 이런 연장된 단면 모양은 장축과 단축을 가지는 타원(도 8b에 도시된 바와 같이), 직사각형(도 8c에 도시된 바와 같이) 및 다른 단면 모양이 될 수 있다. 바람직하게, 코일의 단축(E)은 엔클로저의 내부 직경 또는 폭과 거의 동일한 치수를 가진다. 상기 연장된 단면 모양은 엔클로저(210)의 중간 섹션과 교차하는 코일(202)의 증가된 단면적을 제공하여, 엔클로저(210)내의 가스를 플라즈마로 여기시키기 위한 활성 영역을 증가시킨다. 엔클로저(210)내의 가스를 여기시키기 위한 활성 영역을 증가시킴으로써, 코일(202)로부터 엔클로저(210)로의 결합 효율이 개선된다(원형 단면을 가지는 코일의 결합 효율과 비교할 때). 부가적으로, 코일과 플라즈마 사이의 유도 결합은 페라이트 코어를 가지는 코일 세그먼트를 사용함으로써 증진될 수 있다.
상기 코일(202)은 중간 세그먼트(222)에 의해 결합되는 제1 코일 세그먼트(204)와 제2 코일 세그먼트(206)를 포함한다. 상기 중간 세그먼트(222)는 2개 세그먼트 사이의 연속성을 제공하면서 제1 코일 세그먼트(204)와 제2 코일 세그먼트(206) 사이에 엔클로저(210)가 배치될 공간을 제공한다. 상기 중간 세그먼트(222)는 엔클로저(210)가 배치되는, 코일 세그먼트(204, 206) 사이에 전압 노드(예를 들어, 접지와 관련하여 저전압의 영역)를 제공한다. 도 2에 도시된 바와 같이, 상기 중간 세그먼트(222)는 2개 세그먼트 사이의 수평 확장을 제공한다. 상기 제2 코일 세그먼트(206)는 나선 지속이 중간 세그먼트(222)의 길이만큼 오프셋된다는 점만 제외하고 코일의 나선을 지속하며, 여기에서 제1 코일 세그먼트(206)가 종결한다. 바람직하게, 제1 및 제2 코일 세그먼트(204, 206)는 솔레노이드 권선을 포함한다. 일실시예에서, 코일 세그먼트는 대칭적 구성으로 이루어지는데, 제1 및 제2 코일 세그먼트(204, 206)는 엔클로저(210)의 외부 표면으로부터 균일하게 배치되고, 권선수, 권선의 방향, 단면 모양 및 구성 재료가 동일하다.
본 발명에 따른 코일 디자인은 엔클로저(210) 주변에서 낮은 코일 전압을 유지하며, 그 결과 플라즈마에 대한 용량 결합을 감소시킨다. 바람직하게, 상기 코일 디자인은 엔클로저(210)가 배치되는 제1 코일 세그먼트(204)와 제2 코일 세그먼트(206) 사이에 거의 제로 전압을 제공한다. 본 발명은 대칭적 코일 구성, 플라즈마 챔버 근처에 저전압을 제공하도록 조절되는 매칭 네트워크를 갖는 비대칭적 코일 구성, 자기 공진 구성, 병렬로 구동되고 플라즈마 챔버 근처에 물리적으로 접지되는 코일 세그먼트를 가지는 센터 접지된 코일 구성, 및 직렬 또는 병렬로 구동되는 다수의 코일 세그먼트 쌍을 갖는 쌍 구성을 포함하는, 다양한 코일 동작을 제공한다.
RF 파워 소스(208)가 엔클로저(210)내의 가스를 여기시키는 RF계를 발생하는데 요구되는 RF 에너지를 공급하도록 코일(202)에 연결된다. 바람직하게, 제1 RF 매칭 네트워크(214)가 RF 파워 소스(208)와 제1 코일 세그먼트(204) 사이에 연결되며, 제2 RF 매칭 네트워크(216)가 제2 코일 세그먼트(206)와 접지 사이에 연결된다. 상기 제1 RF 매칭 네트워크(214)는 제1 코일 터미널(224)을 통해 제1 코일 세그먼트(204)에 연결되는 반면, 제2 RF 매칭 네트워크(216)는 제2 코일 터미널(2260을 통해 제2 코일 세그먼트(206)에 연결된다. 상기 RF 매칭 네트워크(214, 216)는 파워 전달을 최대화하는 RF 파워 서플라이(208)와 코일(202) 사이의 임피던스 매칭을 제공한다. 또한 상기 임피던스 매칭은 코일(202)에 공급된 RF 파워가 RF 파워 소스(208)로 다시 반사되지 않도록 한다.
선택적으로, 패러데이 차폐물(250)이 원격 플라즈마 소스로부터 잔여 정전계를 제거하기 위해 엔클로저(210)와 코일 세그먼트(204, 206) 사이에 배치된다. 상기 패러데이 차폐물은 일반적으로 코일 세그먼트로부터 나머지 정전계를 제거하는 삽입된 도체를 포함한다. 패러데이 차폐물은 기술분야에 공지되어 있다.
동작중, 처리 가스가 가스 유입구(218)를 통해 엔클로저(210)내로 유입된다. 다음에 RF 파워 소스(208)가 엔클로저(210)내의 가스를 플라즈마 상태로 여기시키기 위해 코일(202)에 RF 에너지를 공급한다. 상기 플라즈마는 플라즈마 출구(220)를 통해 엔클로저(210)로부터 처리 챔버(도 4에 도시됨)내로 흘러간다. 펌프(도 4에 도시됨)가 원격 유도 결합 플라즈마 소스(200)로부터 처리 챔버로의 플라즈마 전달을 증진시키도록 플라즈마 출구(220)와 처리 챔버 사이의 유체 흐름을 위해 배치될 수 있다.
도 3은 본 발명에 따른 플라즈마 소스의 코일 터미널(224, 226)과 코일 센터(228)에서의 바람직한 전압을 도시하는 그래프이다. 도 3에 도시된 그래프를 위해, 상기 RF 파워 소스(208)는 약 2㎸의 피크 대 피크 전압을 갖는 약 1kW의 RF 파워를 코일(202)에 공급한다. 전형적으로, 상기 RF 파워 소스는 약 13.56 ㎒의 RF 파워를 공급한다. 제1 코일 터미널(224)에서의 전압은 -2 내지 2㎸ 사이에서 변화하며, 제2 코일 터미널(226)에서의 전압도 -2 내지 2㎸ 사이에서 변화한다. 그러나, 상기 전압은 거의 완전히 위상이 다르다. 그러므로, 제1 코일 터미널에서의 전압 피크가 2㎸에 있을 때, 제 2 코일 터미널에서의 전압 피크는 -2㎸에 있다. 상기 코일 중간 세그먼트(222)의 중심점에 대응하는, 센터(228)에서의 전압은 200 내지 -200V 사이의 거의 제로 전압에서 싸이클링한다. 코일 터미널(224, 226)에서의 높은 피크 대 피크 전압과 비교할 때 코일 센터(228)에서의 낮은 피크 대 피크 전압은 엔클로저(210)가 이 영역에 배치되기 때문에 중요하다. 엔클로저(210) 근처에 존재하는 고전압이 없기 때문에, 코일(202)로부터의 엔클로저(210)로의 용량 결합은 상당히 감소되고 실제적으로 제거된다. 엔클로저(210) 주변에서 낮은 피크 대 피크 전압을 유지함으로써, 엔클로저의 내부 표면의 부식이 상당히 감소된다.
도 4는 본 발명에 따른 플라즈마 소스를 사용한 처리 챔버의 개략도이다. 본 발명이 HDP-CVD 처리 시스템을 참조로 기술되었더라도, 본 발명은 다른 플라즈마 처리 시스템에 사용될 수 있다. 도 4는 본 발명에 따른 원격 유도 결합 플라즈마 소스를 가지는 HDP-CVD 챔버의 단면도이다. 상기 챔버(400)는 일반적으로 알루미늄으로 제조되고 애노드로서 기능하는 챔버 바디(410)를 포함한다. 상기 챔버 바디(410)는 유도 코일(414)이 유도성 플라즈마 소스를 제공하도록 주위에 배치되는 상부 에지상의 유전체 돔(412)을 지지한다. 도전성 또는 반도전성 챔버 덮개(418)가 유전체 돔(412)의 상부면에 지지되고 챔버내의 다른 애노드로서 기능한다. 정전기 척(420)이 처리동안 자신의 상부에 기판을 유지하기 위해 챔버의 하부에 배치된다. 세라믹과 같은 유전체 재료로 제조된 절연 링(422)이 정전기 척과 접지된 챔버 벽 사이의 아킹을 방지하도록 정전기 척(420)의 외부 주변에 배치된다. 가스는 챔버 바디(410)의 주변 근처에 그리고 정전기 척(420)상의 챔버 덮개(418)내에 배치된 가스 유입구(432)를 통해 유입되다. 상기 챔버는 배출 펌프(426)에 의해 정전기 척(420)의 외부 에지에 바깥쪽으로 배치된 배출 통로(424)를 통해 배출된다. 스로틀 및 게이트 밸브 어셈블리는 챔버 밖으로 배출되는 가스를 제어함으로써 챔버내의 압력을 제어한다.
전형적으로, 고밀도 플라즈마는 유전체 돔에 인접한 유도 코일을 통해 소스 RF로서 언급되는 RF 전압을 제공함으로써 처리 챔버내에 발생된다. 상기 코일과 덮개에 인가된 RF 전압은 챔버에 유입된 가스를 플라즈마 상태로 여기시킨다. 부가적으로, RF 전압은 챔버내로 바이어스 RF 신호를 제공하기 위해 챔버 덮개(18)에 결합될 수 있다. 상기 응용에 의존하여, 전구체 가스가 기판상에 어떤 재료를 증착하거나 또는 기판상에 집적 회로를 형성하기 위해 기판으로부터 재료를 에칭하도록 챔버내로 유입될 수 있다.
도전성 또는 반도전성 덮개(418), 유전체 링(422), 세라믹 돔(412), 엔클로저 벽(410) 및 가스 유입구(415) -이들 모두는 플라즈마 처리 영역의 일부를 형성함- 는 챔버내의 동작 조건하에서 가스상으로 휘발되어 처리 환경을 오염시킬 수 있는 오염 재료의 소스가 된다. 특히, Na, Li 및 K와 같은 모빌 이온, Fe, Cr, Ni 및 Mg와 같은 금속 입자가 용량성 또는 유도성 플라즈마가 챔버에서 점화될 때 챔버 부품으로부터 걸러질 수 있다. 증착된 막으로의 이동성 이온과 금속 입자의 혼입은 기판에 형성된 소자의 구조적 보전성과 전기적 성능을 손상시킨다. 더욱이, 챔버 부품상의 증착물이 일련의 기판이 처리되어진 후 형성될 수 있고, 유사한 성분임에도 불구하고 박편화되어 회로를 손상시킬 수 있는 또다른 입자 소스가 된다.
챔버내의 입자 오염은 플루오르 화합물과 같은 세척 가스를 사용하여 챔버를 주기적으로 세척함으로써 억제된다. 세척 가스는 챔버로부터 배출될 수 있어서, 처리 환경을 세척하는 안정한 부산물을 형성하기 위해 전구체 가스와 챔버 부품상에 형성되어있는 증착 재료를 결합하는 능력에 기초하여 선택된다. 고밀도 플라즈마 반응기에서, 플루오르를 포함하는 대부분의 세척 가스(예를 들어, NF3, CF4 및 C2F6)는 고도로 분해되어 챔버로부터 배출될 수 있는 안정한 부산물을 형성하는 증착 재료와 쉽게 결합할 수 있다.
세척 가스의 플라즈마는 챔버(400)에 부착된 원격 유도 결합 플라즈마 소스(200)를 사용하여 발생된다. 도 4에 도시된 바와 같이, 펌프(430)는 도관(432)을 통해 챔버(400)로 플라즈마를 펌핑하기 위해 플라즈마 출구(220)에 연결된다. 상기 세척 처리는 다음의 파라미터를 갖는 NF3의 플라즈마를 사용하여 달성될 수 있다. 전형적으로, 원격 플라즈마 소스에 인가되는 RF 파워는 1 내지 3㎾이다. NF3와 같은 처리 가스의 흐름 속도는 바람직하게 100 내지 1000 sccm이며, 엔클로저내의 압력은 1 내지 3토르이다.
도 5-7은 도 2에서 기술된 엔클로저/코일 구성에 기초한 본 발명의 다른 실시예를 보여준다.
도 5는 센터 접지된 코일 구성을 가지는 본 발명에 따른 플라즈마 소스(500)의 개략도이다. 엔클로저(210)는 제1 코일 세그먼트(204)와 제2 코일 세그먼트(206) 상에 배치된다. RF 플라즈마 소스(208)는 코일 세그먼트에 RF 파워를 공급하기 위해 RF 매칭 네트워크(502)에 연결된다. 상기 RF 매칭 네트워크(502)는 코일 세그먼트의 외부 단부에 배치되는 제1 및 제2 코일 터미널(224와 226)에 연결된다. 코일 세그먼트(204, 206)의 내부 단부(504, 506)는 접지에 연결된다. 도 5에 도시된 바와 같은 코일 구성을 위해, 상기 코일 세그먼트(204와 206)는 내부 단부(504, 506)가 각각 접지되기 때문에 중간 세그먼트(222)에 의해 연결될 필요가 없다. 코일 세그먼트의 내부 단부를 접지시킴으로써, 엔클로저 주변의 전압은 거의 제로가 된다. 대안적으로, 코일 세그먼트(204와 206)가 중간 세그먼트(222)에 의해 연결될 때, 중간 세그먼트(222)는 내부 단부(502, 504)를 개별적으로 접지시키는 것과 동일한 목적을 달성하기 위해, 바람직하게 코일 센터(228)에서 단일 접속을 통해 접지에 연결된다. 엔클로저(210)의 위치에 코일 세그먼트(204, 206)를 접지시킴으로써, 도 5에 도시된 바와 같은 코일 구성은 (접지 때문에) 제로 전압 노드가 엔클로저(210)의 위치에 형성되게 하고 코일 세그먼트들 사이의 엔클로저(210)에 전압차가 없게 한다.
도 6은 자기공명 코일 구성을 가지는 본 발명에 따른 플라즈마 소스(600)의 개략도이다. 제1 캐패시터(C1)는 제1 코일 세그먼트 터미널(224)과 접지 사이에 연결되는 반면, 제2 캐패시터(C2)는 제2 코일 세그먼트 터미널(226)과 접지 사이에 연결된다. 캐패시터(C1와 C2)의 값은 공명 동작을 제공하기 위하여 코일 세그먼트(204와 206)와 매칭되도록 선택된다. 상기 RF 파워 소스(208)는 RF 매칭 네트워크 없이 코일 세그먼트중 하나(예를 들어, 제1 코일 세그먼트(204) 또는 제2 코일 세그먼트(206))에 연결된다. 도 5에 도시된 바와 같이, 상기 RF 파워 소스(208)는 제1 코일 세그먼트(204)에 연결된다. 대안적으로, 상기 RF 파워 소스(208)는 센터 탭핑된 자기 공명 동작을 제공하기 위해 RF 매칭 네트워크 없이 중간 세그먼트(222)에 연결된다. 다른 대안으로서, RF 파워 소스(208)는 RF 매칭 네트워크 없이 제2 코일 세그먼트(206)에 연결된다. 코일에 대한 RF 파워 소스의 연결 위치는 자기 공명 동작을 제공하기 위해 캐패시터(C1와 C2)의 값을 결정하는데 있어서의 또다른 인자이다. 도 6에 도시되 바와 같은 센터 탭핑된 자기 공명 코일 구성은 시스템의 효율성을 최대화하기 위해 각각의 코일 세그먼트에 대해 단지 하나의 캐패시터를 사용함으로써 복잡한 매칭 네트워크를 제거한다.
도 7은 직렬 코일 구성을 가지는 본 발명에 따른 플라즈마 소스(700)의 개략도이다. 상기 직렬 코일 구성은 제1 중간 세그먼트(708)에 의해 연결되는 제1 코일 세그먼트(704)와 제2 코일 세그먼트(706)를 포함하는 제1 코일(702) 및 제2 중간 세그먼트(718)에 의해 연결되는 제3 코일 세그먼트(714)와 제4 코일 세그먼트(716)를 포함하는 제2 코일(712)을 포함한다. 연결 세그먼트(710)가 제2 코일 세그먼트(706)와 제3 코일 세그먼트(714)를 연결시킨다. 상기 엔클로저(210)는 제1 및 제2 코일 세그먼트 사이 그리고 제3 및 제4 코일 세그먼트 사이에 배치된다. 바람직하게, 제1 매칭 네트워크(714)는 제1 코일 세그먼트(702)와 RF 파워 소스(208) 사이에 연결되며, 제2 매칭 네트워크(716)는 제4 코일 세그먼트(708)와 접지 사이에 연결된다. 2세트의 코일 세그먼트 사이에 엔클로저(210)를 배치함으로써, 상기 엔클로저와 교차하는 코일의 단면적은 (1세트의 코일 세그먼트의 단면적과 비교하여) 증가되어, 엔클로저(210)내의 가스를 플라즈마로 여기시키기 위한 활성 영역을 증가시킨다. 또한, 코일 전압은 더 많은 코일 세그먼트 사이에서 분배되어, 각각의 코일 세그먼트 양단에 인가되는 최대 전압이 더 낮게 된다. 본 발명은 엔클로저(210)내의 가스를 여기시키기 위한 활성 영역을 추가로 증가시키기 위해 직렬로 연결된 부가의 코일 세그먼트 쌍을 제공하는 것을 시도한다. 또한 본 발명은 엔클로저(210)내의 가스를 여기시키기 위한 활성 영역을 증가시키기 위해 병렬 구성으로 연결되는 다수의 코일(예를 들어, 코일 세그먼트 쌍)을 제공하는 것을 시도한다.
비록 본 발명이 바람직한 실시예를 참조하여 기술되었지만, 당업자는 본 발명의 사상 및 범위를 벗어나지 않고 변형이 이루어진다는 것을 인식할 것이다.
플라즈마 튜브 주변에 낮은 코일 전압을 유지하여, 코일과 플라즈마 사이의 용량 결합 및 플라즈마 튜브의 내면 부식을 감소시킬 수 있다.

Claims (34)

  1. 원격 위치로부터 처리 챔버에 플라즈마를 제공하는 장치로서,
    a) 제1 코일 세그먼트와 제2 코일 세그먼트로 구성된 코일;
    b) 상기 코일에 연결된 RF 파워 소스; 및
    c) 상기 제1 코일 세그먼트와 상기 제2 코일 세그먼트 사이에 배치된 엔클로저를 포함하는 처리 챔버에 플라즈마를 제공하는 장치.
  2. 제 1항에 있어서,
    d) 상기 RF 파워 소스와 상기 제1 코일 세그먼트 사이에 연결된 제1 RF 매칭 네트워크; 및
    e) 상기 제2 코일 세그먼트와 접지 사이에 연결된 제2 RF 매칭 네트워크를 더 포함하는 것을 특징으로 하는 장치.
  3. 제 1항에 있어서,
    상기 엔클로저를 통과하는 축은 코일을 통과하는 축에 대해 수직인 것을 특징으로 하는 장치.
  4. 제 1항에 있어서,
    상기 제1 및 제2 코일 세그먼트는 솔레노이드 권선을 포함하는 것을 특징으 로 하는 장치.
  5. 제 1항에 있어서,
    상기 제1 및 제2 코일 세그먼트는 페라이트 코어를 가지는 것을 특징으로 하는 장치.
  6. 제 1항에 있어서,
    상기 코일은 상기 엔클로저를 통과하는 축과 동일한 방향으로 연장하는 긴 단면축을 가지는 연장된 단면을 가지는 것을 특징으로 하는 장치.
  7. 제 6항에 있어서,
    상기 코일은 타원의 단면 모양을 가지는 것을 특징으로 하는 장치.
  8. 제 6항에 있어서,
    상기 코일은 직사각형 단면 모양을 가지는 것을 특징으로 하는 장치.
  9. 제 1항에 있어서,
    상기 엔클로저는 가스 유입구와 플라즈마 출구를 가지는 실린더형 엔클로저를 포함하는 것을 특징으로 하는 장치.
  10. 제 9항에 있어서,
    상기 엔클로저는 유전체 재료를 포함하는 것을 특징으로 하는 장치.
  11. 제 1항에 있어서,
    상기 RF 파워 소스는 각각의 코일 세그먼트의 외부 단부에 연결되며, 각각의 코일 세그먼트의 내부 단부는 접지에 연결된 것을 특징으로 하는 장치.
  12. 제 11항에 있어서, 상기 코일은 코일 세그먼트의 내부 단부를 연결하는 접지된 중간 세그먼트를 포함하는 것을 특징으로 하는 장치.
  13. 제 11항에 있어서,
    d) 상기 RF 파워 소스와 상기 코일 세그먼트 사이에 연결된 RF 매칭 네트워크를 더 포함하는 것을 특징으로 하는 장치.
  14. 제 1항에 있어서,
    d) 상기 제1 코일 세그먼트의 외부 단부와 접지 사이에 연결된 제1 캐패시터; 및
    e) 상기 제2 코일 세그먼트의 외부 단부와 접지 사이에 연결된 제2 캐패시터를 더 포함하는 것을 특징으로 하는 장치.
  15. 제 14항에 있어서,
    상기 RF 파워 소스는 상기 제1 코일 세그먼트와 상기 제2 코일 세그먼트 사이의 중간 코일 세그먼트에 연결된 것을 특징으로 하는 장치.
  16. 제 1항에 있어서,
    상기 코일은 제3 코일 세그먼트와 제4 코일 세그먼트를 더 포함하고, 상기 엔클로저는 상기 제3 코일 세그먼트와 상기 제4 코일 세그먼트 사이에 배치된 것을 특징으로 하는 장치.
  17. 제 16항에 있어서,
    d) 상기 RF 파워 소스와 상기 제1 코일 세그먼트 사이에 연결된 제1 RF 매칭 네트워크; 및
    e) 상기 제4 코일 세그먼트와 접지 사이에 연결된 제2 RF 매칭 네트워크를 더 포함하는 것을 특징으로 하는 장치.
  18. 제 1항에 있어서,
    d) 상기 엔클로저와 상기 코일 세그먼트 사이에 배치된 패러데이 차폐물을 더 포함하는 것을 특징으로 하는 장치.
  19. 플라즈마 처리에 사용하는 장치로서,
    a) 처리 챔버; 및
    b) ⅰ) 제1 코일 세그먼트와 제2 코일 세그먼트를 가지는 코일, ⅱ) 상기 코일에 연결된 RF 파워 소스, 및 ⅲ) 가스 소스에 연결된 가스 유입구와 상기 챔버에 연결된 플라즈마 출구를 갖는 엔클로저를 포함하는 원격 RF 플라즈마 소스를 포함하며, 상기 엔클로저는 상기 제1 코일 세그먼트와 상기 제2 코일 세그먼트 사이에 배치된 플라즈마 처리용 장치.
  20. 제 19항에 있어서,
    상기 플라즈마 소스는,
    ⅳ) 상기 RF 파워 소스와 상기 제1 코일 세그먼트 사이에 연결된 제1 RF 매칭 네트워크; 및
    ⅴ) 상기 제2 코일 세그먼트와 접지 사이에 연결된 제2 RF 매칭 네트워크를 더 포함하는 것을 특징으로 하는 장치.
  21. 제 19항에 있어서,
    상기 엔클로저는 유전체 재료를 포함하는 것을 특징으로 하는 장치.
  22. 처리 챔버에 플라즈마를 제공하는 장치로서,
    a) 제1 코일 세그먼트, 제2 코일 세그먼트 및 상기 제1 코일 세그먼트와 상기 제2 코일 세그먼트를 연결하는 중간 세그먼트를 가지는 코일;
    b) 상기 코일에 연결된 RF 파워 소스; 및
    c) 상기 제1 코일 세그먼트와 상기 제2 코일 세그먼트 사이에 배치된 엔클로저를 포함하는 처리 챔버에 플라즈마를 제공하는 장치.
  23. 제 22항에 있어서,
    d) 상기 RF 파워 소스와 상기 제1 코일 세그먼트 사이에 연결된 제1 RF 매칭 네트워크; 및
    e) 상기 제2 코일 세그먼트와 접지 사이에 연결된 제2 RF 매칭 네트워크를 포함하는 것을 특징으로 하는 장치.
  24. 제 22항에 있어서,
    상기 엔클로저를 통과하는 축은 상기 코일을 통과하는 축에 대해 수직인 것을 특징으로 하는 장치.
  25. 제 22항에 있어서,
    상기 코일은 상기 엔클로저를 통과하는 축과 동일한 방향으로 연장하는 긴 단면축을 가지는 연장된 단면을 가지는 것을 특징으로 하는 장치.
  26. 제 22항에 있어서, 상기 엔클로저는 가스 유입구와 플라즈마 출구를 가지는 실린더형 유전체의 엔클로저를 포함하는 것을 특징으로 하는 장치.
  27. 제 22항에 있어서,
    d) 상기 RF 파워 소스와 각각의 코일 세그먼트의 외부 단부 사이에 연결된 RF 매칭 네트워크를 더 포함하며, 상기 중간 세그먼트는 접지에 연결된 것을 특징으로 하는 장치.
  28. 플라즈마를 발생시키는 방법으로서,
    a) 제1 코일 세그먼트와 제2 코일 세그먼트 사이에 엔클로저를 배치하는 단계;
    b) 상기 엔클로저내로 가스를 유입시키는 단계; 및
    c) 상기 가스를 플라즈마로 여기시키기 위해 상기 코일 세그먼트에 RF 파워를 공급하는 단계를 포함하는 플라즈마 발생 방법.
  29. 제 28항에 있어서,
    d) RF 파워 소스와 상기 제1 코일 세그먼트 사이에 배치된 제1 RF 매칭 네트워크를 사용하여 상기 제1 코일 세그먼트를 튜닝하는 단계; 및
    e) 상기 제2 코일 세그먼트와 접지에 사이에 배치된 제2 RF 매칭 네트워크를 사용하여 상기 제2 코일 세그먼트를 튜닝하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  30. 제 28항에 있어서,
    상기 코일에 RF 파워를 공급하는 단계는,
    ⅰ) 상기 각각의 코일 세그먼트의 외부 단부를 상기 RF 파워 소스에 연결하는 단계, 및
    ⅱ) 상기 각각의 코일 세그먼트의 내부 단부를 접지시키는 단계를 포함하는 것을 특징으로 하는 방법.
  31. 제 28항에 있어서,
    d) 상기 코일이 자기공명 모드로 동작하도록 캐패시터를 상기 각각의 코일 세그먼트의 외부 단부에 연결하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  32. 제 29항에 있어서,
    f) 상기 플라즈마를 상기 엔클로저로부터 처리 챔버로 흐르게 하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  33. 제 28항에 있어서,
    d) 상기 엔클로저와 상기 코일 세그먼트 사이에 배치된 패러데이 차폐물을 사용하여 엔클로저를 차폐하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  34. 제 19항에 있어서,
    상기 코일은 상기 엔클로저를 통과하는 축과 동일한 방향으로 연장하는 긴 단면축을 가지는 연장된 단면을 가지는 것을 특징으로 하는 장치.
KR1020000020969A 1999-04-22 2000-04-20 재료 처리용 rf 플라즈마 소스 KR100715070B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/296,934 1999-04-22
US09/296,934 US6239553B1 (en) 1999-04-22 1999-04-22 RF plasma source for material processing

Publications (2)

Publication Number Publication Date
KR20010014787A KR20010014787A (ko) 2001-02-26
KR100715070B1 true KR100715070B1 (ko) 2007-05-07

Family

ID=23144157

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000020969A KR100715070B1 (ko) 1999-04-22 2000-04-20 재료 처리용 rf 플라즈마 소스

Country Status (5)

Country Link
US (1) US6239553B1 (ko)
EP (1) EP1047289A3 (ko)
JP (2) JP2001028298A (ko)
KR (1) KR100715070B1 (ko)
TW (1) TW461231B (ko)

Families Citing this family (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010087598A (ko) * 2000-03-08 2001-09-21 황 철 주 Hdp-cvd 장치 및 이를 이용한 갭 필링 방법
DE10024699A1 (de) * 2000-05-18 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US6893907B2 (en) 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US7479456B2 (en) * 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7094316B1 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Externally excited torroidal plasma source
US7094670B2 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US6453842B1 (en) * 2000-08-11 2002-09-24 Applied Materials Inc. Externally excited torroidal plasma source using a gas distribution plate
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US6494986B1 (en) * 2000-08-11 2002-12-17 Applied Materials, Inc. Externally excited multiple torroidal plasma source
US6551446B1 (en) 2000-08-11 2003-04-22 Applied Materials Inc. Externally excited torroidal plasma source with a gas distribution plate
US7591957B2 (en) * 2001-01-30 2009-09-22 Rapt Industries, Inc. Method for atmospheric pressure reactive atom plasma processing for surface modification
US6791280B2 (en) * 2001-03-30 2004-09-14 Advanced Lighting Technologies, Inc. System and method for generating a discharge in high pressure gases
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US6897615B2 (en) * 2001-11-01 2005-05-24 Axcelis Technologies, Inc. Plasma process and apparatus
US6660177B2 (en) * 2001-11-07 2003-12-09 Rapt Industries Inc. Apparatus and method for reactive atom plasma processing for material deposition
KR100500360B1 (ko) * 2002-01-26 2005-07-12 고등기술연구원연구조합 고효율 상압 마이크로웨이브 플라즈마시스템
KR100493954B1 (ko) * 2002-02-09 2005-06-08 최대규 원격 플라즈마 발생장치
US20080190558A1 (en) * 2002-04-26 2008-08-14 Accretech Usa, Inc. Wafer processing apparatus and method
US20080011332A1 (en) * 2002-04-26 2008-01-17 Accretech Usa, Inc. Method and apparatus for cleaning a wafer substrate
US20080017316A1 (en) * 2002-04-26 2008-01-24 Accretech Usa, Inc. Clean ignition system for wafer substrate processing
KR100500852B1 (ko) * 2002-10-10 2005-07-12 최대규 원격 플라즈마 발생기
US7355687B2 (en) * 2003-02-20 2008-04-08 Hunter Engineering Company Method and apparatus for vehicle service system with imaging components
US7371992B2 (en) 2003-03-07 2008-05-13 Rapt Industries, Inc. Method for non-contact cleaning of a surface
US7232767B2 (en) * 2003-04-01 2007-06-19 Mattson Technology, Inc. Slotted electrostatic shield modification for improved etch and CVD process uniformity
US6969953B2 (en) * 2003-06-30 2005-11-29 General Electric Company System and method for inductive coupling of an expanding thermal plasma
US7304263B2 (en) * 2003-08-14 2007-12-04 Rapt Industries, Inc. Systems and methods utilizing an aperture with a reactive atom plasma torch
US7297892B2 (en) * 2003-08-14 2007-11-20 Rapt Industries, Inc. Systems and methods for laser-assisted plasma processing
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US20050211546A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US20050211171A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US7291360B2 (en) * 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US8058156B2 (en) * 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060105114A1 (en) * 2004-11-16 2006-05-18 White John M Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
US7428915B2 (en) * 2005-04-26 2008-09-30 Applied Materials, Inc. O-ringless tandem throttle valve for a plasma reactor chamber
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7109098B1 (en) 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7335611B2 (en) * 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US7312148B2 (en) * 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US20070051388A1 (en) * 2005-09-06 2007-03-08 Applied Materials, Inc. Apparatus and methods for using high frequency chokes in a substrate deposition apparatus
TWI390603B (zh) * 2005-10-05 2013-03-21 Applied Materials Inc 用於磊晶薄膜形成的方法與裝置
US20070080141A1 (en) * 2005-10-07 2007-04-12 Applied Materials, Inc. Low-voltage inductively coupled source for plasma processing
US7862683B2 (en) * 2005-12-02 2011-01-04 Tokyo Electron Limited Chamber dry cleaning
US20070170867A1 (en) * 2006-01-24 2007-07-26 Varian Semiconductor Equipment Associates, Inc. Plasma Immersion Ion Source With Low Effective Antenna Voltage
US7250195B1 (en) 2006-02-27 2007-07-31 Ionic Fusion Corporation Molecular plasma deposition of colloidal materials
US20080138374A1 (en) * 2006-02-27 2008-06-12 Storey Daniel M Molecular Plasma Deposition of Bioactive Small Molecules
US20080118663A1 (en) * 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
WO2008089168A2 (en) * 2007-01-19 2008-07-24 Applied Materials, Inc. Plasma immersion chamber
US20090151636A1 (en) * 2007-11-16 2009-06-18 Applied Materials, Inc. Rpsc and rf feedthrough
US20110207256A1 (en) * 2010-02-24 2011-08-25 Applied Materials, Inc. In-situ acceptor activation with nitrogen and/or oxygen plasma treatment
US8723423B2 (en) * 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
JP5586492B2 (ja) * 2011-01-27 2014-09-10 日立造船株式会社 熱cvd装置および蒸着膜の形成方法
US10225919B2 (en) * 2011-06-30 2019-03-05 Aes Global Holdings, Pte. Ltd Projected plasma source
WO2014002493A1 (ja) * 2012-06-28 2014-01-03 国立大学法人金沢大学 プラズマ発生装置、および、プラズマ発生方法
US9683308B2 (en) * 2013-08-09 2017-06-20 Applied Materials, Inc. Method and apparatus for precleaning a substrate surface prior to epitaxial growth
US9155184B2 (en) 2013-11-18 2015-10-06 Applied Materials, Inc. Plasma generation source employing dielectric conduit assemblies having removable interfaces and related assemblies and methods
US8841574B1 (en) * 2013-11-18 2014-09-23 Georges J. Gorin Plasma extension and concentration apparatus and method
US9736920B2 (en) * 2015-02-06 2017-08-15 Mks Instruments, Inc. Apparatus and method for plasma ignition with a self-resonating device

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH036204A (ja) * 1989-06-01 1991-01-11 Furukawa Electric Co Ltd:The プラズマ重合膜の製膜方法
US5241245A (en) 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
JPH0742577A (ja) * 1993-07-30 1995-02-10 Mazda Motor Corp 排気シャッターバルブの取付構造
KR970030455A (ko) * 1995-11-15 1997-06-26 제임스 조셉 드롱 플라즈마를 발생하기 위한 방법 및 장치
US5824602A (en) * 1996-10-21 1998-10-20 The United States Of America As Represented By The United States Department Of Energy Helicon wave excitation to produce energetic electrons for manufacturing semiconductors

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE788661A (fr) * 1971-10-05 1973-03-12 Lefe Corp Dispositif d'attaque d'une matiere par un gaz dans un champ electromagnetique
DE3632340C2 (de) 1986-09-24 1998-01-15 Leybold Ag Induktiv angeregte Ionenquelle
US4988644A (en) 1989-05-23 1991-01-29 Texas Instruments Incorporated Method for etching semiconductor materials using a remote plasma generator
DE3942964A1 (de) * 1989-12-23 1991-06-27 Leybold Ag Einrichtung fuer die erzeugung eines plasmas
US5194731A (en) * 1990-07-24 1993-03-16 Varian Associates, Inc. Inductively coupled plasma spectroscopy
DE69226253T2 (de) 1992-01-24 1998-12-17 Applied Materials Inc Plasmaätzverfahren und Reaktor zur Plasmabearbeitung
TW249313B (ko) * 1993-03-06 1995-06-11 Tokyo Electron Co
JP3197739B2 (ja) * 1993-03-06 2001-08-13 東京エレクトロン株式会社 プラズマ処理装置
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5435881A (en) 1994-03-17 1995-07-25 Ogle; John S. Apparatus for producing planar plasma using varying magnetic poles
US5498928A (en) * 1994-05-24 1996-03-12 Osram Sylvania Inc. Electrodeless high intensity discharge lamp energized by a rotating electric field
US5540824A (en) * 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
US6270617B1 (en) * 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5683539A (en) 1995-06-07 1997-11-04 Applied Materials, Inc. Inductively coupled RF plasma reactor with floating coil antenna for reduced capacitive coupling
US5965034A (en) 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US5641359A (en) 1996-02-08 1997-06-24 Applied Materials, Inc. Process gas delivery system
JPH09306695A (ja) * 1996-05-17 1997-11-28 Komatsu Ltd プラズマ発生装置およびこれを用いた表面処理装置
US5982100A (en) * 1997-07-28 1999-11-09 Pars, Inc. Inductively coupled plasma reactor
US6028395A (en) * 1997-09-16 2000-02-22 Lam Research Corporation Vacuum plasma processor having coil with added conducting segments to its peripheral part

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH036204A (ja) * 1989-06-01 1991-01-11 Furukawa Electric Co Ltd:The プラズマ重合膜の製膜方法
US5241245A (en) 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
JPH0742577A (ja) * 1993-07-30 1995-02-10 Mazda Motor Corp 排気シャッターバルブの取付構造
KR970030455A (ko) * 1995-11-15 1997-06-26 제임스 조셉 드롱 플라즈마를 발생하기 위한 방법 및 장치
US5824602A (en) * 1996-10-21 1998-10-20 The United States Of America As Represented By The United States Department Of Energy Helicon wave excitation to produce energetic electrons for manufacturing semiconductors

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
0742577 *
EPO조사보고서

Also Published As

Publication number Publication date
JP2011071121A (ja) 2011-04-07
EP1047289A2 (en) 2000-10-25
JP2001028298A (ja) 2001-01-30
EP1047289A3 (en) 2004-01-02
KR20010014787A (ko) 2001-02-26
JP5086419B2 (ja) 2012-11-28
TW461231B (en) 2001-10-21
US6239553B1 (en) 2001-05-29

Similar Documents

Publication Publication Date Title
KR100715070B1 (ko) 재료 처리용 rf 플라즈마 소스
KR101920842B1 (ko) 플라즈마 소스 디자인
US7094315B2 (en) Chamber configuration for confining a plasma
US7754997B2 (en) Apparatus and method to confine plasma and reduce flow resistance in a plasma
US9142388B2 (en) Capacitively coupled remote plasma source
US6855906B2 (en) Induction plasma reactor
US6679981B1 (en) Inductive plasma loop enhancing magnetron sputtering
TWI448212B (zh) 電漿處理之設備與方法
EP0801413A1 (en) Inductively coupled plasma reactor with faraday-sputter shield
US5591268A (en) Plasma process with radicals
KR100803794B1 (ko) 마그네틱 코어 블록에 매설된 플라즈마 방전 튜브를 구비한유도 결합 플라즈마 소스
KR20040014130A (ko) 챔버 배기장치내의 플라즈마용 자기 배리어
JP2007317661A (ja) プラズマ反応器
JP3181473B2 (ja) プラズマ処理装置
KR100972371B1 (ko) 복합 플라즈마 소스 및 이를 이용한 가스 분리 방법
US20030123992A1 (en) Linear inductive plasma pump for process reactors
KR100743842B1 (ko) 자속 채널에 결합된 플라즈마 챔버를 구비한 플라즈마반응기
KR100793457B1 (ko) 다중 방전실을 갖는 플라즈마 반응기
KR100805558B1 (ko) 마그네틱 코어에 결합된 다중 방전 튜브를 구비한 유도 결합 플라즈마 소스
KR100798351B1 (ko) 다중 원격 플라즈마 발생기를 구비한 플라즈마 처리 챔버
KR100772447B1 (ko) 내장 마그네틱 코어를 갖는 유도 결합 플라즈마 소스
KR101040541B1 (ko) 플라즈마 발생용 하이브리드 안테나
JP2001291704A (ja) 処理装置、プラズマ処理装置及びこれらのクリーニング方法
KR20070025543A (ko) 분리된 상부링을 갖는 플라즈마를 이용한 반도체 제조 장치
KR100845885B1 (ko) 대면적 유도 결합 플라즈마 반응기

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120329

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20130329

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee