KR100604916B1 - Forming method for PVD cobalt salicide layer and manufacturing method for a semiconductor device using the forming method - Google Patents

Forming method for PVD cobalt salicide layer and manufacturing method for a semiconductor device using the forming method Download PDF

Info

Publication number
KR100604916B1
KR100604916B1 KR1020040087050A KR20040087050A KR100604916B1 KR 100604916 B1 KR100604916 B1 KR 100604916B1 KR 1020040087050 A KR1020040087050 A KR 1020040087050A KR 20040087050 A KR20040087050 A KR 20040087050A KR 100604916 B1 KR100604916 B1 KR 100604916B1
Authority
KR
South Korea
Prior art keywords
film
cobalt
heat treatment
layer
forming
Prior art date
Application number
KR1020040087050A
Other languages
Korean (ko)
Other versions
KR20060037944A (en
Inventor
윤종호
최길현
정석우
김현수
정은지
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020040087050A priority Critical patent/KR100604916B1/en
Publication of KR20060037944A publication Critical patent/KR20060037944A/en
Application granted granted Critical
Publication of KR100604916B1 publication Critical patent/KR100604916B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

PVD 코발트 샐리사이드막의 형성방법 및 이를 이용한 반도체 소자의 제조방법에 대하여 개시한다. 본 발명의 일 실시예에 따른 PVD 코발트 샐리사이드막의 형성방법은 먼저 실리콘 표면이 노출되어 있는 반도체 기판 상에 물리기상증착법을 사용하여 코발트막을 형성한 다음, 진공 파괴 또는 불순물을 포함하는 박막의 증착 공정을 사용하여 코발트막 상에 불순물층을 얇게 형성한다. 그리고, 실리콘 표면과 코발트막이 반응하여 실리콘 표면 상에 CoSi막이 형성되도록 1차로 열처리 한 다음, 제1 열처리 단계에서 반응하지 않은 코발트막을 제거하는 스트립 공정을 실시한다. 그리고, 실리콘 표면과 CoSi막이 반응하여 CoSi2막이 형성되도록 2차로 열처리를 함으로써, 덩어리화 현상이 생기지 않는 PVD 코발트 샐리사이드막을 형성하는 것이 가능하다.A method of forming a PVD cobalt salicide film and a method of manufacturing a semiconductor device using the same are described. In the method of forming a PVD cobalt salicide film according to an embodiment of the present invention, a cobalt film is first formed on a semiconductor substrate on which a silicon surface is exposed using physical vapor deposition, and then a vacuum deposition or deposition process of a thin film containing impurities. Using to form a thin impurity layer on the cobalt film. Then, the silicon surface reacts with the cobalt film to form a CoSi film on the silicon surface. The first heat treatment is performed, and then a strip process of removing the unreacted cobalt film in the first heat treatment step is performed. The second heat treatment is performed such that the silicon surface and the CoSi film react to form a CoSi 2 film, thereby forming a PVD cobalt salicide film which does not cause agglomeration.

코발트, 샐리사이드, 물리기상증착, 덩어리화(agglomeration)Cobalt, Sallyside, Physical Vapor Deposition, Agglomeration

Description

물리기상증착 코발트 샐리사이드막의 형성방법 및 그 형성방법을 이용한 반도체 소자의 제조방법{Forming method for PVD cobalt salicide layer and manufacturing method for a semiconductor device using the forming method}Forming method for PVD cobalt salicide layer and manufacturing method for a semiconductor device using the forming method}

도 1은 덩어리화 현상으로 인한 PVD 코발트 샐리사이드 게이트 라인의 단선 현상을 보여주는 SEM사진이다.1 is a SEM photograph showing the disconnection of PVD cobalt salicide gate line due to agglomeration.

도 2a 내지 도 2e는 본 발명의 바람직한 일 실시예에 따른 PVD 코발트 샐리사이드막을 포함하는 반도체 소자의 제조방법을 공정 순서에 따라 개략적으로 도시한 단면도이다.2A through 2E are cross-sectional views schematically illustrating a method of manufacturing a semiconductor device including a PVD cobalt salicide layer according to a preferred embodiment of the present invention in a process sequence.

본 발명은 반도체 장치의 제조방법에 관한 것으로, 보다 구체적으로 물리기상증착 코발트 샐리사이드막(PVD cobalt Self-Aligned Silicide Layer)을 형성하는 방법 및 그 방법을 이용하여 반도체 장치를 제조하는 방법에 관한 것이다. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of manufacturing a semiconductor device, and more particularly, to a method of forming a physical vapor deposition cobalt self-aligned silicide layer and a method of manufacturing a semiconductor device using the method. .

반도체 장치의 집적도 증가에 대한 요구와 함께, 고속으로 동작하며 전력 소비가 적은 반도체 장치에 대한 요구도 계속 높아지고 있다. 집적도의 증가는 패턴의 크기, 예컨대 게이트 라이의 폭, 소오스/드레인 영역의 정션 깊이 및 콘택의 단 면적 등 반도체 장치를 구성하는 각종 소자의 미세화를 통하여 이루어진다. 그런데, 이러한 패턴의 미세화는 반도체 장치의 저항을 증가시킨다. 저항이 증가하게 되면, 반도체 장치의 동작 속도는 느려지고, 소비 전력이 증가하는 문제가 발생한다.Along with the demand for increasing the integration density of semiconductor devices, the demand for semiconductor devices operating at high speed and low power consumption is continuously increasing. The increase in the degree of integration is achieved through the miniaturization of various elements constituting the semiconductor device, such as the size of the pattern, for example, the width of the gate line, the junction depth of the source / drain regions, and the short area of the contact. However, the miniaturization of such a pattern increases the resistance of the semiconductor device. When the resistance is increased, the operation speed of the semiconductor device is slowed down, and the power consumption increases.

이러한 문제점을 해결하기 위한 한 가지 방안으로, 기존의 폴리실리콘 배선을 대신하여 금속과 실리콘의 화합물인 금속 실리사이드(metal silicide)를 사용하는 방법이 제안되었다. 현재, 금속 실리사이드로는 텅스텐 실리사이드, 티타늄 실리사이드 및 코발트 실리사이드 등이 연구되어서 사용되고 있다. 이 중에서, 코발트 실리사이드는 비저항이 작을 뿐만이 아니라 열적, 화학적으로 안정된 특성을 보이기 때문에, 고속 동작, 저소비 전력 및/또는 고집적을 요하는 반도체 장치에 널리 사용되고 있다.In order to solve this problem, a method of using a metal silicide, which is a compound of metal and silicon, has been proposed in place of the existing polysilicon wiring. Currently, tungsten silicide, titanium silicide, cobalt silicide and the like have been studied and used as metal silicides. Among them, cobalt silicide is widely used in semiconductor devices requiring high speed operation, low power consumption, and / or high integration because of its low specific resistance and thermal and chemical stability.

코발트 샐리사이드막을 형성하는 방법은 코발트막을 형성하는 방법에 따라서 크게 물리기상증착(PVD) 코발트 샐리사이드막 형성방법과 화학기상증착(CVD) 코발트 샐리사이드막 형성방법으로 나눌 수 있다. 전자의 경우에는 코발트막을 형성할 때 물리기상증착법의 하나인 스퍼터링법을 이용한다.The method of forming the cobalt salicide film can be roughly divided into the physical vapor deposition (PVD) cobalt salicide film formation method and the chemical vapor deposition (CVD) cobalt salicide film formation method, depending on the method of forming the cobalt film. In the former case, a sputtering method, which is one of physical vapor deposition methods, is used to form a cobalt film.

종래 기술에 따른 PVD 코발트 샐리사이드막 형성방법은 먼저, 반도체 기판의 실리콘 표면 상에 스퍼터링법을 사용하여 코발트막과 질화티타늄막과 같은 캡핑막을 순차적으로 형성한다. 그리고, 상기 결과물을 1차로 열처리하면 코발트와 실리콘이 반응하여 CoSi막이 형성된다. 그리고, 스트립 공정을 실시하여 캡핑막과 미반응 코발트막을 제거한 다음, 2차 열처리 공정을 실시함으로써 비저항이 낮은 CoSi2이 형성되도록 한다. 이러한 PVD 코발트 샐리사이드막 형성방법은 진공 상태에서 코발트로 형성된 타겟을 스퍼터링하여 코발트막을 형성하기 때문에, 증착된 코발트막 내에 불순물이 거의 존재하지 않으며, 질화티타늄막을 인-시츄로 증착하기 때문에 코발트막 상에 계면 산화막 등이 거의 생기지 않은 장점이 있다. 그러므로, PVD 코발트 샐리사이드막은 낮은 비저항을 가지는 장점이 있다.In the PVD cobalt salicide film forming method according to the prior art, first, a capping film such as a cobalt film and a titanium nitride film is sequentially formed on the silicon surface of a semiconductor substrate using a sputtering method. When the resultant material is primarily heat treated, cobalt and silicon react to form a CoSi film. The stripping process is performed to remove the capping film and the unreacted cobalt film, and then a second heat treatment process is performed to form CoSi 2 having a low specific resistance. Since the PVD cobalt salicide film forming method forms a cobalt film by sputtering a target formed of cobalt in a vacuum state, almost no impurities are present in the deposited cobalt film, and the titanium nitride film is deposited in-situ on the cobalt film. There is an advantage that almost no interfacial oxide film or the like is produced. Therefore, PVD cobalt salicide film has an advantage of having low specific resistance.

그런데, 집적도의 증가에 따른 패턴의 미세화로 인하여 종래 기술에 따른 PVD 코발트 샐리사이드막은 심각한 문제점이 나타나게 되었다. 예컨대, 게이트 라인의 폭이 90nm 이하로 작아지게 되면서, 게이트 라인의 상부에 형성된 PVD 코발트 샐리사이드막은 2차 열처리 공정 또는 후속 열처리 단계에서 덩어리화(agglomeration)되는 현상이 발생한다. 덩어리화 현상이 나타나면, PVD 코발트 샐리사이드막의 면저항이 증가하여 고속 동작에 방해가 된다. 또한, 덩어리화 현상이 심하게 되면, PVD 코발트 샐리사이드막의 단선을 유발하기도 한다. 도 1에는 게이트 라인의 폭을 53nm로 형성한 경우에 덩어리화 현상에 의하여 단선이 유발된 PVD 코발트 샐리사이드막을 보여주는 SEM사진이 도시되어 있다.However, due to the miniaturization of the pattern due to the increase in the degree of integration, the PVD cobalt salicide layer according to the prior art has a serious problem. For example, as the width of the gate line becomes smaller than 90 nm, the PVD cobalt salicide film formed on the gate line is agglomerated in a secondary heat treatment process or a subsequent heat treatment step. When agglomeration occurs, the sheet resistance of the PVD cobalt salicide film increases, which hinders high speed operation. In addition, when the agglomeration phenomenon is severe, disconnection of the PVD cobalt salicide film may be caused. FIG. 1 is a SEM photograph showing a PVD cobalt salicide film in which disconnection is caused by agglomeration when the gate line has a width of 53 nm.

패턴의 미세화로 인해 나타나는 덩어리화 문제점을 해결하기 위하여 제시된 한 가지 방법은 게이트 라인 상에 PVD 코발트 샐리사이드막 대신에 니켈 샐리사이드(Ni salicide)막 또는 티켈 탄탈륨 샐리사이드(NiTa salicide)막을 형성하는 것이다. 니켈 샐리사이드막 또는 니켈 탄탈륨 샐리사이드막은 비록 폭이 90nm 미만인 게이트 라인 상에 형성하더라도, 후속 열처리 공정에서 덩어리화 현상이 발생하 지 않는 장점이 있다. 그러나, 후속 열처리 공정에서 NiSi막이 NiSi2막으로 상변이가 일어나기 때문에, 오히려 샐리사이드막의 면저항이 증가하는 문제점이 있다. 따라서, 니켈 샐리사이드막 또는 니켈 타탈륨 샐리사이드막을 사용하기 위해서는 후속 열처리 공정에 대한 변화가 수반되어야 한다. 후속 열처리 공정이 변화하게 되면, 생산 설비 등에 대한 재투자가 요구되기 때문에 니켈 샐리사이드막 또는 니켈 탄탈륨 샐리사이드막 형성방법을 양산 공정에 적용하기에는 많은 시간과 비용의 투자가 필요한 실정이다.One method proposed to solve the agglomeration problem caused by the miniaturization of the pattern is to form a nickel salicide film or a nickel tantalum salicide film on the gate line instead of the PVD cobalt salicide film. . The nickel salicide film or the nickel tantalum salicide film, although formed on a gate line having a width of less than 90 nm, has the advantage that no agglomeration phenomenon occurs in a subsequent heat treatment process. However, since a phase change occurs in the NiSi film to the NiSi 2 film in a subsequent heat treatment process, the sheet resistance of the salicide film is rather increased. Therefore, in order to use a nickel salicide film or a nickel tartalum salicide film, a change to a subsequent heat treatment process must be accompanied. If the subsequent heat treatment process is changed, it is necessary to invest a lot of time and money to apply the nickel salicide film or nickel tantalum salicide film formation method to the mass production process because re-investment for production equipment is required.

본 발명이 이루고자 하는 기술적 과제는 패턴의 미세화에도 불구하고, 게이트 라인 상의 코발트 샐리사이드막이 후속 열처리 공정에서 덩어리화되는 것을 방지할 수 있는 PVD 코발트 샐리사이드막의 형성방법 및 이를 이용한 반도체 장치의 제조방법을 제공하는데 있다.The technical problem to be achieved by the present invention is to form a PVD cobalt salicide film which can prevent the cobalt salicide film on the gate line from being agglomerated in a subsequent heat treatment process despite the miniaturization of a pattern, and a method of manufacturing a semiconductor device using the same. To provide.

본 발명이 이루고자 하는 다른 기술적 과제는 제조 공정이 간단하고 기존의 생산 설비를 사용함으로써 설비 투자 비용을 절감할 수 있는 PVD 코발트 샐리사이드막의 형성방법 및 이를 이용한 반도체 장치의 제조방법을 제공하는데 있다.Another technical problem to be achieved by the present invention is to provide a method of forming a PVD cobalt salicide film and a method of manufacturing a semiconductor device using the same, in which the manufacturing process is simple and the equipment investment cost can be reduced by using an existing production equipment.

상기한 기술적 과제를 달성하기 위한 본 발명의 일 실시예에 따른 코발트 샐리사이드막의 형성방법은 먼저, 실리콘 표면이 노출되어 있는 반도체 기판 상에 물리기상증착법을 사용하여 코발트막을 형성한다. 그리고, 인위적으로 공정 챔버의 진공을 파괴함으로써 산화층 및/또는 오염층을 유도하거나 또는 상기 코발트막 상에 탄소(C) 또는 산소(O)를 포함하는 물질로 박막을 형성함으로써 상기 코발트막 상에 불순물층을 형성한다. 후자의 경우에, 상기 박막은 저유전 상수를 가지는 물질인 SiOC를 포함하는 물질로 형성할 수 있다. 그리고, 임의적인 공정이지만, 상기 코발트층 상에 캡핑층을 더 형성할 수도 있다. 그리고, 상기 실리콘 표면과 상기 코발트막이 반응하여 상기 실리콘 표면 상에 CoSi막이 형성되도록 상기 반도체 기판을 열처리하는 제1 열처리 공정을 수행한 다음에, 상기 제1 열처리 단계에서 반응하지 않은 상기 코발트막을 제거하는 스트립(strip) 공정을 실시한다. 그리고, 상기 실리콘 표면과 상기 CoSi막이 반응하여 CoSi2막이 형성되도록 상기 반도체 기판을 열처리하는 제2 열처리 공정을 수행한다.In the method of forming a cobalt salicide film according to an embodiment of the present invention for achieving the above technical problem, first, a cobalt film is formed on the semiconductor substrate on which a silicon surface is exposed using physical vapor deposition. And impurity on the cobalt film by artificially breaking the vacuum of the process chamber to induce an oxide layer and / or a contaminant layer or to form a thin film of a material containing carbon (C) or oxygen (O) on the cobalt film. Form a layer. In the latter case, the thin film may be formed of a material containing SiOC, which is a material having a low dielectric constant. In addition, although an optional process, a capping layer may be further formed on the cobalt layer. And performing a first heat treatment process for heat treating the semiconductor substrate so that the silicon surface and the cobalt film react to form a CoSi film on the silicon surface, and then removing the cobalt film that has not reacted in the first heat treatment step. A strip process is performed. In addition, a second heat treatment process is performed to heat-treat the semiconductor substrate such that the silicon surface and the CoSi film react to form a CoSi 2 film.

상기한 기술적 과제를 달성하기 위한 본 발명의 일 실시예에 따른 반도체 소자의 제조방법은 먼저, 실리콘 기판 상에 게이트 산화막 패턴, 폴리실리콘막 패턴 및 측벽 스페이서를 포함하는 게이트 전극 구조물을 형성한다. 그리고, 상기 게이트 전극 구조물 양측의 상기 실리콘 기판에 소오스/드레인 영역을 형성한다. 그리고, 상기 실리콘 기판 및 상기 게이트 전극 구조물 상에 물리기상증착법을 사용하여 코발트막을 형성하고, 상기 코발트막 상에 전술한 바와 같은 방식으로 불순물층을 형성한다. 그리고, 상기 폴리실리콘막 패턴 및 상기 소오스/드레인 영역의 실리콘과 상기 코발트막이 반응하여 상기 폴리실리콘막 패턴 및 상기 소오스/드레인 영역 상에 CoSi막이 형성되도록 상기 실리콘 기판을 열처리하는 제1 열처리 공정을 실시한 다음에, 상기 제1 열처리 단계에서 반응하지 않은 상기 코발트막을 제거하는 스트립 공정을 실시한다. 그리고, 상기 폴리실리콘막 패턴 및 상기 소오스/드레인 영역의 실리콘과 상기 CoSi막이 반응하여 CoSi2막이 형성되도록 상기 실리콘 기판을 열처리하는 제2 열처리 공정을 실시한다.A method of manufacturing a semiconductor device according to an embodiment of the present invention for achieving the above technical problem, first to form a gate electrode structure including a gate oxide film pattern, a polysilicon film pattern and sidewall spacers on a silicon substrate. A source / drain region is formed in the silicon substrate on both sides of the gate electrode structure. A cobalt film is formed on the silicon substrate and the gate electrode structure using physical vapor deposition, and an impurity layer is formed on the cobalt film in the same manner as described above. And performing a first heat treatment process for heat treating the silicon substrate to form a CoSi film on the polysilicon layer pattern and the source / drain region by reacting the polysilicon layer pattern and the silicon of the source / drain region with the cobalt layer. Next, a strip process of removing the cobalt film that has not reacted in the first heat treatment step is performed. A second heat treatment process is performed to heat-treat the silicon substrate so that the CoSi 2 film is formed by reacting the polysilicon film pattern and the silicon in the source / drain region with the CoSi film.

기타 실시예들의 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.Specific details of other embodiments are included in the detailed description and the drawings.

이하, 첨부된 도면들을 참조하여 본 발명의 바람직한 실시예들을 상세하게 설명하기로 한다. 그러나, 본 발명은 여기서 설명되어지는 실시예들에 한정되지 않고 다른 형태로 구체화될 수 있다. 오히려 여기서 소개되는 실시예들은 본 발명의 기술적 사상이 철저하고 완전하게 개시될 수 있도록 그리고 당업자에게 본 발명의 사상이 충분히 전달될 수 있도록 하기 위하여 예시적으로 제공되어지는 것들이다. 도면에 있어서, 층의 두께 및/또는 영역들의 크기 등은 명확성을 기하기 위하여 과장되어진 것이다. 명세서 전체에 걸쳐서 동일한 참조 번호는 동일한 구성요소를 나타낸다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings. However, the invention is not limited to the embodiments described herein and may be embodied in other forms. Rather, the embodiments introduced herein are provided by way of example so that the technical spirit of the present invention can be thoroughly and completely disclosed, and to fully convey the spirit of the present invention to those skilled in the art. In the drawings, the thickness of layers and / or the size of regions are exaggerated for clarity. Like numbers refer to like elements throughout.

도 2a 내지 도 2e에는 본 발명의 실시예에 따라서 반도체 소자를 제조하는 방법이 공정 순서에 따라 도시되어 있다. 본 실시예에서는 게이트 라인 패턴 및 소오스/드레인 영역에 코발트 샐리사이드막을 형성하는 공정이 도시되어 있지만, 코발트 샐리사이드막이 포함된 반도체 소자의 다른 구성 요소에도 본 실시예는 동일하게 적용이 가능하다.2A-2E illustrate a method of manufacturing a semiconductor device in accordance with an embodiment of the present invention in a process sequence. In this embodiment, a process of forming a cobalt salicide film in the gate line pattern and the source / drain regions is illustrated, but the present embodiment may be similarly applied to other components of the semiconductor device including the cobalt salicide film.

도 2a를 참조하면, 먼저 실리콘 기판(100)이 제공된다. 실리콘 기판(100)에는 활성 영역을 한정하는 소자 격리 영역(미도시)이 형성되어 있다. 소자 격리 영역은 예컨대, 얕은 트렌치 격리(Shallow Trench Isolation, STI) 영역일 수 있다. Referring to FIG. 2A, a silicon substrate 100 is first provided. A silicon isolation region (not shown) defining an active region is formed in the silicon substrate 100. The device isolation region may be, for example, a shallow trench isolation (STI) region.

계속해서, 상기 실리콘 기판(100)에 통상의 MOS 트랜지스터 형성 공정을 사용하여 게이트 전극 구조물(110) 및 소오스/드레인 영역(120)을 포함하는 모스 전계 효과 트랜지스터(MOSFET)를 제조한다. 게이트 전극 구조물(110)은 예컨대, 게이트 산화막 패턴(112), 상기 게이트 산화막 패턴 상에 적층된 폴리실리콘막 패턴(114) 그리고 상기 게이트 산화막 패턴(112)과 폴리실리콘막 패턴(114)의 측벽에 형성된 스페이서(116)를 포함할 수 있다. 경우에 따라서는, 상기 게이트 전극 구조물(110)은 폴리실리콘막 패턴(114)의 상부에 형성되어 있는 하드 마스크막 패턴(미도시)을 더 구비할 수도 있다. 그리고, 상기 소오스/드레인 영역(120)은 도시된 것과 같이 LDD(Lightly Doped Drain) 구조로 형성할 수 있지만, 여기에 한정되는 것은 아니다.Subsequently, a MOS field effect transistor (MOSFET) including a gate electrode structure 110 and a source / drain region 120 is manufactured using a conventional MOS transistor forming process on the silicon substrate 100. The gate electrode structure 110 may be formed on, for example, a gate oxide layer pattern 112, a polysilicon layer pattern 114 stacked on the gate oxide layer pattern, and sidewalls of the gate oxide layer pattern 112 and the polysilicon layer pattern 114. The spacer 116 may be formed. In some cases, the gate electrode structure 110 may further include a hard mask layer pattern (not shown) formed on the polysilicon layer pattern 114. The source / drain region 120 may be formed of a lightly doped drain (LDD) structure as illustrated, but is not limited thereto.

도 2b를 참조하면, 상기 결과물 상에 스퍼터링법을 사용하여 PVD 코발트막(130)을 형성한다. PVD 코발트막(130)을 형성하기 위한 스퍼터링 공정은 진공 챔버에서 진행한다. PVD 코발트막(130)을 형성하기 전에는 자연 산화막 등과 같은 불순물을 제거하기 위하여 희석 불산(HF) 등을 사용한 습식 세정 공정을 실시할 수 있다. PVD 코발트막(130)은 적어도 상기 소오스/드레인 영역(120)과 폴리실리콘막 패턴(114) 상에는 형성하도록 한다. PVD 코발트막(130)은 약 50 내지 200Å 정도의 두께로 형성할 수 있다.Referring to FIG. 2B, the PVD cobalt film 130 is formed on the resultant by sputtering. The sputtering process for forming the PVD cobalt film 130 proceeds in a vacuum chamber. Before the PVD cobalt film 130 is formed, a wet cleaning process using dilute hydrofluoric acid (HF) or the like may be performed to remove impurities such as a natural oxide film. The PVD cobalt layer 130 may be formed on at least the source / drain region 120 and the polysilicon layer pattern 114. The PVD cobalt film 130 may be formed to a thickness of about 50 to about 200 microns.

그리고, PVD 코발트막(130) 상에 불순물층(140)을 형성한다. 불순물층(140)은 가능한 얇은 두께로 형성하는 것이 바람직하다. 예컨대, 불순물층(140)은 약 5-30Å의 두께로 형성할 수 있다. 그리고, 후속 공정인 2차 열처리 공정이나 그 이후의 열처리 공정에서 덩어리화 현상을 효과적으로 방지할 수 있도록 불순물층(140)은 PVD 코발트막(130) 상의 전면에 균일하게 형성하는 것이 바람직하다.An impurity layer 140 is formed on the PVD cobalt film 130. The impurity layer 140 is preferably formed as thin as possible. For example, the impurity layer 140 may be formed to a thickness of about 5-30 kPa. In addition, the impurity layer 140 may be uniformly formed on the entire surface of the PVD cobalt layer 130 so as to effectively prevent agglomeration in a subsequent heat treatment process or a subsequent heat treatment process.

불순물층(140)을 형성하는 한 가지 방법은 PVD 코발트막(130)을 형성한 다음에 인위적으로 진공을 파괴하는 것이다. 진공을 파괴하게 되면, PVD 코발트막(130)이 대기에 노출되게 된다. 그 결과, PVD 코발트막(130) 상에는 코발트 산화막 및/또는 대기 중의 오염물에 의한 박막이 형성된다. 이러한 코발트 산화막 및/또는 오염물에 의한 박막이 불순물층(140)으로서의 역할을 한다.One method of forming the impurity layer 140 is to artificially break the vacuum after forming the PVD cobalt film 130. When the vacuum is broken, the PVD cobalt film 130 is exposed to the atmosphere. As a result, a thin film of cobalt oxide film and / or contaminants in the atmosphere is formed on the PVD cobalt film 130. The thin film by the cobalt oxide film and / or contaminants serves as the impurity layer 140.

불순물층(140)을 형성하는 다른 한 가지 방법은 계속 진공을 유지한 상태에서 PVD 코발트막(130) 상에 탄소 및/또는 산소와 같은 불순물을 포함하는 박막(140) 즉, 불순물층을 형성하는 것이다. 탄소 및/또는 산소는 코발트 샐리사이드막에 잔류하면서 덩어리화 현상이 생기는 것을 방지하는 억제자로서의 역할을 한다. 예컨대, 박막(140)은 저유전율의 SiOC계 물질로 형성할 수 있다.Another method of forming the impurity layer 140 is to form a thin film 140 containing an impurity such as carbon and / or oxygen on the PVD cobalt film 130, i.e., an impurity layer, while maintaining a vacuum. will be. Carbon and / or oxygen serve as an inhibitor to prevent agglomeration from occurring while remaining in the cobalt salicide film. For example, the thin film 140 may be formed of a low dielectric constant SiOC-based material.

불순물층(140)을 형성하는 또 다른 한 가지 방법은 이온 주입 공정을 사용하여 PVD 코발트막(130)에 불순물을 주입함으로써 불순물층(140)을 형성하는 것이다. 주입되는 불순물로는 예컨대, 탄소(c)나 질소(N) 또는 이들의 혼합물 등과 같은 물질이 있을 수 있다.Another method of forming the impurity layer 140 is to form the impurity layer 140 by implanting impurities into the PVD cobalt film 130 using an ion implantation process. The implanted impurities may be, for example, materials such as carbon (c) or nitrogen (N) or mixtures thereof.

계속해서 도 2b를 참조하면, 상기 불순물층(140) 상에 캡핑막(150)을 형성한다. 상기 캡핑막(150) 형성 공정은 임의적인 공정이다. 예를 들어, 불순물층(140) 형성 공정과 후속 1차 열처리 공정이 진공 상태에서 인-시츄로 수행되는 경우에는 캡핑막(140) 형성 공정은 생략이 가능하다. 상기 캡핑막(150)은 티타늄막이나 질화티타늄막의 단일막이나 티타늄막과 질화티타늄막의 복합막으로 형성할 수 있으며, 티타뉴막의 단일막으로 형성하는 것이 보다 바람직하다. 티타늄막(150)은 예컨대, 약 50Å 내지 300Å 정도의 두께로 형성할 수 있다.2B, a capping film 150 is formed on the impurity layer 140. The capping film 150 forming process is an optional process. For example, when the impurity layer 140 forming process and the subsequent primary heat treatment process are performed in-situ in a vacuum state, the capping film 140 forming process may be omitted. The capping film 150 may be formed of a single film of a titanium film or a titanium nitride film, or a composite film of a titanium film and a titanium nitride film. More preferably, the capping film 150 is formed of a single film of a titanium film. The titanium film 150 may be, for example, formed to a thickness of about 50 kPa to about 300 kPa.

도 2c를 참조하면, PVD 코발트막(130), 불순물층(도시하지 않음) 및 티타늄막(150)이 증착된 반도체 장치를 열처리하는 제1 열처리 공정을 실시한다. 제1 열처리 공정은 질소 가스나 불활성 가스와 같은 분위기 가스를 지속적으로 퍼지하면서 RTS 공정을 수행하거나 분위기 가스가 없는 초고 진공 상태에서 RTS 공정을 수행하여 실시할 수 있다. 또한, 경우에 따라서는 스택 어닐 오븐(stacked anneal oven)에서 RTS 공정을 수행할 수도 있다. 상기 제1 열처리 공정의 결과 형성되는 제1 코발트 실리사이드막(135)에 불순물층은 포함되기 때문에, 도 2c에서는 불순물층에 대한 도시는 생략하였다.Referring to FIG. 2C, a first heat treatment process is performed to heat-process a semiconductor device on which a PVD cobalt film 130, an impurity layer (not shown), and a titanium film 150 are deposited. The first heat treatment process may be performed by performing an RTS process while continuously purging an atmosphere gas such as nitrogen gas or an inert gas, or by performing an RTS process in an ultra-high vacuum state without an atmosphere gas. In some cases, the RTS process may be performed in a stacked anneal oven. Since the impurity layer is included in the first cobalt silicide layer 135 formed as a result of the first heat treatment process, the illustration of the impurity layer is omitted in FIG. 2C.

제1 열처리 공정은 약 300℃ 내지 600℃의 정도의 온도, 보다 바람직하게는 약 400℃ 내지 500℃의 온도로 약 90초 정도 실시할 수 있다. 코발트와 실리콘이 반응하여 Co2Si 또는 CoSi로 상전이를 일으키는 온도는 약 400℃ 내지 450℃ 사이의 온도로 알려져 있다. 또한, CoSi2로 상전이를 일으키는 온도는 약 600℃ 이상으로 알려져 있다. 따라서, 전술한 온도 조건에서 열처리를 하면, 상기 PVD 코발트와 실리콘(114, 120)이 서로 반응하여 Co2Si막 또는 CoSi막(135)(이하, '제1 코발트 실리사이드막'이라 한다)이 형성된다.The first heat treatment step may be performed at a temperature of about 300 ° C. to 600 ° C., more preferably at about 400 ° C. to 500 ° C. for about 90 seconds. The temperature at which cobalt and silicon react to cause phase transition to Co 2 Si or CoSi is known to be between about 400 ° C and 450 ° C. In addition, the temperature causing the phase transition to CoSi 2 is known to be at least about 600 ℃. Therefore, when the heat treatment is performed under the above-described temperature conditions, the PVD cobalt and the silicon 114 and 120 react with each other to form a Co 2 Si film or a CoSi film 135 (hereinafter referred to as a 'first cobalt silicide film'). do.

도 2d를 참조하면, 티타늄막(150) 및 미반응 PVD 코발트막(130a)을 제거하는 스트립(strip) 공정을 실시한다. 상기 스트립 공정은 인산, 초산 및/또는 질산 등과 같은 금속 식각제을 사용하는 습식 식각법을 사용하여 수행할 수 있다. 그 결과, 실리콘 기판(100)의 표면에는 제1 코발트 실리사이드막(135)과 게이트 전극 구조물의 스페이서(116)가 노출된다.Referring to FIG. 2D, a strip process of removing the titanium film 150 and the unreacted PVD cobalt film 130a is performed. The strip process may be performed using a wet etching method using a metal etchant such as phosphoric acid, acetic acid and / or nitric acid. As a result, the first cobalt silicide layer 135 and the spacer 116 of the gate electrode structure are exposed on the surface of the silicon substrate 100.

도 2e를 참조하면, 상기 실리콘 기판(100)에 대하여 2차 열처리를 실시하여 제2 코발트 실리사이드(CoSi2)막(135a)을 형성한다. 상기 2차 열처리 단계는 약 600℃ 내지 900℃의 온도, 바람직하게는 약 800℃ 내지 900℃의 온도에서 약 30초 정도 실시한다. 상기 2차 열처리에 의하여 상기 제1 코발트 실리사이드막(135)과 게이트 라인 구조물(110a)의 폴리 실리콘 패턴(114a) 및 소오스/드레인 영역의 실리콘(120a)이 반응을 일으켜서, CoSi2막(135a)으로 상전이를 일으킨다. 이 때, 특히 새롭게 형성되는 CoSi2막(135a)에 의하여 소오스/드레인 영역(120b)의 실리콘 전부가 소모되어서는 안된다. 즉, 도시된 바와 같이, CoSi2막(135a)의 하부에 소오스/드레인 영역(120b)의 일부가 잔류하고 있어야 한다. 상기한 공정의 결과, 게이트 전극 구조물의 상부 표면 및 소오스/드레인 영역의 상면 상에 제2 코발트 실리사이드(CoSi2)막(135a)이 형성된다. 그리고, 비록 도시하지는 않았지만 제2 코발트 실리사이드막(135a)의 내부에는 불순물층의 전부 또는 일부가 잔류하고 있기 때문에 제2 코발트 실리사이드막(135a)의 입자가 성장하여 덩어리화되는 현상을 방지할 수가 있다.Referring to FIG. 2E, a second cobalt silicide (CoSi 2 ) film 135a is formed by performing a second heat treatment on the silicon substrate 100. The secondary heat treatment step is performed for about 30 seconds at a temperature of about 600 ℃ to 900 ℃, preferably about 800 ℃ to 900 ℃. By the second heat treatment, the first cobalt silicide layer 135 and the polysilicon pattern 114a of the gate line structure 110a and the silicon 120a of the source / drain regions react to form a CoSi 2 layer 135a. Causes a phase transition. At this time, not all of the silicon of the source / drain region 120b should be consumed by the newly formed CoSi 2 film 135a. That is, as shown, a portion of the source / drain region 120b must remain under the CoSi 2 film 135a. As a result of the above process, a second cobalt silicide (CoSi 2 ) film 135a is formed on the top surface of the gate electrode structure and the top surface of the source / drain region. Although not shown, since all or part of the impurity layer remains inside the second cobalt silicide layer 135a, it is possible to prevent a phenomenon in which particles of the second cobalt silicide layer 135a grow and agglomerate. .

이후, 통상적인 반도체 소자의 제조 공정을 실시함으로써 고속 메모리 소자와 같은 반도체 소자를 완성한다.Thereafter, a semiconductor device such as a high speed memory device is completed by performing a conventional semiconductor device manufacturing process.

본 발명에 의하면 PVD 코발트막을 형성한 다음에 그 상부에 인위적으로 불순물층을 형성함으로써 코발트 샐리사이드막에 덩어리화 현상이 생기는 것을 방지할 수 있다. 따라서, 코발트 샐리사이드막의 덩어리화 현상으로 인한 게이트 라인의 면저항 증가하거나 코발트 샐리사이드 게이트 라인의 단선 현상이 생기는 것을 방지할 수가 있다.According to the present invention, after the PVD cobalt film is formed, an impurity layer is artificially formed thereon, whereby agglomeration phenomenon can be prevented from occurring in the cobalt salicide film. Therefore, the sheet resistance of the gate line due to the agglomeration of the cobalt salicide film or the disconnection of the cobalt salicide gate line can be prevented.

또한, 본 발명에 의하면 PVD 코발트막을 형성한 후에 진공을 파괴하여 PVD막 상에 산화막 또는 오염 물질의 박막을 형성하거나 또는 종래의 박막 형성 방법을 사용하여 C 또는 O를 포함하는 물질의 박막을 형성함으로써 덩어리화 현상이 생기는 것을 방지할 수 있다. 그러므로, 본 발명에 의하면, 널리 사용되고 있는 물질을 사용하고 종래의 반도체 제조 공정을 그대로 적용하기 때문에, 제조 공정이 간단하고 설비 투자 비용을 절감할 수 있다.Further, according to the present invention, after forming the PVD cobalt film, the vacuum is broken to form a thin film of an oxide film or a contaminant on the PVD film, or a thin film of a material containing C or O using a conventional thin film forming method. Agglomeration phenomenon can be prevented. Therefore, according to the present invention, since the material widely used and the conventional semiconductor manufacturing process are applied as it is, the manufacturing process is simple and the equipment investment cost can be reduced.

Claims (17)

실리콘 표면이 노출되어 있는 반도체 기판 상에 물리기상증착법을 사용하여 코발트막을 형성하는 단계;Forming a cobalt film on the semiconductor substrate having the silicon surface exposed by using physical vapor deposition; 상기 코발트막 상에 불순물층을 형성하는 단계;Forming an impurity layer on the cobalt film; 상기 실리콘 표면과 상기 코발트막이 반응하여 상기 실리콘 표면 상에 CoSi막이 형성되도록 상기 반도체 기판을 열처리하는 제1 열처리 단계;A first heat treatment step of heat treating the semiconductor substrate such that a CoSi film is formed on the silicon surface by reacting the silicon surface with the cobalt film; 상기 제1 열처리 단계에서 반응하지 않은 상기 코발트막을 제거하는 스트립(strip) 단계; 및A stripping step of removing the cobalt film not reacted in the first heat treatment step; And 상기 실리콘 표면과 상기 CoSi막이 반응하여 CoSi2막이 형성되도록 상기 반도체 기판을 열처리하는 제2 열처리 단계를 포함하는 코발트 샐리사이드막의 형성방법.And heat treating the semiconductor substrate such that the silicon surface and the CoSi film react to form a CoSi 2 film. 제1항에 있어서, 상기 불순물층은 상기 코발트막이 형성된 상기 반도체 기판 주위의 진공을 파괴하여 형성한 표면 산화층 및/또는 대기 물질에 의한 오염층인 것을 특징으로 하는 코발트 샐리사이드막의 형성방법.The method of claim 1, wherein the impurity layer is a surface oxide layer formed by breaking a vacuum around the semiconductor substrate on which the cobalt film is formed and / or a contaminant layer formed by an atmospheric material. 제1항에 있어서, 상기 불순물층은 탄소 또는 산소를 포함하는 물질로 형성된 박막인 것을 특징으로 하는 코발트 샐리사이드막의 형성방법.The method of claim 1, wherein the impurity layer is a thin film formed of a material containing carbon or oxygen. 제3항에 있어서, 상기 박막은 5 - 30Å의 두께로 형성하는 것을 특징으로 하는 코발트 샐리사이드막의 형성방법.4. The method of claim 3, wherein the thin film is formed to a thickness of 5 to 30 GPa. 제3항에 있어서, 상기 박막은 유전물이 작은 SiOC(low-k SiOC)계 물질로 형성하는 것을 특징으로 하는 코발트 샐리사이드막의 형성방법.The method of claim 3, wherein the thin film is formed of a low-k SiOC (SiOC) -based material having a small dielectric material. 삭제delete 제1항에 있어서, The method of claim 1, 상기 불순물층 형성 단계 이후에 상기 불순물층 상에 캡핑층을 형성하는 단계를 더 포함하고,Forming a capping layer on the impurity layer after the impurity layer forming step; 상기 스트립 단계에서 상기 캡핑층도 함께 제거하는 것을 특징으로 하는 코발트 샐리사이드막의 형성방법.And removing the capping layer together in the stripping step. 제1항에 있어서, 상기 코발트층은 50 - 200Å의 두께로 형성하는 것을 특징으로 하는 코발트 샐리사이드막의 형성방법.The method of claim 1, wherein the cobalt layer is formed to a thickness of 50 to 200 kPa. 제1항에 있어서, 상기 제1 열처리 단계 및 제2 열처리 단계는 급속 열 실리 씨데이션(Rapid Thermal Silicidation, RTS) 공정으로 수행하고,The method of claim 1, wherein the first heat treatment step and the second heat treatment step are performed by a Rapid Thermal Silicidation (RTS) process, 상기 RTS 공정은 불활성 기체를 퍼지하면서 수행하는 방식이나 초진공 분위기에서 수행하는 방식인 급속 열 처리(Rapid Thermal Annealing) 공정 또는 스택트 어닐 오븐(stacked anneal oven)에서 수행하는 퍼니스 공정으로 수행하는 것을 특징으로 하는 코발트 샐리사이드막의 형성방법.The RTS process is performed by a rapid thermal annealing process or a furnace process performed in a stacked anneal oven, which is performed by purging an inert gas or in a super vacuum atmosphere. A method of forming a cobalt salicide film. 제9항에 있어서,The method of claim 9, 상기 제1 열처리 단계 및 제2 열처리 단계는 불활성 기체가 존재하는 분위기 또는 분위기 기체가 없는 초진공 상태에서 수행하는 것을 특징으로 하는 코발트 샐리사이드막의 형성방법.And the first heat treatment step and the second heat treatment step are performed in an atmosphere in which an inert gas is present or in an ultra-vacuum state in which there is no atmosphere gas. 제9항에 있어서,The method of claim 9, 상기 제1 열처리 단계는 300 - 600℃의 온도에서 수행하고, 상기 제2 열처리 단계는 600 - 900℃의 온도에서 수행하는 것을 특징으로 하는 코발트 샐리사이드막의 형성방법.The first heat treatment step is carried out at a temperature of 300-600 ℃, the second heat treatment step is carried out at a temperature of 600-900 ℃, the method of forming a cobalt salicide film. 실리콘 기판 상에 게이트 산화막 패턴, 폴리실리콘막 패턴 및 측벽 스페이서를 포함하는 게이트 전극 구조물을 형성하는 단계;Forming a gate electrode structure including a gate oxide pattern, a polysilicon layer pattern, and sidewall spacers on the silicon substrate; 상기 게이트 전극 구조물 양측의 상기 실리콘 기판에 소오스/드레인 영역을 형성하는 단계;Forming a source / drain region in the silicon substrate on both sides of the gate electrode structure; 상기 실리콘 기판 및 상기 게이트 전극 구조물 상에 물리기상증착법을 사용하여 코발트막을 형성하는 단계;Forming a cobalt film on the silicon substrate and the gate electrode structure by using physical vapor deposition; 상기 코발트막 상에 불순물층을 형성하는 단계;Forming an impurity layer on the cobalt film; 상기 폴리실리콘막 패턴 및 상기 소오스/드레인 영역의 실리콘과 상기 코발트막이 반응하여 상기 폴리실리콘막 패턴 및 상기 소오스/드레인 영역 상에 CoSi막이 형성되도록 상기 실리콘 기판을 열처리하는 제1 열처리 단계;A first heat treatment step of heat treating the silicon substrate such that a CoSi film is formed on the polysilicon layer pattern and the source / drain region by reacting the polysilicon layer pattern and the silicon of the source / drain region with the cobalt layer; 상기 제1 열처리 단계에서 반응하지 않은 상기 코발트막을 제거하는 스트립(strip) 단계; 및A stripping step of removing the cobalt film not reacted in the first heat treatment step; And 상기 폴리실리콘막 패턴 및 상기 소오스/드레인 영역의 실리콘과 상기 CoSi막이 반응하여 CoSi2막이 형성되도록 상기 실리콘 기판을 열처리하는 제2 열처리 단계를 포함하는 반도체 소자의 제조방법.And heat treating the silicon substrate to form a CoSi 2 film by reacting the polysilicon layer pattern and the silicon in the source / drain region with the CoSi layer. 제12항에 있어서, 상기 불순물층은 상기 코발트막이 형성된 상기 실리콘 기판 주위의 진공을 파괴하여 형성한 표면 산화층 및/또는 대기 물질에 의한 오염층인 것을 특징으로 하는 반도체 소자의 제조방법.The method of claim 12, wherein the impurity layer is a surface oxide layer formed by breaking a vacuum around the silicon substrate on which the cobalt film is formed, and / or a contamination layer formed by an atmospheric material. 제12항에 있어서, 상기 불순물층은 탄소 또는 산소를 포함하는 물질로 형성된 박막인 것을 특징으로 하는 반도체 소자의 제조방법.The method of claim 12, wherein the impurity layer is a thin film formed of a material containing carbon or oxygen. 제14항에 있어서, 상기 박막은 5 - 30Å의 두께로 형성하는 것을 특징으로 하는 반도체 소자의 제조방법.The method of manufacturing a semiconductor device according to claim 14, wherein the thin film is formed to a thickness of 5 to 30 GPa. 제14항에 있어서, 상기 박막은 유전물이 작은 SiOC(low-k SiOC)계 물질로 형성하는 것을 특징으로 하는 반도체 소자의 제조방법.The method of claim 14, wherein the thin film is formed of a low-k SiOC (SiOC) -based material having a small dielectric material. 삭제delete
KR1020040087050A 2004-10-29 2004-10-29 Forming method for PVD cobalt salicide layer and manufacturing method for a semiconductor device using the forming method KR100604916B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020040087050A KR100604916B1 (en) 2004-10-29 2004-10-29 Forming method for PVD cobalt salicide layer and manufacturing method for a semiconductor device using the forming method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040087050A KR100604916B1 (en) 2004-10-29 2004-10-29 Forming method for PVD cobalt salicide layer and manufacturing method for a semiconductor device using the forming method

Publications (2)

Publication Number Publication Date
KR20060037944A KR20060037944A (en) 2006-05-03
KR100604916B1 true KR100604916B1 (en) 2006-07-28

Family

ID=37145626

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040087050A KR100604916B1 (en) 2004-10-29 2004-10-29 Forming method for PVD cobalt salicide layer and manufacturing method for a semiconductor device using the forming method

Country Status (1)

Country Link
KR (1) KR100604916B1 (en)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960014959B1 (en) * 1993-10-14 1996-10-23 서울대학교 공과대학 교육연구재단 Ion implanting method
JP2001203352A (en) 2000-01-21 2001-07-27 Nec Corp Method of manufacturing semiconductor device

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960014959B1 (en) * 1993-10-14 1996-10-23 서울대학교 공과대학 교육연구재단 Ion implanting method
JP2001203352A (en) 2000-01-21 2001-07-27 Nec Corp Method of manufacturing semiconductor device

Also Published As

Publication number Publication date
KR20060037944A (en) 2006-05-03

Similar Documents

Publication Publication Date Title
KR20040029119A (en) Improved high k-dielectrics using nickel silicide
JPH0969497A (en) Manufacture of semiconductor device
US6627527B1 (en) Method to reduce metal silicide void formation
US20070202695A1 (en) Method for fabricating a semiconductor device
JP3163996B2 (en) Method for manufacturing semiconductor device
US5998286A (en) Method to grow self-aligned silicon on a poly-gate, source and drain region
JP3376158B2 (en) Method for manufacturing semiconductor device
KR100685898B1 (en) method for manufacturing of semiconductor device
KR100628225B1 (en) method for manufacturing of semiconductor device
KR100604916B1 (en) Forming method for PVD cobalt salicide layer and manufacturing method for a semiconductor device using the forming method
US20060160361A1 (en) Nickel salicide process and method of fabricating a semiconductor device using the same
JPH1126397A (en) Manufacture of semiconductor device
US6156632A (en) Method of forming polycide structures
JP3362722B2 (en) Method for manufacturing semiconductor device
JP2001119021A (en) Method for manufacturing of semiconductor device
KR100630769B1 (en) Semiconductor device and method of fabricating the same device
US6194298B1 (en) Method of fabricating semiconductor device
KR100672739B1 (en) Method for Forming Gate in Semiconductor Device
KR100486649B1 (en) Method for forming salicide of a semiconductor device
KR100617068B1 (en) Method for manufacturing of semiconductor device
KR100291276B1 (en) Silicide forming method of semiconductor devices
KR20030013882A (en) Method for manufacturing a silicide layer of semiconductor device
JP3893997B2 (en) Manufacturing method of semiconductor device
JPH1041249A (en) Manufacturing method of semiconductor device
JP2004319567A (en) Process for fabricating semiconductor device, and semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20100630

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee