KR100545211B1 - A method for forming an isolation layer of a semiconductor device - Google Patents

A method for forming an isolation layer of a semiconductor device Download PDF

Info

Publication number
KR100545211B1
KR100545211B1 KR1020030101850A KR20030101850A KR100545211B1 KR 100545211 B1 KR100545211 B1 KR 100545211B1 KR 1020030101850 A KR1020030101850 A KR 1020030101850A KR 20030101850 A KR20030101850 A KR 20030101850A KR 100545211 B1 KR100545211 B1 KR 100545211B1
Authority
KR
South Korea
Prior art keywords
filler
trench
film
nitride film
etching
Prior art date
Application number
KR1020030101850A
Other languages
Korean (ko)
Other versions
KR20050071049A (en
Inventor
김재승
Original Assignee
동부아남반도체 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동부아남반도체 주식회사 filed Critical 동부아남반도체 주식회사
Priority to KR1020030101850A priority Critical patent/KR100545211B1/en
Publication of KR20050071049A publication Critical patent/KR20050071049A/en
Application granted granted Critical
Publication of KR100545211B1 publication Critical patent/KR100545211B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC

Abstract

본 발명은 반도체 소자의 얕은 트렌치 분리막(Shallow Trench Isolation; STI) 제조 공정에 있어서, 화학적 기계 연마법(CMP) 평탄화 이후에 필드 영역과 활성 영역 표면에 발생하는 단차를 방지하기 위한 반도체 소자의 소자 분리막 형성 방법에 관한 것이다. 본 발명에 따른 반도체 소자의 소자 분리막 형성 방법은, 반도체 기판 상에 패드 산화막과 질화막을 차례로 형성하는 단계; 상기 질화막 상에 감광막 패턴을 형성하여 상기 질화막과 패드 산화막을 식각하고, 상기 반도체 기판의 표면 노출 부위를 일정 두께 식각하여 트렌치를 형성하는 단계; 상기 트렌치의 손상을 방지하기 위해서 트렌치 내부 계면을 따라 전면에 걸쳐 라이너 산화막을 증착하는 단계; 상기 트렌치 내부가 충분히 채워지도록 충진재를 증착하고 평탄화하는 단계; 상기 평탄화된 트렌치 내의 충진재를 상기 질화막의 하단까지 선택적으로 식각하는 단계; 및 상기 질화막을 제거하는 단계를 포함한다. 본 발명에 따르면 STI 제조 공정에서 CMP 평탄화 공정 진행시 발생하는 필드 산화막의 단차를 최소화하여 후속 공정에서 단차로 인한 공정 불량을 제거함으로써 웨이퍼 수율을 향상시킬 수 있다.In the shallow trench isolation (STI) manufacturing process of the semiconductor device, the device isolation film of the semiconductor device to prevent the step difference generated on the surface of the field region and the active region after the chemical mechanical polishing (CMP) planarization It relates to a forming method. A device isolation film forming method of a semiconductor device according to the present invention includes the steps of: forming a pad oxide film and a nitride film on a semiconductor substrate; Forming a photoresist pattern on the nitride film to etch the nitride film and the pad oxide film, and to form a trench by etching a surface exposed portion of the semiconductor substrate to a predetermined thickness; Depositing a liner oxide over the entire surface along the trench inner interface to prevent damage to the trench; Depositing and planarizing a filler to sufficiently fill the trench; Selectively etching the filler in the planarized trench to the bottom of the nitride film; And removing the nitride film. According to the present invention, the wafer yield can be improved by minimizing the step difference of the field oxide film generated during the CMP planarization process in the STI manufacturing process, thereby eliminating the process defect due to the step difference in the subsequent process.

소자 분리막, 얕은 트렌치 분리, STI, 필드 영역, 활성 영역, 단차Device Isolation, Shallow Trench Isolation, STI, Field Area, Active Area, Step

Description

반도체 소자의 소자 분리막 형성 방법 {A method for forming an isolation layer of a semiconductor device}A method for forming an isolation layer of a semiconductor device

도 1a 내지 도 1g는 종래 기술에 따른 STI 기법을 이용한 반도체 소자의 소자 분리막 형성 방법을 나타내는 공정 흐름도이다.1A to 1G are flowcharts illustrating a method of forming a device isolation layer of a semiconductor device using the STI technique according to the related art.

도 2a 내지 도 2h는 본 발명에 따른 STI 기법을 이용한 반도체 소자의 소자 분리막 형성 방법을 나타내는 공정 흐름도이다.2A to 2H are flowcharts illustrating a method of forming an isolation layer of a semiconductor device using the STI technique according to the present invention.

본 발명은 반도체 소자의 소자 분리막 형성 방법에 관한 것으로, 보다 구체적으로, 반도체 소자의 얕은 트렌치 분리막(Shallow Trench Isolation; STI) 제조 공정에 있어서, 화학적 기계 연마법(CMP) 평탄화 이후에 필드 영역과 활성 영역 표면에 발생하는 단차를 방지하기 위한 반도체 소자의 소자 분리막 형성 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of forming a device isolation layer of a semiconductor device, and more particularly, to a field trench and an active region after chemical mechanical polishing (CMP) planarization in a shallow trench isolation (STI) manufacturing process of a semiconductor device. The present invention relates to a device isolation film forming method of a semiconductor device for preventing a step occurring on the surface of an area.

반도체 회로에서는 반도체 기판의 상부에 형성된 트랜지스터, 다이오드 및 저항 등의 여러 소자들을 전기적으로 분리할 필요가 있다. 또한, 반도체 소자의 고집적화가 진행됨에 따라, 소자 제조시 미세 패턴이 요구되어 왔고, 트랜지스터의 채널 길이와 소자 분리를 위한 필드 산화막의 폭 또한 줄어들게 되었다.In a semiconductor circuit, it is necessary to electrically isolate various elements such as transistors, diodes, and resistors formed on the semiconductor substrate. In addition, as the integration of semiconductor devices has progressed, fine patterns have been required in manufacturing devices, and the channel length of transistors and the width of field oxide films for device isolation have also been reduced.

이러한 소자 분리를 형성하기 위한 방법으로서 종래에는 실리콘 부분 산화법(LOCal Oxidation of Silicon; 이하 LOCOS라 한다)이 가장 많이 사용되어 왔다.As a method for forming such device isolation, a conventional LOCal Oxidation of Silicon (LOCOS) has been most commonly used.

상기 LOCOS 소자 분리는 실리콘 기판 상에 패드 산화막 및 질화막을 차례로 형성하는 단계, 상기 질화막을 패터닝하는 단계, 및 실리콘 기판을 선택적으로 산화시켜 필드 산화막을 형성하는 단계로 이루어지며, 이러한 LOCOS 소자 분리에 의하면, 실리콘 기판의 선택적 산화시 마스크로 사용되는 질화막 하부에서 패드 산화막의 측면으로 산소가 침투하면서 필드 산화막의 끝부분에 버즈 비크(bird's beak)가 발생하게 된다. 이러한 버즈 비크에 의해 필드 산화막이 버즈 비크의 길이만큼 액티브 영역으로 확장되기 때문에, 채널 길이가 짧아져서 문턱전압(threshold voltage)이 증가하는 소위 협채널 효과(short channel effect)가 유발되어 트랜지스터의 전기적 특성을 악화시킨다. 특히, 이러한 LOCOS 소자 분리는 채널 길이가 0.3㎛ 이하로 감소됨에 따라 액티브 영역 양측의 필드 산화막이 붙어버리는 펀치쓰루(punch-through)가 발생하여 액티브 영역의 폭이 정확하게 확보되지 않는 등 그 한계를 나타내었다.The LOCOS device isolation is performed by sequentially forming a pad oxide film and a nitride film on a silicon substrate, patterning the nitride film, and selectively oxidizing the silicon substrate to form a field oxide film. In the selective oxidation of the silicon substrate, a bird's beak is generated at the end of the field oxide layer as oxygen penetrates into the side of the pad oxide layer under the nitride layer used as a mask. Since the field oxide film is extended to the active region by the length of the buzz beak by such a buzz beak, a so-called short channel effect is generated in which the channel length is shortened and the threshold voltage is increased, resulting in the electrical characteristics of the transistor. Worsens. In particular, the LOCOS device isolation exhibits limitations such as a punch-through occurs in which field oxide films on both sides of the active region are stuck as the channel length is reduced to 0.3 μm or less, thereby not accurately securing the width of the active region. It was.

따라서 0.25㎛ 이하의 디자인-룰로 제조되는 최근의 반도체 제조 공정에서는 트렌치(trench) 소자 분리 방법이 거론되어 왔다. 즉, 반도체 기판을 부분적으로 식각하여 소자들 사이에 소정의 트렌치를 형성하여 소자 분리하는 트렌치 기법이 적용되고 있다.Therefore, trench device isolation methods have been discussed in recent semiconductor manufacturing processes manufactured with design rules of 0.25 mu m or less. That is, a trench technique of partially etching the semiconductor substrate to form a predetermined trench between the devices and separating the devices is applied.

최근에는 소자 분리시 실리콘 기판을 국부적으로 식각하여 트렌치를 형성한 후에 절연막(예를 들어, 산화막)을 증착하고, 화학적 기계 연마(Chemical Mechanical Polishing; CMP) 공정에 의해 액티브 영역 위의 절연막을 식각하여 필드 영역에만 절연막이 잔존하도록 하는 얕은 트렌치 분리(STI) 기법이 주로 이용되고 있다. 특히, 트렌치의 깊이를 3㎛ 이하로 얕게 형성하는 STI 기법은, 현재 0.15 ㎛급 이하의 디자인룰까지 큰 문제없이 적용되고 있다.Recently, a silicon substrate is locally etched to form a trench during device isolation, and an insulating film (for example, an oxide film) is deposited, and an insulating film on the active region is etched by a chemical mechanical polishing (CMP) process. A shallow trench isolation (STI) technique is mainly used in which an insulating film remains only in the field region. In particular, the STI technique for forming the trench depth as shallow as 3 μm or less has been applied to a design rule of 0.15 μm or less without major problems.

이러한 STI 공정은 실리콘 기판을 소정 깊이로 식각하여 트렌치를 형성하는 단계, 상기 트렌치 및 기판의 상부에 절연막을 증착하는 단계, 및 상기 절연막을 전면 식각(etch back) 또는 CMP 방법으로 식각하여 상기 트렌치의 내부를 절연막으로 충진(filling) 또는 매립하는 단계로 이루어진다. 현재는 트렌치를 충진하는 산화막으로 USG(undoped silicate glass), TEOS(tetra-ethyl-ortho-silicate) 및 HTO(high temperature oxide), 또는 이들의 조합을 사용하고 있다.The STI process may include forming a trench by etching a silicon substrate to a predetermined depth, depositing an insulating layer on the trench and the substrate, and etching the insulating layer by etching the entire surface by a back etching or a CMP method. Filling or filling the inside with an insulating film. Currently, undoped silicate glass (USG), tetra-ethyl-ortho-silicate (TEOS), high temperature oxide (HTO), or a combination thereof is used as an oxide film to fill a trench.

이하, 도 1a 내지 도 1g를 참조하여, 종래 기술에 따른 STI 기법을 이용한 반도체 소자 분리 과정을 구체적으로 설명한다.Hereinafter, a semiconductor device isolation process using the STI technique according to the prior art will be described in detail with reference to FIGS. 1A to 1G.

도 1a 내지 도 1g는 종래 기술에 따른 STI 기법을 이용한 반도체 소자 분리 방법을 도시하는 공정 흐름도이다.1A to 1G are process flowcharts illustrating a method of separating semiconductor devices using the STI technique according to the prior art.

먼저, 반도체 기판 또는 실리콘 웨이퍼(11) 상에 열산화막 재질의 100 내지 200Å의 패드 산화막(Pad Film; 12)과 1000 내지 5000Å의 질화막(Nitride; 13)을 차례로 형성한다(도 1a 참조).First, a 100-200 kPa pad film 12 and a 1000-5000 kN nitride 13 are sequentially formed on the semiconductor substrate or silicon wafer 11 (see FIG. 1A).

다음에, 상기 질화막(13) 상에 감광막(Photo Resist: PR) 패턴(14)을 도포한 후, 상기 감광막 패턴(14)을 소자 분리 영역 상측만 제거되도록 노광 및 현상을 한다(도 1b 참조).Next, after the photoresist (PR) pattern 14 is applied onto the nitride film 13, the photoresist pattern 14 is exposed and developed to remove only the upper side of the device isolation region (see FIG. 1B). .

다음으로, 상기 감광막 패턴(14)을 마스크로 하여 상기 질화막(13)과 패드 산화막(12)을 식각한 다음에, 상기 감광막 패턴(14)을 제거하고 상기 반도체 기판(11)의 표면 노출 부위를 일정 두께 식각하여 트렌치를 형성한다(도 1c 참조). 즉, 선택적으로 노광 및 현상이 완료된 상기 감광막 패턴(14)을 마스크로 하여 상기 질화막(13), 패드 산화막(12) 및 실리콘 기판(11)을 통상적으로 건식 식각 방법으로 식각하여 트렌치를 형성한다. 이와 같이 형성된 트렌치는 얕은 트렌치 분리(STI) 소자를 형성하기 위한 것이며, 도면부호 A는 트렌치가 형성되는 식각 부위를 나타낸다.Next, the nitride film 13 and the pad oxide film 12 are etched using the photosensitive film pattern 14 as a mask, and then the photosensitive film pattern 14 is removed to expose a surface exposed portion of the semiconductor substrate 11. The trench is formed by etching a predetermined thickness (see FIG. 1C). That is, the nitride film 13, the pad oxide film 12, and the silicon substrate 11 are typically etched by a dry etching method to form trenches using the photoresist pattern 14, which has been selectively exposed and developed, as a mask. The trench thus formed is for forming a shallow trench isolation (STI) device, and reference numeral A denotes an etching portion where the trench is formed.

다음으로, 상기 STI 손상을 방지하기 위해서 트렌치 내부 계면을 따라 전면에 걸쳐 STI 라이너 산화막(Liner Oxidation: 15)을 증착한다(도 1d 참조). 즉, 하부 코너의 라운딩 및 열응력(Thermal stress)을 개선하기 위한 열처리 공정인 라이너 산화막(15)을 약 100 내지 300Å 두께로 실시하게 된다.Next, to prevent the STI damage, an STI liner oxide 15 is deposited over the entire surface along the trench inner interface (see FIG. 1D). That is, the liner oxide film 15, which is a heat treatment process for improving the rounding of the lower corners and thermal stress, is performed at a thickness of about 100 to 300 kPa.

이후, 상기 트렌치 내부가 충분히 채워지도록 충진재, 예를 들어, TEOS(tetra-ethyl-ortho-silicate) 산화막(16)을 증착한다(도 1e 참조). 즉, 상기 트렌치 갭을 충진하기 위한 산화막 증착 공정을 AP(Atmospheric Pressure) 화학적 기상 증착(Chemical Vapor Deposition) 방식 또는 HDP(High Density Plasma) CVD 방식을 이용하여 약 5,000 내지 10,000Å 두께로 실시한다.After that, a filler, for example, a tetra-ethyl-ortho-silicate (TEOS) oxide layer 16 is deposited to sufficiently fill the trench (see FIG. 1E). In other words, an oxide film deposition process for filling the trench gap is performed to a thickness of about 5,000 to 10,000 Pa using an AP (Atmospheric Pressure) Chemical Vapor Deposition (AP) method or a High Density Plasma (HDP) CVD method.

다음에, 화학적 기계 연마(CMP) 공정으로 평탄화 작업을 수행한 다음에, 소 정의 온도로 어닐링을 수행한다(도 1f 참조). 즉, 상기 CMP 평탄화 공정을 이용하여 상기 트렌치를 제외한 잔여 충진 산화막(16)을 제거하는데, 이때 EPD(End Point Detection)를 이용하여 상기 질화막(13')을 약 500 내지 1500Å 정도를 남겨 놓는다. 여기서, 도면부호 16'은 트렌치 충진재가 채워진 트렌치가 어닐링된 부분을 나타낸다.Next, the planarization operation is performed by a chemical mechanical polishing (CMP) process, followed by annealing to a predetermined temperature (see FIG. 1F). That is, the remaining packed oxide layer 16 except the trench is removed using the CMP planarization process, and the nitride layer 13 ′ is left at about 500 to 1500 kV using an end point detection (EPD). Here, reference numeral 16 'represents a portion where the trench filled with the trench is annealed.

다음에, 통상적으로 습식 식각에 의해 질화막(13')을 제거하여 STI를 형성하고(도 1g 참조), 후속적으로 클리닝 공정을 수행하여 소자 분리 공정을 완료하게 된다.Next, the nitride film 13 ′ is typically removed by wet etching to form an STI (see FIG. 1G), and a cleaning process is subsequently performed to complete the device isolation process.

그러나 전술한 STI 공정으로 제조된 반도체 소자의 필드 영역 상의 산화막이 활성 영역 상의 산화막보다 약 100 내지 300Å 정도 높아지게 되는데, 이러한 단차는 후속 공정, 예를 들어, 게이트 패터닝 및 식각에 영향을 주게 되어, 상기 단차로 인한 CD(Critical Dimension) 균일성(Uniformity) 등에 악영향을 주게 된다는 문제점이 있다.However, the oxide film on the field region of the semiconductor device fabricated by the above-described STI process is about 100 to 300 kHz higher than the oxide film on the active region. This step affects subsequent processes, for example, gate patterning and etching. There is a problem that adversely affects the CD (Critical Dimension) uniformity (Uniformity) due to the step.

상기 문제점을 해결하기 위한 본 발명의 목적은 얕은 트렌치 분리(STI) 구조를 갖는 반도체 소자의 제조 방법에 있어서 STI를 화학적 기계 연마법(CMP)으로 평탄화한 후 발생하는 필드 영역 및 활성 영역 표면의 단차를 방지할 수 있는 반도체 소자 분리막 형성 방법을 제공하기 위한 것이다.SUMMARY OF THE INVENTION An object of the present invention for solving the above problems is a step difference between the surface area of a field region and an active region that occurs after planarizing STI by chemical mechanical polishing (CMP) in a method of manufacturing a semiconductor device having a shallow trench isolation (STI) structure. It is to provide a method for forming a semiconductor device isolation film that can prevent the.

상기 목적을 달성하기 위한 수단으로서, 본 발명에 따른 반도체 소자의 소자 분리막 형성 방법은,As a means for achieving the above object, an element isolation film forming method of a semiconductor device according to the present invention,

반도체 기판 상에 패드 산화막과 질화막을 차례로 형성하는 단계;Sequentially forming a pad oxide film and a nitride film on the semiconductor substrate;

상기 질화막 상에 감광막 패턴을 형성하여 상기 질화막과 패드 산화막을 식각하고, 상기 반도체 기판의 표면 노출 부위를 일정 두께 식각하여 트렌치를 형성하는 단계;Forming a photoresist pattern on the nitride film to etch the nitride film and the pad oxide film, and to form a trench by etching a surface exposed portion of the semiconductor substrate to a predetermined thickness;

상기 트렌치의 손상을 방지하기 위해서 트렌치 내부 계면을 따라 전면에 걸쳐 라이너 산화막을 증착하는 단계;Depositing a liner oxide over the entire surface along the trench inner interface to prevent damage to the trench;

상기 트렌치 내부가 충분히 채워지도록 충진재를 증착하고 평탄화하는 단계;Depositing and planarizing a filler to sufficiently fill the trench;

상기 평탄화된 트렌치 내의 충진재를 상기 질화막의 하단까지 선택적으로 식각하는 단계; 및Selectively etching the filler in the planarized trench to the bottom of the nitride film; And

상기 질화막을 제거하는 단계Removing the nitride film

를 포함한다.It includes.

여기서, 상기 충진재를 평탄화하는 단계는 상기 질화막 상단의 약 100 내지 200Å까지만 리세스(Recess)가 형성되도록 화학적 기계 연마(CMP) 방식으로 평탄화하는 것을 특징으로 한다.Here, the planarization of the filler may be performed by chemical mechanical polishing (CMP) so that only recesses of about 100 to about 200 kPa of the upper end of the nitride film are formed.

여기서, 상기 충진재를 평탄화하는 단계는 상기 질화막의 상단부에서 EPD(End Point Detection)를 이용하여 평탄화 공정을 중단하는 것을 특징으로 한다.Here, the planarization of the filler may include stopping the planarization process by using end point detection (EPD) at the upper end of the nitride layer.

여기서, 상기 충진재를 선택적으로 식각하는 단계는 100:1 내지 200:1로 희석된 HF 용액 또는 BHF 용액을 이용하여 상기 충진재를 선택적으로 제거하는 것을 특징으로 한다.Here, the step of selectively etching the filler is characterized in that the filler is selectively removed using a HF solution or BHF solution diluted to 100: 1 to 200: 1.

여기서, 상기 충진재를 선택적으로 식각하는 단계는 필드 영역의 충진재 두께 및 남아있는 활성 영역의 질화막 두께를 계산하여 선택적으로 식각하는 것을 특징으로 한다.Here, the selective etching of the filler may be performed by selectively etching the filler thickness of the field region and the nitride film thickness of the remaining active region.

여기서, 상기 충진재를 선택적으로 식각하는 단계는 상기 질화막과 충진재 간의 선택비가 50:1 이상이 되는 건식 식각을 하여 상기 충진재를 선택적으로 제거하는 것을 특징으로 한다.In the selective etching of the filler, the filler may be selectively removed by dry etching such that the selectivity between the nitride layer and the filler is 50: 1 or more.

여기서, 상기 질화막을 제거하는 단계는 인산(H3PO4)을 이용하는 습식 식각으로 상기 질화막을 제거하는 것을 특징으로 한다.The removing of the nitride layer may include removing the nitride layer by wet etching using phosphoric acid (H 3 PO 4 ).

본 발명에 따르면, 반도체 소자의 STI 형성시 CMP 평탄화 이후에 발생하는 필드 영역 및 활성 영역 표면의 단차를 최소화하여 후속 공정 진행시 발생되는 문제점을 극복하여 웨이퍼의 수율을 극대화할 수 있다.According to the present invention, it is possible to maximize the yield of the wafer by minimizing the step difference between the surface of the field region and the active region occurring after CMP planarization when forming the STI of the semiconductor device to overcome the problems caused during the subsequent process.

이하, 첨부된 도면을 참조하여, 본 발명의 실시예에 따른 반도체 소자의 소자 분리막 형성 방법을 상세히 설명한다.Hereinafter, a method of forming an isolation layer of a semiconductor device according to an exemplary embodiment of the present invention will be described in detail with reference to the accompanying drawings.

본 발명은 STI 제조 공정에 있어서 CMP 평탄화 이후 발생하는 단차를 최소화하여 후속 공정 진행시 발생되는 문제점을 극복하여 웨이퍼의 수율을 극대화하기 위한 것이다.The present invention is to maximize the yield of the wafer by overcoming the problems caused during the subsequent process by minimizing the step after CMP planarization in the STI manufacturing process.

도 2a 내지 도 2h는 본 발명에 따른 STI 기법을 이용한 반도체 소자의 소자 분리막 형성 방법을 나타내는 공정 흐름도이다.2A to 2H are flowcharts illustrating a method of forming an isolation layer of a semiconductor device using the STI technique according to the present invention.

먼저, 반도체 기판 또는 실리콘 웨이퍼(21) 상에 열산화막 재질의 100 내지 200Å의 패드 산화막(22)과 1000 내지 5000Å의 질화막(13)을 차례로 형성한다(도 2a 참조).First, a 100-200 mPa pad oxide film 22 and a 1000-5000 mW nitride film 13 are sequentially formed on a semiconductor substrate or silicon wafer 21 (see Fig. 2A).

다음에, 상기 질화막(13) 상에 감광막 패턴(24)을 도포한 후, 상기 감광막 패턴(24)을 소자 분리 영역 상측만 제거되도록 노광 및 현상을 한다(도 2b 참조).Next, after the photosensitive film pattern 24 is applied onto the nitride film 13, the photosensitive film pattern 24 is exposed and developed to remove only the upper side of the device isolation region (see FIG. 2B).

다음으로, 상기 감광막 패턴(24)을 마스크로 하여 상기 질화막(23')과 패드 산화막(22')을 식각한 다음에, 상기 감광막 패턴(24)을 제거하고 상기 반도체 기판(21')의 표면 노출 부위를 일정 두께 식각하여 트렌치를 형성한다(도 2c 참조). 즉, 선택적으로 노광 및 현상이 완료된 상기 감광막 패턴(24)을 마스크로 하여 상기 질화막(23'), 패드 산화막(22') 및 실리콘 반도체 기판(21')을 통상적으로 건식 식각 방법으로 식각하여 트렌치를 형성한다. 이와 같이 형성된 트렌치는 얕은 트렌치 분리(STI) 소자를 형성하기 위한 것이며, 도면부호 B는 트렌치가 형성되는 식각 부위를 나타낸다.Next, the nitride film 23 'and the pad oxide film 22' are etched using the photosensitive film pattern 24 as a mask, and then the photosensitive film pattern 24 is removed and the surface of the semiconductor substrate 21 'is removed. The exposed portion is etched to a certain thickness to form a trench (see FIG. 2C). In other words, the nitride film 23 ', the pad oxide film 22', and the silicon semiconductor substrate 21 'are typically etched by a dry etching method using the photoresist pattern 24 which has been selectively exposed and developed as a mask to form a trench. To form. The trench thus formed is for forming a shallow trench isolation (STI) device, and reference numeral B denotes an etched portion where the trench is formed.

다음으로, 상기 STI 손상을 방지하기 위해서 트렌치 내부 계면을 따라 전면에 걸쳐 STI 라이너 산화막(25)을 증착하고(도 2d 참조), 즉, 하부 코너의 라운딩 및 열응력을 개선하기 위한 열처리 공정인 라이너 산화막(25)을 약 100 내지 300Å 두께로 실시하게 된다.Next, to prevent the STI damage, the STI liner oxide film 25 is deposited over the entire surface along the trench inner interface (see FIG. 2D), that is, a heat treatment process for improving the rounding and thermal stress of the lower corner. The oxide film 25 is formed to a thickness of about 100 to 300 Å.

이후, 상기 트렌치 내부가 충분히 채워지도록 충진재, 예를 들어, TEOS 산화막(26)을 증착한다(도 2e 참조). 즉, 상기 트렌치 갭을 충진하기 위한 산화막 증착 공정을 AP(Atmospheric Pressure) 화학적 기상 증착(Chemical Vapor Deposition) 방식 또는 HDP(High Density Plasma) CVD 방식을 이용하여 약 5,000 내지 10,000Å 두께로 실시한다.Thereafter, a filler, for example, a TEOS oxide layer 26 is deposited to sufficiently fill the trench (see FIG. 2E). In other words, an oxide film deposition process for filling the trench gap is performed to a thickness of about 5,000 to 10,000 Pa using an AP (Atmospheric Pressure) Chemical Vapor Deposition (AP) method or a High Density Plasma (HDP) CVD method.

실질적으로 상기 CMP 평탄화 이전의 공정은 종래 기술에 따른 공정과 동일하다.Substantially the process before CMP planarization is the same as in the prior art.

다음에, 전술한 바와 같이 형성된 STI에 대해 화학적 기계 연마(CMP) 공정으로 평탄화 작업을 수행한 다음에, 소정의 온도로 어닐링을 수행한다(도 2f 참조). 구체적으로, 상기 CMP 평탄화 공정으로 상기 충진 산화막(26')을 제거할 때, 상기 질화막(23")의 상단부에서 EPD(End Point Detection)를 이용하여 공정을 중단한다. 이때 상기 질화막(23")은 기존에 증착된 두께보다 약 100 내지 500Å 정도 제거된 상태가 되며, 필드 영역의 충진 산화막은 모두 개방된다. 실질적으로, 상기 CMP 평탄화 공정 진행시에 상기 질화막(23") 상단 약 100 내지 200Å까지만 리세스(Recess)를 형성하는 것이 바람직하다.Next, the STI formed as described above is subjected to a planarization operation by a chemical mechanical polishing (CMP) process, and then annealing is performed at a predetermined temperature (see FIG. 2F). Specifically, when the filling oxide film 26 'is removed by the CMP planarization process, the process is stopped by using end point detection (EPD) at the upper end of the nitride film 23 ". At this time, the nitride film 23" Is removed by about 100 to 500 Å from the thickness previously deposited, and all of the filled oxide film in the field region is opened. Substantially, during the CMP planarization process, it is preferable to form a recess only up to about 100 to 200 microns at the top of the nitride film 23 ".

다음으로, 이러한 상태에서, 100:1 내지 200:1로 희석된 HF 용액 또는 BHF 용액을 이용하여 상기 충진 산화막(26")을 선택적으로 제거한다(도 2g 참조). 이때 남아있는 활성 영역의 질화막(23")과 필드 영역의 충진 산화막(26")의 두께를 계산하여 진행한다. 또는, 상기 질화막(23")과 충진 산화막(26") 간의 선택비가 50:1 이상이 되는 건식 식각을 하여 상기 충진 산화막(26")을 제거할 수도 있다.Next, in this state, the packed oxide film 26 "is selectively removed using an HF solution or a BHF solution diluted from 100: 1 to 200: 1 (see FIG. 2G). Proceed by calculating the thickness of 23 " and the filling oxide film 26 " in the field region. Alternatively, dry etching is performed in which the selectivity between the nitride film 23 " and the filling oxide film 26 " The filling oxide layer 26 "may be removed.

이후, 통상적으로 습식 식각에 의해 인산(H3PO4)을 이용하여 상기 질화막(23")을 제거하여 STI를 형성하고(도 2h 참조), 후속적으로 클리닝 공정을 수행하여 STI 소자 분리 공정을 완료하게 된다.Then, the conventional (see Fig. 2h) by removing the nitride film (23 ") by using a phosphoric acid (H 3 PO 4) by a wet etching to form the STI, performing a cleaning process and subsequently to the separation STI device process You are done.

따라서 전술한 바와 같이 STI를 형성하여 필드 영역과 활성 영역 상의 단차를 제거함으로써, 후속적인 게이트 패터닝 및 식각에 영향을 최소화하게 된다.Therefore, as described above, the STI is formed to eliminate the step difference between the field region and the active region, thereby minimizing the influence on subsequent gate patterning and etching.

위에서 발명을 설명하였지만, 이러한 실시예는 이 발명을 제한하려는 것이 아니라 예시하려는 것이다. 이 발명이 속하는 분야의 숙련자에게는 이 발명의 기술 사항을 벗어남이 없어 위 실시예에 대한 다양한 변화나 변경 또는 조절이 가능함이 자명할 것이다. 그러므로 본 발명의 보호 범위는 첨부된 청구 범위에 의해서만 한정될 것이며, 위와 같은 변화예나 변경예 또는 조절예를 모두 포함하는 것으로 해석되어야 할 것이다.While the invention has been described above, these examples are intended to illustrate rather than limit this invention. It will be apparent to those skilled in the art that various changes, modifications, or adjustments to the above embodiments are possible without departing from the technical details of the present invention. Therefore, the scope of protection of the present invention will be limited only by the appended claims, and should be construed as including all such changes, modifications or adjustments.

본 발명에 따르면 STI 제조 공정에서 CMP 평탄화 공정 진행시 발생하는 필드 산화막의 단차를 최소화하여 후속 공정에서 단차로 인한 공정 불량을 제거함으로써 웨이퍼 수율을 향상시킬 수 있다.According to the present invention, the wafer yield can be improved by minimizing the step difference of the field oxide film generated during the CMP planarization process in the STI manufacturing process, thereby eliminating the process defect due to the step difference in the subsequent process.

Claims (7)

반도체 소자의 소자 분리막 형성 방법에 있어서,In the device isolation film forming method of a semiconductor device, 반도체 기판 상에 패드 산화막과 질화막을 차례로 형성하는 단계;Sequentially forming a pad oxide film and a nitride film on the semiconductor substrate; 상기 질화막 상에 감광막 패턴을 형성하여 상기 질화막과 패드 산화막을 식각하고, 상기 반도체 기판의 표면 노출 부위를 일정 두께 식각하여 트렌치를 형성하는 단계;Forming a photoresist pattern on the nitride film to etch the nitride film and the pad oxide film, and to form a trench by etching a surface exposed portion of the semiconductor substrate to a predetermined thickness; 상기 트렌치의 손상을 방지하기 위해서 트렌치 내부 계면을 따라 전면에 걸쳐 라이너 산화막을 증착하는 단계;Depositing a liner oxide over the entire surface along the trench inner interface to prevent damage to the trench; 상기 트렌치 내부가 충분히 채워지도록 충진재를 증착하고 평탄화하는 단계;Depositing and planarizing a filler to sufficiently fill the trench; 상기 평탄화된 트렌치 내의 상기 충진재를 상기 질화막의 하단까지 선택적으로 식각하는 단계; 및Selectively etching the filler in the planarized trench to the bottom of the nitride film; And 상기 질화막을 제거하는 단계Removing the nitride film 를 포함하는 반도체 소자의 소자 분리막 형성 방법.Device isolation film forming method of a semiconductor device comprising a. 제 1항에 있어서,The method of claim 1, 상기 충진재를 평탄화하는 단계는 상기 질화막 상단의 약 100 내지 200Å까지만 리세스(Recess)가 형성되도록 화학적 기계 연마(CMP) 방식으로 평탄화하는 것을 특징으로 하는 반도체 소자의 소자 분리막 형성 방법.The planarization of the filler may be performed by chemical mechanical polishing (CMP) to planarize recesses up to about 100 to 200 microns on the top of the nitride layer. 제 1항 또는 제 2항에 있어서,The method according to claim 1 or 2, 상기 충진재를 평탄화하는 단계는 상기 질화막의 상단부에서 EPD(End Point Detection)를 이용하여 평탄화 공정을 중단하는 것을 특징으로 하는 반도체 소자의 소자 분리막 형성 방법.The planarizing of the filler may include stopping the planarization process by using end point detection (EPD) at the upper end of the nitride layer. 제 1항에 있어서,The method of claim 1, 상기 충진재를 선택적으로 식각하는 단계는 100:1 내지 200:1로 희석된 HF 용액 또는 BHF 용액을 이용하여 상기 충진재를 선택적으로 제거하는 것을 특징으로 하는 반도체 소자의 소자 분리막 형성 방법.The selective etching of the filler may include selectively removing the filler using an HF solution or a BHF solution diluted to 100: 1 to 200: 1. 제 1항에 있어서,The method of claim 1, 상기 충진재를 선택적으로 식각하는 단계는 필드 영역의 충진재 두께 및 남아있는 활성 영역의 질화막 두께를 계산하여 선택적으로 식각하는 것을 특징으로 하는 반도체 소자의 소자 분리막 형성 방법.And selectively etching the filler to selectively etch the filler by calculating the thickness of the filler in the field region and the thickness of the nitride layer in the remaining active region. 제 1항에 있어서,The method of claim 1, 상기 충진재를 선택적으로 식각하는 단계는 상기 질화막과 충진재 간의 선택비가 50:1 이상이 되는 건식 식각을 하여 상기 충진재를 선택적으로 제거하는 것을 특징으로 하는 반도체 소자의 소자 분리막 형성 방법.The selective etching of the filler may include selectively removing the filler by dry etching such that the selectivity between the nitride film and the filler is 50: 1 or more. 제 1항에 있어서,The method of claim 1, 상기 질화막을 제거하는 단계는 인산(H3PO4)을 이용하는 습식 식각으로 상기 질화막을 제거하는 것을 특징으로 하는 반도체 소자의 소자 분리막 형성 방법.The removing of the nitride layer may include removing the nitride layer by wet etching using phosphoric acid (H 3 PO 4 ).
KR1020030101850A 2003-12-31 2003-12-31 A method for forming an isolation layer of a semiconductor device KR100545211B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020030101850A KR100545211B1 (en) 2003-12-31 2003-12-31 A method for forming an isolation layer of a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020030101850A KR100545211B1 (en) 2003-12-31 2003-12-31 A method for forming an isolation layer of a semiconductor device

Publications (2)

Publication Number Publication Date
KR20050071049A KR20050071049A (en) 2005-07-07
KR100545211B1 true KR100545211B1 (en) 2006-01-24

Family

ID=37261039

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030101850A KR100545211B1 (en) 2003-12-31 2003-12-31 A method for forming an isolation layer of a semiconductor device

Country Status (1)

Country Link
KR (1) KR100545211B1 (en)

Also Published As

Publication number Publication date
KR20050071049A (en) 2005-07-07

Similar Documents

Publication Publication Date Title
US8022481B2 (en) Robust shallow trench isolation structures and a method for forming shallow trench isolation structures
KR101002474B1 (en) Method of forming isolation film of semiconductor memory device
KR20010046153A (en) Method of manufacturing trench type isolation layer in semiconductor device
KR100545211B1 (en) A method for forming an isolation layer of a semiconductor device
KR100564561B1 (en) Method for trench isolation in semiconductor device without void
KR20070002945A (en) Method for forming trench type isolation layer in semiconductor device
KR100632034B1 (en) Method for fabricating a field oxide in a semiconductor device
KR100558032B1 (en) Shallow trench isolation method of semiconductor device
KR100455093B1 (en) Method of forming an isolation layer in a semiconductor device
KR100561974B1 (en) A Manufacturing Method of Semiconductor Element
KR100875350B1 (en) Production method of sti without divot
KR100552852B1 (en) Method for fabricating shallow trench isolation
KR100455726B1 (en) Method for forming isolation layer in semiconductor device
US7067390B2 (en) Method for forming isolation layer of semiconductor device
KR100967672B1 (en) The method for forming shall trench isolation in semiconductor device
KR100984854B1 (en) Method for forming element isolation layer of semiconductor device
KR100921329B1 (en) Method of forming an isolation layer in a semiconductor device
KR100984855B1 (en) Method for forming element isolation layer of semiconductor device
KR100849361B1 (en) Method For Manufacturing Semiconductor Devices
KR20060063304A (en) Method for forming sti type device isolation film of semiconductor device
KR20050058816A (en) A semiconductor device with a spacer in the trench, and a manufacturing method thereof
KR20080114065A (en) Method for manufacturing of isolation layer of semiconductor device
KR20080062560A (en) Method for forming isolation to semiconductor device
KR20030002815A (en) Method for fabricating semiconductor device
KR20040070703A (en) Isolation method in a semiconductor manufacturing device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20111220

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee