KR100475456B1 - Method for manufacturing electric wiring of semiconductor device - Google Patents

Method for manufacturing electric wiring of semiconductor device Download PDF

Info

Publication number
KR100475456B1
KR100475456B1 KR10-2002-0084215A KR20020084215A KR100475456B1 KR 100475456 B1 KR100475456 B1 KR 100475456B1 KR 20020084215 A KR20020084215 A KR 20020084215A KR 100475456 B1 KR100475456 B1 KR 100475456B1
Authority
KR
South Korea
Prior art keywords
conductive
pattern
hard mask
layer
bit line
Prior art date
Application number
KR10-2002-0084215A
Other languages
Korean (ko)
Other versions
KR20040057467A (en
Inventor
김락환
홍종서
홍영기
홍준식
이주상
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR10-2002-0084215A priority Critical patent/KR100475456B1/en
Publication of KR20040057467A publication Critical patent/KR20040057467A/en
Application granted granted Critical
Publication of KR100475456B1 publication Critical patent/KR100475456B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)

Abstract

이웃하는 콘택들과의 접촉 불량이 감소되는 배선 형성 방법이 개시되어 있다. 반도체 기판 상에 제1 도전층을 형성한다. 상기 제1 도전층 상에 하드 마스크 패턴을 형성한다. 상기 하드 마스크 패턴을 마스크로 제1 도전층을 일부 두께만큼 등방성으로 식각하여, 상기 제1 도전층에 비해 두께가 감소되고 상기 하드 마스크 패턴 아래에 도전 물질이 돌출되어 상기 하드 마스크 패턴의 저면의 일부분을 지지하는 제2 도전층을 형성한다. 상기 하드 마스크 패턴을 마스크로 상기 제2 도전층을 이방성 식각하여, 상부 모서리 부위가 상대적으로 축소된 형태의 도전성 패턴 및 하드 마스크 패턴이 적층된 도전성 구조물을 형성하여 배선을 형성한다. 불량이 발생하기 쉬운 부위에 한하여 부분적으로 패턴의 폭이 축소되므로, 도전성 구조물은 각 패턴이 안정적으로 적층되면서도 브릿지 불량이 감소되는 효과가 있다. A wiring forming method is disclosed in which poor contact with neighboring contacts is reduced. A first conductive layer is formed on the semiconductor substrate. A hard mask pattern is formed on the first conductive layer. By isotropically etching the first conductive layer by a partial thickness using the hard mask pattern as a mask, the thickness is reduced compared to the first conductive layer and a conductive material protrudes below the hard mask pattern so that a portion of the bottom surface of the hard mask pattern is exposed. A second conductive layer supporting the film is formed. The second conductive layer is anisotropically etched using the hard mask pattern as a mask to form a conductive structure in which a conductive pattern having a relatively reduced upper edge portion and a conductive structure in which the hard mask pattern is stacked are formed. Since the width of the pattern is partially reduced only in the area where the defect is likely to occur, the conductive structure has the effect of reducing the bridge defect while stacking each pattern stably.

Description

반도체 장치의 배선 형성 방법{Method for manufacturing electric wiring of semiconductor device}Method for manufacturing electric wiring of semiconductor device

본 발명은 반도체 장치의 배선 형성 방법에 관한 것으로서, 보다 상세하게는 이웃하는 콘택들과의 접촉 불량이 감소되는 배선 형성 방법에 관한 것이다. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a wiring forming method of a semiconductor device, and more particularly, to a wiring forming method in which contact failure with neighboring contacts is reduced.

반도체 장치가 고집적화 됨에 따라 반도체 장치를 구성하는 각 패턴들은 더욱 미세화되고 있으며, 상기 패턴의 넓이뿐만 아니라 패턴과 패턴간의 간격도 감소되고 있다. 또한 반도체 기판에 형성되어 있는 고립된 소자들을 연결시키는 콘택도 사이즈가 더욱 미세해지고, 그 깊이도 더욱 깊게 형성되고 있다. As the semiconductor device is highly integrated, each pattern constituting the semiconductor device is further miniaturized, and not only the width of the pattern but also the distance between the pattern and the pattern is reduced. In addition, the contact sizes connecting the isolated devices formed on the semiconductor substrate become smaller and deeper.

상기와 같이 반도체 장치가 고집적화되면서, 배선의 선폭 감소에 따른 저항 증가로 반도체 장치의 동작 속도를 만족하지 못하는 동작 속도 불량과 포토 미스 얼라인에 따른 콘택과 신호 라인간의 브릿지 불량들이 빈번하게 발생하고 있다. 이러한 불량들을 최소화시키기 위해, 비저항이 낮은 금속 물질로서 배선을 형성하는 공정 및 주변의 패턴을 이용한 셀프 얼라인 방식으로 콘택을 형성하는 공정들을 적용하고 있다. As the semiconductor device is highly integrated as described above, an operation speed failure that does not satisfy the operation speed of the semiconductor device due to an increase in resistance due to a decrease in the line width of the wiring, and a bridge failure between the contact and the signal line due to the photo misalignment frequently occur. . In order to minimize such defects, a process of forming a wiring as a metal material having a low resistivity and a process of forming a contact in a self-aligned manner using a surrounding pattern are applied.

도 1a 내지 도 1c를 참조로 하여, 종래의 셀프 얼라인 콘택을 형성하는 방법을 간단히 설명한다. 1A to 1C, a method of forming a conventional self-aligned contact will be briefly described.

도 1a를 참조하면, 반도체 기판(10)상에 도전층 패턴(12)들 및 질화막 패턴(14)들이 적층된 도전성 구조물(16)들을 형성하고, 이어서 상기 도전성 구조물들의 측벽에 질화막 스페이서(18)를 형성한다. Referring to FIG. 1A, conductive structures 16 including conductive layer patterns 12 and nitride layer patterns 14 are stacked on a semiconductor substrate 10, and then nitride layer spacers 18 are formed on sidewalls of the conductive structures. To form.

도 1b를 참조하면, 상기 결과물의 전면에 실리콘 산화물을 증착하여 절연층(20)을 형성한다. 이어서, 상기 도전성 구조물(16)들 사이의 기판을 노출하는 콘택을 형성하기 위한 포토레지스트 패턴(22)을 형성한다.Referring to FIG. 1B, an insulating layer 20 is formed by depositing silicon oxide on the entire surface of the resultant product. Subsequently, a photoresist pattern 22 for forming a contact exposing the substrate between the conductive structures 16 is formed.

도 1c를 참조하면, 상기 포토레지스트 패턴(22)을 식각 마스크로 하고, 상기 실리콘 산화막과 실리콘 질화막간의 선택비를 이용한 이방성 식각 공정으로 상기 절연층(20)을 식각하여 상기 도전성 구조물(16)들 사이의 기판 영역을 노출하는 콘택홀(24)을 형성한다.Referring to FIG. 1C, the conductive structures 16 may be etched using the photoresist pattern 22 as an etch mask, and the insulating layer 20 is etched by an anisotropic etching process using a selectivity ratio between the silicon oxide layer and the silicon nitride layer. A contact hole 24 is formed to expose the substrate region therebetween.

그러나, 상기 도전성 구조물(16)들 간에 이격되는 간격이 더욱 좁아짐에 따라 상기 포토레지스트 패턴(22)을 정 위치에 형성하는 것이 점점 어려워지고 있다. 상기 포토레지스트 패턴(22)이 정위치에 형성되어 있지 않는 경우, 상기 이방성 식각 공정을 수행할 때 질화막 스페이서(16)의 일측면이 너무 과도하게 식각된다. 이 때, 상기 콘택홀(24)측면에 상기 도전층 패턴(12)의 일부가 노출되는 경우(A) 후속 공정에 의해 형성되는 콘택과 도전성 패턴(12)들 간이 도통되는 브릿지 불량이 발생된다. However, as the spacing between the conductive structures 16 becomes narrower, it is increasingly difficult to form the photoresist pattern 22 in place. When the photoresist pattern 22 is not formed at the proper position, one side surface of the nitride film spacer 16 is excessively etched when the anisotropic etching process is performed. At this time, when a part of the conductive layer pattern 12 is exposed on the side of the contact hole 24 (A), a bridge failure occurs between the contact formed by the subsequent process and the conductive patterns 12.

이러한 불량을 감소시키기 위해서는, 상기 도전성 구조물(16)의 선폭을 감소시키는 방법이 있다. 그러나, 상기 도전성 구조물(16)의 높이를 고정한 상태에서 상기 도전성 구조물의 선폭만을 감소시킬 경우 상기 도전성 구조물의 쓰러짐이나 리프팅등이 발생할 수 있다. In order to reduce such defects, there is a method of reducing the line width of the conductive structure 16. However, when only the line width of the conductive structure is reduced in the state in which the height of the conductive structure 16 is fixed, the conductive structure may fall or be lifted.

따라서, 본 발명의 제1 목적은 이웃하는 콘택들과의 접촉 불량이 감소되는 배선 형성 방법을 제공하는데 있다. Accordingly, it is a first object of the present invention to provide a wiring forming method in which poor contact with neighboring contacts is reduced.

상기한 목적을 달성하기 위하여 본 발명은, 반도체 기판 상에 제1 도전층을 형성한다. 상기 제1 도전층 상에 하드 마스크 패턴을 형성한다. 상기 하드 마스크 패턴을 마스크로 제1 도전층을 일부 두께만큼 등방성으로 식각하여, 상기 제1 도전층에 비해 두께가 감소되고 상기 하드 마스크 패턴 아래에 도전 물질이 돌출되어 상기 하드 마스크 패턴의 저면의 일부분을 지지하는 제2 도전층을 형성한다. 상기 하드 마스크 패턴을 마스크로 상기 제2 도전층을 이방성 식각하여, 상부 모서리 부위가 상대적으로 축소된 형태의 도전성 패턴 및 하드 마스크 패턴이 적층된 도전성 구조물을 형성하여 반도체 장치의 배선을 형성한다. In order to achieve the above object, the present invention forms a first conductive layer on a semiconductor substrate. A hard mask pattern is formed on the first conductive layer. By isotropically etching the first conductive layer by a partial thickness using the hard mask pattern as a mask, the thickness is reduced compared to the first conductive layer and a conductive material protrudes below the hard mask pattern so that a portion of the bottom surface of the hard mask pattern is exposed. A second conductive layer supporting the film is formed. The second conductive layer is anisotropically etched using the hard mask pattern as a mask to form a conductive structure in which the upper corner portion is relatively reduced in shape and a conductive structure in which the hard mask pattern is stacked to form wiring of the semiconductor device.

본 발명에서, 상기 도전성 구조물을 형성한 이 후에 상기 도전성 구조물을 매몰하는 절연층을 형성하고, 상기 절연층을 일부 식각하여 도전성 구조물 사이에 콘택홀을 더 형성할 수도 있다. In the present invention, after the conductive structure is formed, an insulating layer may be formed to bury the conductive structure, and the contact layer may be further formed between the conductive structures by partially etching the insulating layer.

상기한 목적을 달성하기 위하여 본 발명은, 반도체 기판 상에 도전성 패턴 및 하드 마스크 패턴이 적층된 도전성 구조물들을 형성한다. 상기 도전성 구조물들을 매몰하도록 절연층을 형성한다. 상기 도전성 구조물 사이의 절연층을 식각하여 측면에 상기 도전성 패턴 및 하드 마스크 패턴의 일부가 노출되는 콘택홀을 형성한다. 상기 콘택홀의 측면에 노출된 도전성 패턴보다 상기 하드 마스크 패턴이 더 돌출되도록 상기 노출된 도전성 패턴을 선택적으로 등방성 식각한다. 이어서, 상기 콘택홀의 측면에 절연막 스페이서를 형성하여 반도체 장치의 배선을 형성한다. In order to achieve the above object, the present invention forms conductive structures in which a conductive pattern and a hard mask pattern are stacked on a semiconductor substrate. An insulating layer is formed to bury the conductive structures. The insulating layers between the conductive structures are etched to form contact holes on the side surfaces of the conductive patterns and the hard mask patterns. The exposed conductive pattern is selectively isotropically etched so that the hard mask pattern protrudes more than the conductive pattern exposed on the side surface of the contact hole. Subsequently, insulating film spacers are formed on the side surfaces of the contact holes to form wirings of the semiconductor device.

상기 설명한 방법에 의하면, 반도체 장치의 도전성 구조물은 후속 공정에 의해 브릿지 불량이 발생하기 쉬운 부위에 한하여 부분적으로 도전성 패턴의 폭이 축소된다. 때문에, 도전성 구조물은 안정적으로 적층되면서도 브릿지 불량이 감소되는 효과가 있다. According to the above-described method, the conductive structure of the semiconductor device is partially reduced in the width of the conductive pattern only in a portion where bridge failure is likely to occur by a subsequent process. Therefore, the conductive structure has a stable stacking effect while reducing the bridge failure.

이하, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예들을 상세히 설명하고자 한다. Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings.

실시예 1Example 1

도 2는 본 발명의 제1 실시예를 설명하기 위한 DRAM 셀의 평면도이다. 2 is a plan view of a DRAM cell for explaining the first embodiment of the present invention.

도 3a 내지 도 3h는 본 발명의 일 실시예에 따른 DRAM 장치의 배선 형성 방법을 설명하기 위한 단면도들이다. 도 3a 내지 도 3h는 도 2의 평면도의 B-B'선에 따른 단면도들이다.3A to 3H are cross-sectional views illustrating a wiring forming method of a DRAM device according to example embodiments. 3A to 3H are cross-sectional views taken along line BB ′ of the plan view of FIG. 2.

도 3a를 참조하면, 셸로우 트렌치 소자분리(STI) 공정과 같은 소자 분리 공정을 사용하여 반도체 기판(100)의 상부에 소자분리 산화막(101)을 형성하여 상기 기판(100)에 활성 영역(도 2, 101a)을 정의한다.Referring to FIG. 3A, a device isolation oxide layer 101 is formed on the semiconductor substrate 100 using an element isolation process such as a shallow trench element isolation (STI) process to form an active region (FIG. 2, 101a).

이어서, 상기 기판(100)의 활성 영역 상에 MOS 트랜지스터를 형성한다. 상기 MOS 트랜지스터는 스페이서가 형성되어 있는 게이트 전극(도2, 102)과 상기 게이트 전극(102)의 양측에 소오스/드레인 영역(104)을 포함한다. 상기 소오스/드레인 영역(104) 중 어느 하나는 캐패시터의 스토리지 전극이 접촉되는 캐패시터 콘택 영역이고, 다른 하나는 비트 라인이 접촉되는 비트 라인 콘택 영역이다. 본 실시예에서는 소오스 영역이 캐패시터 콘택 영역이고 드레인 영역이 비트 라인 콘택 영역이다.Subsequently, a MOS transistor is formed on the active region of the substrate 100. The MOS transistor includes a gate electrode (FIG. 2, 102) in which a spacer is formed and a source / drain region 104 on both sides of the gate electrode 102. One of the source / drain regions 104 is a capacitor contact region to which the storage electrode of the capacitor contacts, and the other is a bit line contact region to which the bit line contacts. In this embodiment, the source region is a capacitor contact region and the drain region is a bit line contact region.

이어서, 상기 MOS 트랜지스터를 포함한 기판(100)의 전면에 산화물로 이루어진 층간 절연층(106)을 증착하고, CMP 공정으로 상기 층간 절연층(106)을 평탄화한다. 이어서, 상기 소오스/드레인 영역이 노출되도록 셀프 얼라인 콘택홀을 형성하고, 상기 콘택홀에 폴리실리콘과 같은 도전성 물질을 채워넣어 상기 소오스 영역 및 상기 드레인 영역과 접촉하는 패드 전극(108)들을 형성한다. Subsequently, an interlayer insulating layer 106 made of oxide is deposited on the entire surface of the substrate 100 including the MOS transistor, and the interlayer insulating layer 106 is planarized by a CMP process. Subsequently, a self-aligned contact hole is formed to expose the source / drain region, and a pad material 108 is formed in contact with the source region and the drain region by filling a conductive material such as polysilicon into the contact hole. .

이어서, 상기 패드 전극(108)들이 형성되어 있는 기판 상에 제1 절연층(110)을 형성한다. Subsequently, a first insulating layer 110 is formed on the substrate on which the pad electrodes 108 are formed.

도 3b를 참조하면, 상기 제1 절연층(110)을 사진 식각 공정에 의해 부분적으로 식각하여 상기 드레인 영역과 접촉된 패드 전극(108)을 노출하는 비트 라인 콘택홀(도 2, 111)을 형성한다. 이어서, 상기 제1 절연층(110) 및 상기 비트 라인 콘택홀(111) 내에 제1 도전층(114)을 형성한다. Referring to FIG. 3B, the first insulating layer 110 is partially etched by a photolithography process to form bit line contact holes (FIGS. 2 and 111) exposing the pad electrode 108 in contact with the drain region. do. Subsequently, a first conductive layer 114 is formed in the first insulating layer 110 and the bit line contact hole 111.

구체적으로, 상기 제1 도전층(114)은 도전 물질의 증착 특성을 향상시키기 위하여 제공되는 비교적 얇은 두께의 그루(glue) 금속층(114a)과 상기 그루 금속층 (114a)상에 형성되는 금속층(114b)으로 형성된다. 상기 그루 금속층(114a)은 Ti막, TiN막 또는 Ti/TiN복합막을 포함한다. 그리고, 상기 금속층(114b)은 텅스텐층을 포함한다. 이 때, 상기 그루 금속층(114a)은 약 100 내지 500Å 정도를 증착하고, 상기 텅스텐층(114b)은 약 1000 내지 4000Å 정도를 증착한다. 상기 텅스텐층(114b)은 종래에 주로 사용하는 금속 실리사이드층이나 폴리실리콘층에 비해 비저항이 낮아, 배선의 선폭이 감소하더라도 동작 속도를 확보할 수 있는 장점이 있다. Specifically, the first conductive layer 114 is a relatively thin thickness metal layer 114a and a metal layer 114b formed on the metal layer 114a provided to improve the deposition characteristics of the conductive material. Is formed. The groove metal layer 114a includes a Ti film, a TiN film, or a Ti / TiN composite film. The metal layer 114b includes a tungsten layer. At this time, the groove metal layer 114a is deposited about 100 to 500 kPa, and the tungsten layer 114b is deposited to about 1000 to 4000 kPa. The tungsten layer 114b has a specific resistivity lower than that of a metal silicide layer or a polysilicon layer mainly used in the related art, and thus an operation speed may be secured even if the line width of the wiring is reduced.

도 3c를 참조하면, 상기 제1 도전층(114)상에 실리콘 질화막을 증착하고, 상기 실리콘 질화막을 사진 식각 공정을 통해 패터닝하여 하드 마스크 패턴(116)을 형성한다. 상기 하드 마스크 패턴(116)은 비트 라인을 패터닝하기 위한 마스크 역할 및 후속의 셀프 얼라인 콘택 공정시 하부의 비트 라인을 보호하는 역할을 한다. Referring to FIG. 3C, a silicon nitride film is deposited on the first conductive layer 114 and the silicon nitride film is patterned through a photolithography process to form a hard mask pattern 116. The hard mask pattern 116 serves as a mask for patterning the bit lines and protects the lower bit lines during a subsequent self-aligned contact process.

도 3d를 참조하면, 상기 하드 마스크 패턴(116)을 식각 마스크로 사용하고, 상기 제1 도전층(114)을 일부 두께만큼 등방성으로 식각하여 제2 도전층(115)을 형성한다. 상기 제2 도전층(115)은 등방성 식각 공정에 의해 전반적으로 상기 제1 도전층(114)보다 두께가 감소된다. 그러나, 상기 하드 마스크 패턴(116)아래에 위치하는 제1 도전층(114) 부위는 상기 하드 마스크 패턴에 의해 마스킹되어 주변에 비해 상대적으로 적게 식각된다. 따라서, 상기 제2 도전층(115)은 상기 하드 마스크 패턴(116) 아래에 구비되는 도전 물질의 일부분이 돌출되면서 상기 하드 마스크 패턴(116) 저면의 일부분을 지지하는 형태를 갖는다. 상기 제1 도전층(114)의 등방성 식각은 건식 식각 또는 습식 식각에 의해 수행할 수 있다. Referring to FIG. 3D, the hard mask pattern 116 is used as an etching mask, and the first conductive layer 114 is isotropically etched by a partial thickness to form the second conductive layer 115. The second conductive layer 115 is generally thinner than the first conductive layer 114 by an isotropic etching process. However, the portion of the first conductive layer 114 positioned below the hard mask pattern 116 is masked by the hard mask pattern and is etched relatively less than the surroundings. Accordingly, the second conductive layer 115 may have a form of supporting a portion of the bottom surface of the hard mask pattern 116 while protruding a portion of the conductive material provided under the hard mask pattern 116. Isotropic etching of the first conductive layer 114 may be performed by dry etching or wet etching.

예컨대, 상기 제1 도전층(114)이 TiN층과 텅스텐층이 적층된 형태로 형성된 경우는 상기 텅스텐층을 일부 두께만큼 등방성으로 식각하여야 한다. 상기 텅스텐층은 H2O2를 포함하는 케미컬을 사용한 습식 식각 방법으로 등방성으로 식각할 수 있다. 상기 케미컬은 상기 H2O2에 HF와 H2O중 적어도 어느 하나를 더 첨가할 수 있다. 상기 텅스텐층의 식각율은 상기 케미컬에 포함되는 상기 H2O2, HF 및 H2O의 비율에 의해 조절할 수 있다. 개략적으로는, 상기 케미컬의 조성 중에서 상기 H2O2의 비율이 증가할수록 상기 텅스텐층의 식각율이 높아진다.For example, when the first conductive layer 114 is formed in a form in which a TiN layer and a tungsten layer are stacked, the tungsten layer should be etched isotropically by a part thickness. The tungsten layer may be isotropically etched by a wet etching method using a chemical including H 2 O 2 . The chemical may further add at least one of HF and H 2 O to the H 2 O 2 . The etching rate of the tungsten layer may be controlled by the ratio of the H 2 O 2, HF and H 2 O included in the chemical. In general, as the ratio of H 2 O 2 in the chemical composition increases, the etching rate of the tungsten layer increases.

그런데, 상기 텅스텐층의 식각율이 너무 높은 경우 상기 텅스텐층을 원하는 두께만큼 미세하게 식각하기가 어렵다. 또한, 상기 텅스텐층의 식각율이 너무 낮아 거의 식각되지 않는 것도 바람직하지 않다. 그러므로, 상기 텅스텐층의 식각율은 500Å/min이하를 갖는 것이 바람직하다. However, when the etching rate of the tungsten layer is too high, it is difficult to finely etch the tungsten layer to a desired thickness. In addition, it is not preferable that the etching rate of the tungsten layer is too low to be almost etched. Therefore, it is preferable that the etching rate of the tungsten layer has 500 mW / min or less.

또한, 동일한 케미컬 조성을 사용하더라도 상기 케미컬의 온도에 따라 상기 텅스텐층의 식각율이 달라진다. 즉, 상기 케미컬의 온도가 높아질수록 상기 텅스텐층의 식각율도 높아진다. 따라서, 상기 케미컬의 조성별로 원하는 식각율을 수득할 수 있도록 상기 케미컬의 온도를 조절하는 것이 바람직하다. In addition, even if the same chemical composition is used, the etching rate of the tungsten layer varies according to the temperature of the chemical. That is, as the temperature of the chemical increases, the etching rate of the tungsten layer also increases. Therefore, it is preferable to adjust the temperature of the chemical so as to obtain a desired etching rate for each composition of the chemical.

도 3e를 참조하면, 상기 하드 마스크 패턴(116)을 마스크로 하고 상기 제2 도전층(115)을 이방성 식각하여, 비트 라인 구조물(120)을 형성한다. 상기 비트 라인 구조물(120)은 상부 모서리 부위가 상대적으로 축소된 형태의 비트 라인(119) 및 하드 마스크 패턴(116)이 적층되는 형태를 갖는다. Referring to FIG. 3E, a bit line structure 120 is formed by anisotropically etching the second conductive layer 115 using the hard mask pattern 116 as a mask. The bit line structure 120 has a shape in which a bit line 119 and a hard mask pattern 116 having a relatively reduced upper edge portion are stacked.

도 3f를 참조하면, 상기 비트 라인 구조물(120)의 측면에 실리콘 질화물로 이루어지는 질화막 스페이서(122)를 형성하고, 이어서 상기 비트 라인 구조물(120)을 매몰하도록 제2 절연층(124)을 형성한다. 상기 제2 절연층(124)은 상기 질화막 스페이서(122)와 식각 선택비가 높은 실리콘 산화물로 형성한다. Referring to FIG. 3F, a nitride film spacer 122 made of silicon nitride is formed on a side of the bit line structure 120, and then a second insulating layer 124 is formed to bury the bit line structure 120. . The second insulating layer 124 is formed of silicon oxide having a high etching selectivity with the nitride film spacer 122.

도 3g를 참조하면, 상기 질화막 스페이서(122) 사이의 제2 절연층(124a) 및 제1 절연층(110a)을 선택적으로 식각하여, 상기 소오스와 연결되는 패드 전극(108)을 노출하는 스토로지 노드 콘택홀(126)을 형성한다. Referring to FIG. 3G, the second insulating layer 124a and the first insulating layer 110a between the nitride film spacers 122 are selectively etched to expose the pad electrode 108 connected to the source. The node contact hole 126 is formed.

구체적으로, 상기 제2 절연층(124a)상에 사진 공정으로 콘택홀 영역을 정의하는 포토레지스트 패턴을 형성한다. 상기 포토레지스트 패턴을 식각 마스크로 하고, 상기 질화막 스페이서(122)와 제1 및 2 절연층(110a, 124a)간의 식각 선택비가 높은 조건으로 상기 제2 절연층(124a) 및 제1 절연층(110a)을 순차적으로 식각하여 상기 스토로지 노드 콘택홀(126)을 형성한다. Specifically, a photoresist pattern defining a contact hole region is formed on the second insulating layer 124a by a photolithography process. Using the photoresist pattern as an etching mask, the second insulating layer 124a and the first insulating layer 110a under the condition that the etching selectivity between the nitride film spacer 122 and the first and second insulating layers 110a and 124a is high. ) Is sequentially etched to form the storage node contact hole 126.

그런데, 상기 스토로지 노드 콘택홀(126)의 깊이가 깊어짐에 따라 상기 제2 및 제1 절연층(124a, 110a)을 식각하는 중에 상기 질화막 스페이서(122a) 및 하드 마스크 패턴(116)까지도 상당히 많이 소모된다. 특히, 포토 미스 얼라인이 발생하는 경우에는 상기 스토로지 노드 콘택홀(126)의 일측면에서 상기 질화막 스페이서 (122a)및 하드 마스크 패턴(116)이 더 많이 소모되기 때문에 스토로지 노드 콘택홀(126)의 측면에서 상기 비트 라인(119)이 노출되는 불량이 발생한다. However, as the depth of the storage node contact hole 126 is deepened, the nitride spacers 122a and the hard mask pattern 116 may also be significantly etched during the etching of the second and first insulating layers 124a and 110a. Consumed. In particular, when photo misalignment occurs, the storage node contact hole 126 is consumed because the nitride layer spacer 122a and the hard mask pattern 116 are consumed more at one side of the storage node contact hole 126. A defect that exposes the bit line 119 occurs in terms of the side.

그러나, 본 발명의 제1 실시예에 따른 비트 라인(119)은 상부 모서리 부위가 상대적으로 축소되어 있다. 때문에, 상기 질화막 스페이서(122a) 및 하드 마스크 패턴(116)이 소모되더라도 상기 스토로지 노드 콘택홀(126)의 측면에서 상기 비트 라인(119)의 일부가 노출되는 것을 감소시킬 수 있다. 이로 인해, 후속에 상기 스토로지 노드 콘택홀(126)내에 형성되는 콘택과 상기 비트 라인(119)간의 브릿지 불량을 감소시킬 수 있다. However, the bit line 119 according to the first embodiment of the present invention is relatively reduced in the upper corner portion. Therefore, even if the nitride layer spacer 122a and the hard mask pattern 116 are exhausted, a portion of the bit line 119 may be reduced from the side of the storage node contact hole 126. As a result, a bridge failure between the contact formed in the storage node contact hole 126 and the bit line 119 may be reduced.

또한, 상기 비트 라인 구조물(120)은 상기 비트 라인(119)의 상부 모서리 부위만이 일부 축소되는 형태를 갖기 때문에 상기 비트 라인(119)전체의 선폭이 감소된 형태에 비해 안정된 적층 구조를 갖는다.In addition, since the bit line structure 120 has a form in which only the upper edge portion of the bit line 119 is partially reduced, the bit line structure 120 has a stable stacking structure compared to a form in which the line width of the bit line 119 is reduced.

도 3h를 참조하면, 상기 스토리지 노드 콘택홀(126)에 도전 물질을 채우고 평탄화하여 스토로지 노드 콘택(128)을 형성한다. 이어서, 통상의 캐패시터 형성공정으로 스토로지 전극, 유전체막 및 플레이트 전극으로 구성된 캐패시터(도시하지 않음)를 형성한다.Referring to FIG. 3H, a storage node contact 128 is formed by filling and planarizing a conductive material in the storage node contact hole 126. Subsequently, a capacitor (not shown) composed of a storage electrode, a dielectric film and a plate electrode is formed by a normal capacitor forming process.

실시예 2 Example 2

도 4a 내지 도 4e는 본 발명의 제2 실시예에 따른 반도체 장치의 배선 형성 방법을 설명하기 위한 단면도들이다. 구체적으로는, DRAM장치에서 비트 라인의 형성 방법을 설명한다. 이하에서 설명하는 제2 실시예는 스토로지 노드 콘택홀을 형성하는 방법에 있어서만 달라지므로 중복되는 설명은 생략한다. 4A to 4E are cross-sectional views illustrating a wiring forming method of a semiconductor device in accordance with a second embodiment of the present invention. Specifically, a method of forming a bit line in a DRAM device will be described. Since the second embodiment to be described below is different only in the method of forming the storage node contact hole, overlapping description is omitted.

도 4a에서는 도 3a 내지 도 3e를 참조하여 설명한 것과 동일한 공정을 수행한다. 간략하게, 기판 상에 MOS 트랜지스터(미도시), 층간 절연층(106) 및 층간 절연층(106) 내에 포함되는 패드 전극(108)들을 형성한다. 이어서, 상기 층간 절연층 (106)상에 제1 절연층(110)을 형성한다. 상기 제1 절연층(110) 상에 상부 모서리 부위가 상대적으로 축소된 형태의 비트 라인(119) 및 하드 마스크 패턴(116)이 적층된 형태의 비트 라인 구조물(120)을 형성한다. In FIG. 4A, the same process as described with reference to FIGS. 3A through 3E is performed. Briefly, pad electrodes 108 included in the MOS transistor (not shown), the interlayer insulating layer 106 and the interlayer insulating layer 106 are formed on the substrate. Subsequently, a first insulating layer 110 is formed on the interlayer insulating layer 106. A bit line structure 120 having a shape in which a bit line 119 and a hard mask pattern 116 in which an upper edge portion is relatively reduced is formed on the first insulating layer 110 is formed.

도 4b를 참조하면, 상기 비트 라인 구조물(120)을 매몰하도록 산화물 계열의 절연물질을 증착하여 제2 절연층(202)을 형성한다. 상기 비트 라인(119)이 텅스텐층을 포함하는 경우에는 고온 산화막과 같이 고온에서 증착되거나 BPSG나 SOG와 같이 증착 후 고온의 베이크 공정이 필요한 산화막으로 제2 절연층(202)을 증착하면 상기 비트 라인(109)의 측면이 노출되어 있기 때문에 텅스텐이 산화되는 문제가 발생한다. 따라서, 이를 방지하기 위해 저온에서 증착되면서 보이드 없이 갭 매립을 구현할 수 있는 HDP 산화막으로 제2 절연층(202)을 형성한다. 이어서, 상기 CMP 공정으로 상기 제2 절연층(202)의 표면을 평탄화한다. Referring to FIG. 4B, an oxide-based insulating material is deposited to bury the bit line structure 120 to form a second insulating layer 202. When the bit line 119 includes a tungsten layer, the bit line may be deposited at a high temperature, such as a high temperature oxide film, or when the second insulating layer 202 is deposited with an oxide film requiring a high temperature baking process after deposition, such as BPSG or SOG. Since the side surface of 109 is exposed, a problem occurs that tungsten is oxidized. Therefore, in order to prevent this, the second insulating layer 202 is formed of an HDP oxide film which can be formed at low temperature and realize gap filling without voids. Subsequently, the surface of the second insulating layer 202 is planarized by the CMP process.

도 4c를 참조하면, 평탄화된 제2 절연층(202a) 상에 사진 공정으로 콘택홀 영역을 정의하는 포토레지스트 패턴(미도시)을 형성한다. 이 때, 상기 포토레지스트 패턴은 상기 비트 라인 구조물(120)들과 직교하는 라인 형태로 형성할 수도 있다.Referring to FIG. 4C, a photoresist pattern (not shown) defining a contact hole region is formed on the planarized second insulating layer 202a by a photolithography process. In this case, the photoresist pattern may be formed in a line shape orthogonal to the bit line structures 120.

이어서, 상기 포토레지스트 패턴을 마스크로 이용하여 상기 소오스와 연결되는 패드 전극(108)이 노출되도록 상기 제2 절연층(202a) 및 제1 절연층(110a)을 순차적으로 식각한다. 이때, 비트 라인(119)의 측벽에 스페이서가 존재하지 않으므로, 상기 비트 라인(119)의 소모를 최소화하기 위해 상기 비트 라인(119)과 선택비가 높은 식각 조건을 사용한다. 그러면, 상기 비트 라인 구조물(120)에 대해 셀프 얼라인되는 스토로지 노드 콘택홀(204)이 형성된다. 상기 스토로지 노드 콘택홀(204)의 측면에는 상기 비트 라인 구조물(120)의 일측면이 노출된다. 그리고, 상기 비트 라인(119)의 상부 모서리 부위의 측면에는 상기 제2 절연층의 잔류물(203)이 남아있게 된다. Subsequently, the second insulating layer 202a and the first insulating layer 110a are sequentially etched to expose the pad electrode 108 connected to the source using the photoresist pattern as a mask. In this case, since no spacer exists on the sidewall of the bit line 119, an etching condition having a high selectivity with the bit line 119 is used to minimize the consumption of the bit line 119. Then, the storage node contact hole 204 is self-aligned with respect to the bit line structure 120. One side surface of the bit line structure 120 is exposed at a side surface of the storage node contact hole 204. In addition, a residue 203 of the second insulating layer remains on the side of the upper edge portion of the bit line 119.

도 4d를 참조하면, 상기 스토로지 노드 콘택홀(204)의 측면에 절연막 스페이서(206)를 형성한다. 상기 절연막 스페이서(206)는 절연막 형성 공정 및 절연막을 이방성으로 식각하여 상기 스토로지 노드 콘택홀(204) 저면에 패드 전극(108)을 노출시키는 공정을 수행하여 형성한다. Referring to FIG. 4D, an insulating layer spacer 206 is formed on the side surface of the storage node contact hole 204. The insulating film spacer 206 is formed by performing an insulating film forming process and an anisotropic etching of the insulating film to expose the pad electrode 108 on the bottom surface of the storage node contact hole 204.

상기 절연막 스페이서(206)는 상기 비트 라인(119)과 후속 공정에 의해 형성될 콘택간을 절연하기 위해 형성된다. 그리고, 상기 절연막 스페이서(206)는 상기 스토로지 노드 콘택홀(204) 측면에 노출되어 있는 비트 라인(119)과 반응이 거의 일어나지 않는 막으로서 형성할 수 있다. 상기 절연막 스페이서(206)는 실리콘 산화물계의 물질, 실리콘 질화물계의 물질 및 실리콘 산화물계의 물질과 실리콘 질화물계의 물질로 이루어진 복합막 중의 하나로 형성할 수 있다. The insulating layer spacer 206 is formed to insulate the bit line 119 from a contact to be formed by a subsequent process. The insulating layer spacer 206 may be formed as a film that hardly reacts with the bit line 119 exposed at the side surface of the storage node contact hole 204. The insulating layer spacer 206 may be formed of one of a composite film made of a silicon oxide material, a silicon nitride material, a silicon oxide material, and a silicon nitride material.

상기 이방성 식각 공정을 수행하는 중에 상기 비트 라인(119)의 상부 모서리 부위가 쉽게 노출될 수 있다. 그러나, 본 발명의 제2 실시예에 의한 비트 라인(119)은 상기 비트 라인(119)의 상부 모서리 부위가 축소된 형태를 갖고, 상기 비트 라인의 모서리 부위의 측면에 제2 절연층(203)의 잔류물이 남아있다. 때문에, 상기 절연막 스페이서(206) 공정 시에 상기 비트 라인(119)의 상부 모서리 부위가 노출되는 것을 최소화할 수 있다. 이로 인해, 상기 비트 라인(119)과 이 후에 형성되는 콘택이 전기적으로 연결되는 불량을 감소시킬 수 있다. An upper edge portion of the bit line 119 may be easily exposed during the anisotropic etching process. However, the bit line 119 according to the second embodiment of the present invention has a form in which the upper edge portion of the bit line 119 is reduced, and the second insulating layer 203 is formed on the side of the edge portion of the bit line. Residues remain. Therefore, the upper edge portion of the bit line 119 may be minimized during the insulating layer spacer 206 process. As a result, defects in which the bit line 119 and the contact formed thereafter are electrically connected to each other may be reduced.

또한, 상기 비트 라인 구조물(120)은 상기 비트 라인(119)의 상부 모서리 부위만이 일부 축소되는 형태를 갖기 때문에 상기 비트 라인(119) 전체 선폭이 감소된 형태에 비해 안정된 적층 구조를 갖는다.In addition, since the bit line structure 120 has a form in which only the upper edge portion of the bit line 119 is partially reduced, the bit line structure 120 has a stable stacking structure compared to a form in which the entire line width of the bit line 119 is reduced.

도 4e를 참조하면, 상기 절연막 스페이서(206)가 측면에 형성되어 있는 스토리지 노드 콘택홀(204)에 도전 물질을 채우고 평탄화하여 스토로지 노드 콘택(208)을 형성한다. 이어서, 통상의 캐패시터 형성공정으로 스토로지 전극, 유전체막 및 플레이트 전극으로 구성된 캐패시터(도시하지 않음)를 형성한다.Referring to FIG. 4E, a storage node contact 208 is formed by filling and planarizing a conductive material in the storage node contact hole 204 having the insulating layer spacer 206 formed at a side surface thereof. Subsequently, a capacitor (not shown) composed of a storage electrode, a dielectric film and a plate electrode is formed by a normal capacitor forming process.

실시예 3Example 3

도 5a 내지 도 5h는 본 발명의 일 실시예에 따른 반도체 장치의 배선 형성 방법을 설명하기 위한 단면도들이다. 구체적으로는, DRAM장치에서 비트 라인의 형성 방법을 설명한다. 이하에서 설명하는 제3 실시예는 비트 라인 구조물 및 스토로지 노드 콘택홀을 형성하는 방법에 있어서 제1 및 제2 실시예와 달라진다. 이 외의 중복되는 설명은 생략한다. 5A through 5H are cross-sectional views illustrating a method of forming wirings in a semiconductor device in accordance with an embodiment of the present invention. Specifically, a method of forming a bit line in a DRAM device will be described. The third embodiment described below is different from the first and second embodiments in the method of forming the bit line structure and the storage node contact hole. Other overlapping explanations are omitted.

도 5a는 도 3a을 참조하여 설명한 것과 동일한 공정을 수행한다. 간략하게, 기판 상에 MOS 트랜지스터(미도시), 층간 절연층(106) 및 층간 절연층(106) 내에 포함되는 패드 전극(108)들을 형성한다. 이어서, 상기 제1 절연층(110)을 형성한다. FIG. 5A performs the same process as described with reference to FIG. 3A. Briefly, pad electrodes 108 included in the MOS transistor (not shown), the interlayer insulating layer 106 and the interlayer insulating layer 106 are formed on the substrate. Subsequently, the first insulating layer 110 is formed.

도 5b를 참조하면, 상기 제1 절연층(110)을 사진 식각 공정에 의해 부분적으로 식각하여 상기 드레인 영역과 접촉된 패드 전극(108)을 노출하는 비트 라인 콘택홀(미도시)을 형성한다. 이어서, 상기 제1 절연층(110) 및 비트 라인 콘택홀 내에 도전층(302)을 형성하고, 상기 도전층(302) 상에 하드 마스크층(304)을 형성한다. Referring to FIG. 5B, the first insulating layer 110 is partially etched by a photolithography process to form a bit line contact hole (not shown) exposing the pad electrode 108 in contact with the drain region. Subsequently, a conductive layer 302 is formed in the first insulating layer 110 and the bit line contact hole, and a hard mask layer 304 is formed on the conductive layer 302.

구체적으로, 상기 도전층(302)은 도전 물질의 증착 특성을 향상시키기 위하여 제공되는 비교적 얇은 두께의 그루(glue) 금속층(302a)과 상기 그루 금속층 (302a)상에 형성되어 실재 신호 전달용 비트 라인 역할을 하는 금속층(302b)을 적층시켜 형성한다. 상기 그루 금속층(302a)은 Ti막, TiN막 또는 Ti/TiN복합막을 포함한다. 그리고, 상기 금속층(302b)은 텅스텐층을 포함한다. 이 때, 상기 그루 금속층(302a)은 약 100 내지 500Å 정도를 증착하고, 상기 텅스텐층은 약 1000 내지 4000Å 정도를 증착한다. 상기 텅스텐층은 종래에 주로 사용하는 금속 실리사이드층이나 폴리실리콘층에 비해 비저항이 낮아, 신호 전달용 라인의 선폭이 감소하더라도 동작 속도를 확보할 수 있는 장점이 있다. Specifically, the conductive layer 302 is formed on a relatively thin thickness of the metal layer 302a and the metal layer 302a provided to improve the deposition characteristics of the conductive material, and is a bit line for actual signal transmission. The metal layer 302b serving to form a laminate is formed. The groove metal layer 302a includes a Ti film, a TiN film, or a Ti / TiN composite film. The metal layer 302b includes a tungsten layer. At this time, the groove metal layer 302a is deposited about 100 to 500 kPa, and the tungsten layer is deposited to about 1000 to 4000 kPa. The tungsten layer has a lower specific resistance than a metal silicide layer or a polysilicon layer mainly used in the related art, and thus an operation speed may be secured even if the line width of the signal transmission line is reduced.

상기 하드 마스크층(304)은 실리콘 산화물과 식각 선택비가 높은 실리콘 질화물로 형성할 수 있다. The hard mask layer 304 may be formed of silicon nitride and silicon nitride having a high etching selectivity.

도 5c를 참조하면, 상기 하드 마스크층(304) 및 도전층(302)을 패터닝하여, 도전성 패턴(303) 및 하드 마스크 패턴(305)이 적층된 형태의 도전성 구조물(308)을 형성한다. 상기 하드 마스크 패턴(305)은 후속의 셀프 얼라인 콘택 공정시 하부의 비트 라인을 보호하는 역할을 한다. Referring to FIG. 5C, the hard mask layer 304 and the conductive layer 302 are patterned to form a conductive structure 308 in which the conductive pattern 303 and the hard mask pattern 305 are stacked. The hard mask pattern 305 serves to protect the lower bit line during a subsequent self-aligned contact process.

도 5d를 참조하면, 상기 도전성 구조물(308)을 매몰하도록 산화물 계열의 절연물질을 증착하여 제2 절연층(310)을 형성한다. 이 때, 상기 도전성 패턴(303)이 텅스텐층을 포함하는 경우에는 고온 산화막과 같이 고온에서 증착되거나 BPSG나 SOG와 같이 증착 후 고온의 베이크 공정이 필요한 산화막으로 제2 절연층(310)을 증착하면 상기 도전성 패턴(303)의 측면이 노출되어 있기 때문에 텅스텐이 산화되는 문제가 발생한다. 따라서, 이를 방지하기 위해 저온에서 증착되면서 보이드 없이 갭 매립을 구현할 수 있는 HDP 산화막으로 제2 절연층(310)을 형성한다. 이어서, 상기 CMP 공정으로 상기 제2 절연층(310)의 표면을 평탄화한다. Referring to FIG. 5D, the second insulating layer 310 is formed by depositing an oxide-based insulating material to bury the conductive structure 308. In this case, when the conductive pattern 303 includes a tungsten layer, when the second insulating layer 310 is deposited with an oxide film which is deposited at a high temperature like a high temperature oxide film or requires a high temperature baking process after deposition such as BPSG or SOG Since the side surface of the conductive pattern 303 is exposed, a problem occurs in that tungsten is oxidized. Therefore, in order to prevent this, the second insulating layer 310 is formed of an HDP oxide film which can be formed at low temperature and realize gap filling without voids. Subsequently, the surface of the second insulating layer 310 is planarized by the CMP process.

도 5e를 참조하면, 평탄화된 제2 절연층(310a) 상에 사진 공정으로 콘택홀 영역을 정의하는 포토레지스트 패턴(미도시)을 형성한다. 이 때, 상기 포토레지스트 패턴은 상기 비트 라인 구조물들과 직교하는 라인 형태로 형성할 수도 있다.Referring to FIG. 5E, a photoresist pattern (not shown) defining a contact hole region is formed on the planarized second insulating layer 310a by a photolithography process. In this case, the photoresist pattern may be formed in a line shape perpendicular to the bit line structures.

이어서, 상기 포토레지스트 패턴을 마스크로 이용하여 소오스 영역과 접촉되는 상기 패드 전극(108)이 노출되도록 상기 제2 절연층(310a) 및 제1 절연층(110a)을 순차적으로 식각한다. 이때, 상기 도전성 패턴(303)의 측벽에 스페이서가 존재하지 않으므로 상기 도전성 패턴(303)의 소모를 최소화하기 위해 상기 도전성 패턴(303)과 선택비가 높은 식각 조건을 사용한다. 그러면, 상기 도전성 구조물(308)에 대해 셀프 얼라인되는 스토로지 노드 콘택홀(312)이 형성된다. 상기 스토로지 노드 콘택홀(312)의 측면에는 상기 도전성 구조물(308)의 일측면이 노출된다. Subsequently, the second insulating layer 310a and the first insulating layer 110a are sequentially etched using the photoresist pattern as a mask to expose the pad electrode 108 in contact with the source region. In this case, since a spacer does not exist on the sidewall of the conductive pattern 303, an etching condition having a high selectivity with the conductive pattern 303 is used to minimize the consumption of the conductive pattern 303. Then, a storage node contact hole 312 is self-aligned with respect to the conductive structure 308. One side surface of the conductive structure 308 is exposed at a side surface of the storage node contact hole 312.

도 5f를 참조하면, 상기 스토로지 노드 콘택홀(312a)의 측면에 노출된 도전성 패턴(303)을 선택적으로 일부 두께만큼 등방성 식각하여 비트 라인(314)을 형성한다. 그리고, 비트 라인 구조물(316)은 상기 비트 라인(314) 및 하드 마스크 패턴(305)이 적층된 구조를 갖는다. 상기와 같이 등방성 식각하면, 상기 스토로지 노드 콘택홀(312a) 측면에 노출되어 있는 하드 마스크 패턴(305)은 상기 비트 라인(314)에 비해 돌출된 형상을 갖는다. 즉, 상기 비트 라인(314)은 상기 스토로지 노드 콘택홀(312a)의 측면에 노출되어 있는 부분에 한해서만 선폭이 감소된 형태를 갖는다. Referring to FIG. 5F, the bit line 314 is formed by isotropically etching the conductive pattern 303 exposed on the side surface of the storage node contact hole 312a by a partial thickness. The bit line structure 316 has a structure in which the bit line 314 and the hard mask pattern 305 are stacked. When the isotropic etching is performed as described above, the hard mask pattern 305 exposed at the side surface of the storage node contact hole 312a may protrude more than the bit line 314. That is, the bit line 314 has a form in which the line width is reduced only in a portion exposed to the side surface of the storage node contact hole 312a.

상기 도전성 패턴(303)이 TiN층 패턴과 텅스텐층 패턴이 적층된 형태인 경우에는 상기 텅스텐층을 일부 두께만큼 등방성으로 식각하여야 한다. 상기 텅스텐층은 H2O2를 포함하는 케미컬을 사용한 습식 식각 방법으로 등방성으로 식각할 수 있다. 상기 케미컬은 상기 H2O2에 HF와 H2O중 적어도 어느 하나를 더 첨가할 수 있다. 상기 텅스텐의 식각율은 상기 케미컬에 포함되는 상기 H2O2, HF 및 H2O의 비율에 의해 조절할 수 있다. 이 때, 상기 텅스텐층의 식각율은 500Å/min이하를 갖는 것이 바람직하다.When the conductive pattern 303 is formed by stacking a TiN layer pattern and a tungsten layer pattern, the tungsten layer should be etched isotropically by a partial thickness. The tungsten layer may be isotropically etched by a wet etching method using a chemical including H 2 O 2 . The chemical may further add at least one of HF and H 2 O to the H 2 O 2 . The etching rate of the tungsten may be adjusted by the ratio of the H 2 O 2, HF and H 2 O included in the chemical. At this time, the etching rate of the tungsten layer preferably has 500 Å / min or less.

도 5g를 참조하면, 상기 스토로지 노드 콘택홀(312a)의 측면에 절연막 스페이서(318)를 형성한다. 상기 절연막 스페이서(318)는 상기 스토로지 노드 콘택홀(312a) 및 제2 절연층(310a)상에 절연막을 형성하는 공정 및 상기 형성한 절연막을 이방성으로 식각하여 상기 스토로지 콘택홀(312a) 저면에 패드 전극(108)을 노출시키는 공정을 수행하여 형성한다. Referring to FIG. 5G, an insulating layer spacer 318 is formed on the side surface of the storage node contact hole 312a. The insulating layer spacer 318 may form an insulating layer on the storage node contact hole 312a and the second insulating layer 310a, and anisotropically etch the formed insulating layer to form a bottom surface of the storage contact hole 312a. It is formed by performing a process of exposing the pad electrode 108 to.

상기 절연막 스페이서(318)는 상기 비트 라인(314)과 후속 공정에 의해 형성될 콘택간을 절연하기 위해 형성된다. 그리고, 상기 절연막 스페이서(318)는 상기 콘택홀 측면에 노출되어 있는 비트 라인(314)과 잘 반응하지 않는 막으로서 형성할 수 있다. 상기 절연막 스페이서(318)는 실리콘 산화물계의 물질, 실리콘 질화물계의 물질 및 실리콘 산화물계의 물질과 실리콘 질화물계의 물질로 이루어진 복합막 중의 하나로 형성할 수 있다. The insulating layer spacer 318 is formed to insulate between the bit line 314 and the contact to be formed by a subsequent process. The insulating layer spacer 318 may be formed as a film that does not react well with the bit line 314 exposed on the sidewall of the contact hole. The insulating layer spacer 318 may be formed of one of a composite film made of a silicon oxide material, a silicon nitride material, a silicon oxide material, and a silicon nitride material.

상기 이방성 식각하는 공정을 수행하는 중에 상기 비트 라인(314)의 상부 모서리 부위가 쉽게 노출될 수 있다. 그러나, 본 발명의 제2 실시예에 의한 비트 라인(314)은 상기 스토로지 노드 콘택홀(312a)과 인접하는 부위에서 상대적으로 선폭이 감소한다. 때문에, 상기 절연막 스페이서(318) 공정 시에 상기 비트 라인의 상부 모서리 부위가 노출되는 불량을 최소화할 수 있다.An upper edge portion of the bit line 314 may be easily exposed during the anisotropic etching process. However, the line width of the bit line 314 according to the second embodiment of the present invention is relatively reduced at a portion adjacent to the storage node contact hole 312a. Therefore, a defect in exposing the upper edge portion of the bit line during the insulating layer spacer 318 may be minimized.

또한, 상기 비트 라인 구조물은 상기 스토로지 노드 콘택홀(312a)과 인접하는 일측면 부위에서만 부분적으로 축소되는 형태를 갖기 때문에 상기 비트 라인(314) 전체 선폭이 감소된 형태에 비해 안정된 적층 구조를 갖는다. In addition, since the bit line structure has a form that is partially reduced in only one side portion adjacent to the storage node contact hole 312a, the bit line structure has a stable stacking structure compared to a form in which the entire line width of the bit line 314 is reduced. .

도 5h를 참조하면, 상기 절연막 스페이서(318)가 측면에 형성되어 있는 스토리지 노드 콘택홀(312a)에 도전 물질을 채우고 평탄화하여 스토로지 노드 콘택(320)을 형성한다. 이어서, 통상의 캐패시터 형성공정으로 스토로지 전극, 유전체막 및 플레이트 전극으로 구성된 캐패시터(도시하지 않음)를 형성한다.Referring to FIG. 5H, a storage node contact 320 is formed by filling and planarizing a conductive material in the storage node contact hole 312a having the insulating layer spacer 318 formed on a side surface thereof. Subsequently, a capacitor (not shown) composed of a storage electrode, a dielectric film and a plate electrode is formed by a normal capacitor forming process.

상술한 바와 같이 본 발명에 의하면, 반도체 장치의 배선으로 사용되는 도전성 구조물은 후속 공정에 의해 브릿지 불량이 발생하기 쉬운 부위에 한하여 부분적으로 도전성 패턴의 폭이 축소되는 구성을 갖는다. 때문에, 상기 도전성 구조물은 안정적으로 적층되면서도 브릿지 불량이 감소되는 효과가 있다. As described above, according to the present invention, the conductive structure used as the wiring of the semiconductor device has a configuration in which the width of the conductive pattern is partially reduced only in a portion where bridge failure is likely to occur by a subsequent process. Therefore, the conductive structure has a stable stacking effect while reducing the bridge failure.

상술한 바와 같이, 본 발명의 바람직한 실시예를 참조하여 설명하였지만 해당 기술 분야의 숙련된 당업자라면 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다. As described above, although described with reference to a preferred embodiment of the present invention, those skilled in the art will be variously modified without departing from the spirit and scope of the invention described in the claims below. And can be changed.

도 1a 내지 도 1c는 종래의 셀프 얼라인 콘택을 형성하는 방법을 설명하기 위한 단면도들이다. 1A to 1C are cross-sectional views illustrating a method of forming a conventional self-aligned contact.

도 2는 본 발명의 제1 실시예를 설명하기 위한 DRAM 셀의 평면도이다. 2 is a plan view of a DRAM cell for explaining the first embodiment of the present invention.

도 3a 내지 도 3h는 본 발명의 일 실시예에 따른 DRAM 장치의 배선 형성 방법을 설명하기 위한 단면도들이다.3A to 3H are cross-sectional views illustrating a wiring forming method of a DRAM device according to example embodiments.

도 4a 내지 도 4e는 본 발명의 제2 실시예에 따른 반도체 장치의 배선 형성 방법을 설명하기 위한 단면도들이다. 4A to 4E are cross-sectional views illustrating a wiring forming method of a semiconductor device in accordance with a second embodiment of the present invention.

도 5a 내지 도 5h는 본 발명의 일 실시예에 따른 반도체 장치의 배선 형성 방법을 설명하기 위한 단면도들이다.5A through 5H are cross-sectional views illustrating a method of forming wirings in a semiconductor device in accordance with an embodiment of the present invention.

<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for the main parts of the drawings>

100 : 반도체 기판 106 : 층간 절연층100 semiconductor substrate 106 interlayer insulating layer

108 : 패드 전극 110 : 제1 절연층108: pad electrode 110: first insulating layer

119, 314 : 비트 라인 120, 316 : 비트 라인 구조물119, 314: bit line 120, 316: bit line structure

122 : 질화막 스페이서 124, 202, 310 : 제2 절연층 122: nitride film spacers 124, 202, 310: second insulating layer

126, 204, 312 : 스토로지 노드 콘택홀 206, 318 : 절연막 스페이서 126, 204, 312: storage node contact hole 206, 318: insulating film spacer

Claims (11)

삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 반도체 기판 상에 도전성 패턴 및 하드 마스크 패턴이 적층된 도전성 구조물들을 형성하는 단계;Forming conductive structures having a conductive pattern and a hard mask pattern stacked on the semiconductor substrate; 상기 도전성 구조물들을 매몰하도록 절연층을 형성하는 단계; Forming an insulating layer to bury the conductive structures; 상기 도전성 구조물 사이의 절연층을 식각하여 측면에 상기 도전성 패턴 및 하드 마스크 패턴의 일부가 노출되는 콘택홀을 형성하는 단계; Etching the insulating layer between the conductive structures to form a contact hole on a side surface of the conductive pattern and a part of the hard mask pattern; 상기 노출된 도전성 패턴보다 상기 하드 마스크 패턴이 더 돌출되도록 상기 노출된 도전성 패턴을 선택적으로 등방성 식각하는 단계; Selectively isotropically etching the exposed conductive pattern such that the hard mask pattern protrudes more than the exposed conductive pattern; 상기 콘택홀의 측면에 절연막 스페이서를 형성하는 단계를 수행하는 것을 특징으로 하는 배선 형성 방법. And forming an insulating film spacer on a side surface of the contact hole. 제9항에 있어서, 상기 도전성 패턴은 텅스텐 물질로 형성하는 것을 특징으로 하는 배선 형성 방법. The method of claim 9, wherein the conductive pattern is formed of a tungsten material. 제10항에 있어서, 상기 텅스텐 물질은 H2O2를 포함하는 케미컬에 의해 등방성으로 식각하는 것을 특징으로 하는 배선 형성 방법.The method of claim 10, wherein the tungsten material is isotropically etched by a chemical including H 2 O 2 .
KR10-2002-0084215A 2002-12-26 2002-12-26 Method for manufacturing electric wiring of semiconductor device KR100475456B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR10-2002-0084215A KR100475456B1 (en) 2002-12-26 2002-12-26 Method for manufacturing electric wiring of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2002-0084215A KR100475456B1 (en) 2002-12-26 2002-12-26 Method for manufacturing electric wiring of semiconductor device

Publications (2)

Publication Number Publication Date
KR20040057467A KR20040057467A (en) 2004-07-02
KR100475456B1 true KR100475456B1 (en) 2005-03-10

Family

ID=37350045

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2002-0084215A KR100475456B1 (en) 2002-12-26 2002-12-26 Method for manufacturing electric wiring of semiconductor device

Country Status (1)

Country Link
KR (1) KR100475456B1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100714287B1 (en) * 2005-02-25 2007-05-02 주식회사 하이닉스반도체 Method for forming a pattern of semiconductor device

Also Published As

Publication number Publication date
KR20040057467A (en) 2004-07-02

Similar Documents

Publication Publication Date Title
KR100467023B1 (en) Self-aligned contact structure and method for fabricating the same
KR100414220B1 (en) Semiconductor device having shared contact and fabrication method thereof
KR100431656B1 (en) Method of manufacturing semiconductor device
US7335589B2 (en) Method of forming contact via through multiple layers of dielectric material
KR100299594B1 (en) Manufacturing method of DRAM device
KR100355236B1 (en) Method for forming self aligned contact and method for semiconductor device using it
US6197670B1 (en) Method for forming self-aligned contact
JP3114931B2 (en) Semiconductor device having conductor plug and method of manufacturing the same
KR100268443B1 (en) Method for forming self-aligned contact of semiconductor device
KR100268447B1 (en) Capacitor and method of fabricating same
US6156636A (en) Method of manufacturing a semiconductor device having self-aligned contact holes
KR100699915B1 (en) Semiconductor device and method for manufacturing the same
KR100475456B1 (en) Method for manufacturing electric wiring of semiconductor device
KR100346843B1 (en) Method of forming interlayer dielectric film and method of manufacturing semiconductor device
KR19980068806A (en) Method for forming self-aligned contacts in memory devices
JP3353732B2 (en) Method for manufacturing semiconductor device
KR20010109370A (en) Method for forming self aligned contact hole
KR20010110006A (en) Fabrication method of non-volatile memory device
KR100712493B1 (en) Semiconductor device and method for manufacturing the same
KR20020030567A (en) Method for fabricating semiconductor device using self-aligned contact process
JPH11214497A (en) Trench structure for isolating elements of semiconductor device
KR20020039096A (en) Method for forming self aligned contact
KR20080001161A (en) Semiconductor device and method for manufacturing the same
KR20020015167A (en) Method for foming self aligned contact of semiconductor device
KR20030037573A (en) Gate Pattern Of Non-Volatile Memory Device And Method Of Forming The Same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee