KR100399935B1 - Method for manufacturing semiconductor device - Google Patents

Method for manufacturing semiconductor device Download PDF

Info

Publication number
KR100399935B1
KR100399935B1 KR1019960024681A KR19960024681A KR100399935B1 KR 100399935 B1 KR100399935 B1 KR 100399935B1 KR 1019960024681 A KR1019960024681 A KR 1019960024681A KR 19960024681 A KR19960024681 A KR 19960024681A KR 100399935 B1 KR100399935 B1 KR 100399935B1
Authority
KR
South Korea
Prior art keywords
conductive layer
insulating layer
etching
layer
forming
Prior art date
Application number
KR1019960024681A
Other languages
Korean (ko)
Inventor
이병석
이해정
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1019960024681A priority Critical patent/KR100399935B1/en
Application granted granted Critical
Publication of KR100399935B1 publication Critical patent/KR100399935B1/en

Links

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

PURPOSE: A method for manufacturing a semiconductor device is provided to be capable of obtaining sufficient contact space margin. CONSTITUTION: The first insulating layer(43) and a conductive layer are sequentially formed on a silicon substrate(41). The conductive layer is partially etched by isotropic etching and entirely etched by anisotropic etching, thereby forming a bit line conductive pattern(44a) of polygon structure. The second insulating layer(46) is then formed on the resultant structure. A contact hole is then formed by selectively etching the second and first insulating layer.

Description

반도체 장치 제조 방법Semiconductor device manufacturing method

본 발명은 반도체 장치의 제조 공정중, 스토리지 노드의 콘택홀 또는 비트라인 콘택홀 형성시 스페이스 마진(Sspace Margin)을 확보하기 위한 방법에 관한 것이다.The present invention relates to a method for securing a space margin when forming a contact hole or a bit line contact hole of a storage node during a manufacturing process of a semiconductor device.

반도체 장치의 고집적화로 인하여 칩(Chip)내의 패턴간의 스페이스가 점점 줄어 들고 있다.Due to the high integration of semiconductor devices, the space between patterns in the chip is gradually decreasing.

따라서, 64M급 이상의 다이나믹 램(DRAM)장치에서는 콘택홀 형성시, 이러한 스페이스 마진을 확보하기 위하여, 현재 SOSCON(Sidewall Oxide Spacer Contact) 또는 경사(Taper) 식각 공정이 사용되고 있으나, SONCON은 공정이 복잡하고, 콘택홀 식각시 접합층이 플라즈마에 두 번 노출됨으로 인해 접합층 손상이 증가하는 문제점이 있다. 그리고 경사 식각 공정도 디자인상의 스페이서 마진 감소로 인한 두 전도체 사이의 단락(Short) 문제를 완전히 해결하지는 못하고 있다. 또한 자기정렬 콘택(Self-Aligned Contact)이 현재 고려 되고 있으나, 공정 과정이 복잡하여 양산이 어려운 실정이다.Therefore, SOSCON (Sidewall Oxide Spacer Contact) or Tapered etching process is currently used to secure such space margin when forming contact holes in dynamic RAM (DRAM) devices of more than 64M class. When the contact hole is etched, the bonding layer is exposed to the plasma twice, thereby increasing the damage of the bonding layer. Inclined etching also does not completely solve the short-circuit problem between the two conductors due to reduced spacer margins in the design. In addition, self-aligned contacts are currently being considered, but the production process is difficult due to the complicated process.

종래의 일반적인 소자 제조 과정중 폴리실리콘과 콘택홀 형성 과정 및 그 문제점을 첨부한 도면 제 1A 도 내지 제 1B 도와, 제 2A 도 내지 제 2C 도 및 제 3A 도와 내지 제 3B 도를 통하여 살펴보기로 한다.Polysilicon and a contact hole forming process and problems thereof in a conventional device manufacturing process will be described with reference to FIGS. 1A through 1B, 2A through 2C, and 3A through 3B. .

먼저, 제 1A 도와 제 1B 도에서, 제 1A도는 실리콘기판(1)상에 소자 분리막(2)과 게이트 전극(도시 않됨)을 형성한 다음, 층간산화막(3)증착 및 비트라인 콘택홀(도시 않됨)을 형성하고, 그 위에 비트라인 전도막(폴리실리콘과 텅스텐 실리사이드막을 사용함)을 증착한 후, 비트라인 마스크 패턴(도시 않됨)을 형성하여 이 마스크 패턴을 이용, 비트라인(4)을 형성한 다음, 마스크 패턴을 제거 한후, 다시 층간산화막(6)을 증착하고, 그 위에 스토리지 노드 콘택 마스크 패턴(7)을 형성한 상태의 단면도이다.First, in FIGS. 1A and 1B, FIG. 1A shows a device isolation film 2 and a gate electrode (not shown) formed on the silicon substrate 1, followed by deposition of an interlayer oxide film 3 and a bit line contact hole (not shown). And a bit line conductive film (using polysilicon and tungsten silicide film) are deposited thereon, and then a bit line mask pattern (not shown) is formed to form the bit line 4 using the mask pattern. After the mask pattern is removed, the interlayer oxide film 6 is deposited again, and the storage node contact mask pattern 7 is formed thereon.

이어서, 제 1B 도는 상기 콘택 마스크 패턴(7)을 식각 마스크로하여 상기 산화막(3,6)을 비등방성 식각 한후, 상기 콘택 마스크 패턴(7)을 제거한 상태의 단면도이다. 이 경우 도면의 " A" 와 같이 스페이스 마진이 부족하여 비트라인이 노출되어 후속공정의 스토리지 노드 전도막 증착시 단락이 발생되는 문제점이 있다.1B is a cross-sectional view of the contact mask pattern 7 removed after anisotropically etching the oxide films 3 and 6 using the contact mask pattern 7 as an etching mask. In this case, there is a problem in that a short space occurs when depositing a storage node conductive film in a subsequent process because the bit line is exposed due to insufficient space margin as shown in "A" of the figure.

제 2A 도 내지 제 2C 도는 종래의 SOSCON공정을 도시한 것으로, 제 2A 도는 제 1A 도의 공정과 동일 하므로 설명을 생략한다. 제 2B 도는 제 1B 도에서 설명한 공정을 진행한 후에 전체구조 상부에 산화막(8)을 증착한 상태의 단면도이다.2A to 2C show a conventional SOSCON process, and since FIG. 2A is the same as the process of FIG. 1A, description thereof will be omitted. FIG. 2B is a cross-sectional view of the oxide film 8 deposited on the entire structure after the process described in FIG.

제 2C 도는 건식 식각 방법으로 마스크 없이 식각하여 콘택홀내의 측벽에 산화막 스페이스(8)을 형성한 상태의 단면도이다. 이 경우, 제 1 도에서 설명한 스페이서 마진 문제는 해결 되지만, 공정이 복잡하고, 콘택홀 형성을 위한 층간산화막의 건식식각과 스페이서 산화막을 형성하기 위한 전면식각시 접합층이 식각 플라즈마에 두 번 노출됨으로, 도면의 " B" 와 같이 접합층의 손상이 발생하는 문제가 심화된다. 이는 DRAM의 리프레쉬(Refresh)특성이 악화 되는 문제점이 존재한다.FIG. 2C is a cross-sectional view of the oxide film space 8 formed on the sidewall of the contact hole by etching without a mask by a dry etching method. In this case, the spacer margin problem described in FIG. 1 is solved, but the process is complicated, and the bonding layer is exposed to the etching plasma twice during dry etching of the interlayer oxide layer for forming contact holes and front etching for forming the spacer oxide layer. The problem that damage to a bonding layer occurs like "B" of a figure becomes worse. This has a problem in that the refresh characteristics of DRAM are deteriorated.

제 3A 도 내지 제 3B 도는 종래의 경사 식각 공정을 도시한 것으로, 제 3A 도는 제 1A 도 및 제 2A 도의 공정과 동일 하므로 설명을 생략한다.3A to 3B illustrate a conventional etch process, and since FIG. 3A is the same as the process of FIGS. 1A and 2A, the description thereof will be omitted.

제 3B 도는 상기 콘택 마스크 패턴(7)을 식각 마스크로 하여, 상기 층간산화막(3,6)을 경사 식각한 상태를 나타내는 단면도로서, 여기서도 마찬가지로 도면의 " C" 와 같이 스페이스 마진 문제가 존재함을 알 수 있다.FIG. 3B is a cross-sectional view illustrating a state in which the interlayer oxide films 3 and 6 are inclinedly etched using the contact mask pattern 7 as an etch mask, and a space margin problem is present as shown in FIG. Able to know.

본 발명은 고집적 소자의 콘택 스페이스 마진을 확보하여 소자의 불량 및 특성저하를 방지하는 반도체 장치 제조 방법을 제공함을 그 목적으로 한다.SUMMARY OF THE INVENTION An object of the present invention is to provide a method for manufacturing a semiconductor device which secures contact space margin of a highly integrated device and prevents defects and deterioration of the device.

상기 목적을 달성하기 위하여 본 발명은 제1 전도층 상에 제1 절연층과 제2 전도층을 차례로 형성하는 단계; 상기 제2 전도층 상에 상기 제2 전도층을 패터닝하기 위한 마스크 패턴을 형성하는 단계; 상기 마스크 패턴을 식각장벽으로 상기 제2 전도층의 소정두께를 등방성 식각하고 잔류두께를 비등방성 식각하여 상부 모서리가 잘린 다각형의 제2 전도층 패턴을 형성하는 단계; 상기 마스크 패턴을 제거하는 단계; 전체구조 상부에 제2 절연층을 형성하는 단계; 및 상기 제2 절연층과 상기 제1 절연층을 선택적으로 식각하여 상기 제1 전도층의 소정부위가 노출되는 콘택홀을 형성하는 단계를 포함하는 것을 특징으로 한다.In order to achieve the above object, the present invention comprises the steps of sequentially forming a first insulating layer and a second conductive layer on the first conductive layer; Forming a mask pattern for patterning the second conductive layer on the second conductive layer; Isotropically etching a predetermined thickness of the second conductive layer using the mask pattern as an etch barrier, and anisotropically etching the remaining thickness to form a polygonal second conductive layer pattern having an upper edge cut off; Removing the mask pattern; Forming a second insulating layer on the entire structure; And selectively etching the second insulating layer and the first insulating layer to form a contact hole through which a predetermined portion of the first conductive layer is exposed.

또한 본 발명은 제1 전도층 상에 제1 절연층과 제2 전도층을 차례로 형성하는 단계; 상기 제2 전도층 상에 상기 제2 전도층을 패터닝하기 위한 마스크 패턴을 형성하는 단계; 상기 마스크 패턴을 식각장벽으로 상기 제2전도층을 식각하되 소정의 식각선택비에 의해 상기 마스크 물질이 침식되면서 상기 제2전도층이 식각되도록하여 상기 제2 전도층의 상부 모서리가 잘린 다각형의 제2 전도층 패턴을 형성하는 단계; 상기 마스크 패턴을 제거하는 단계; 전체구조 상부에 제2 절연층을 형성하는 단계; 및 상기 제2 절연층과 상기 제1 절연층을 선택적으로 식각하여 상기제1 전도층의 소정부위가 노출되는 콘택홀을 형성하는 단계를 포함하는 것을 특징으로 한다.In addition, the present invention comprises the steps of sequentially forming a first insulating layer and a second conductive layer on the first conductive layer; Forming a mask pattern for patterning the second conductive layer on the second conductive layer; The second conductive layer is etched using the mask pattern as an etch barrier, and the second conductive layer is etched while the mask material is eroded by a predetermined etch selectivity, so that the top edge of the second conductive layer is cut off. Forming a conductive layer pattern; Removing the mask pattern; Forming a second insulating layer on the entire structure; And selectively etching the second insulating layer and the first insulating layer to form a contact hole through which a predetermined portion of the first conductive layer is exposed.

이하, 첨부된 도면 제 4A 도 내지 제 4E 도 및 제 5A 도 내지 제 5E 도를 참조하여 본 발명의 실시예를 상세히 설명한다.Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings 4A to 4E and 5A to 5E.

제 4A 도 내지 제 4E 도는 비트라인 전도막 패턴 형성시 비트라인 마스크인 포토레지스트를 침식시켜 다각형 모양으로 전극을 형성하는 방법이다.4A to 4E illustrate a method of forming an electrode in a polygonal shape by eroding a photoresist as a bit line mask when forming a bit line conductive layer pattern.

먼저 제 4A 도와 같이 종래기술과 동일하게 실리콘기판(41) 상에 소자분리막(42)과 게이트 전극(도시 않됨)을 형성한 다음, 층간산화막(43)의 증착 및 비트라인 콘택홀(도시 않됨)을 형성하고, 그 위에 비트라인 전도막(44)을 증착한 후, 비트라인 마스크 패턴인 포토레지스트 패턴(45)을 형성한다.First, as shown in FIG. 4A, the device isolation layer 42 and the gate electrode (not shown) are formed on the silicon substrate 41 in the same manner as in the prior art. Then, the deposition of the interlayer oxide layer 43 and the bit line contact hole (not shown) are performed. And a bit line conductive film 44 are deposited thereon, and then a photoresist pattern 45 that is a bit line mask pattern is formed.

이어서, 제 4B 도는 상기 포토레지스트 패턴(45)을 식각 마스크로하여 비트라인 전도막(44)을 식각하되, 포토레지스트와의 식각 선택비를 1~2 : 1로하여 식각한 상태를 나타내는 단면도로서, 식각후의 포토레지스트 패턴(45a)이 침식되어, 비트라인 전도막 패턴(44a)이 다각형 모양으로 형성 되었음을 보여준다.4B is a cross-sectional view showing a state in which the bit line conductive film 44 is etched using the photoresist pattern 45 as an etch mask and etched with an etch selectivity ratio of 1 to 2: 1 with the photoresist. After etching, the photoresist pattern 45a is eroded to show that the bit line conductive layer pattern 44a has a polygonal shape.

이어서, 제 4C 도와 같이 식각후 잔류하는 포토레지스트 패턴(45a)을 제거한다.Subsequently, as shown in FIG. 4C, the photoresist pattern 45a remaining after etching is removed.

이어서, 제 4D 도와 같이 층간산화막(46)을 증착하고, 그 위에 스토리지 노드 콘태 마스크 패턴(47)을 형성한다.Subsequently, an interlayer oxide film 46 is deposited as shown in the 4D diagram, and a storage node context mask pattern 47 is formed thereon.

이어서, 제 4E 도는 상기 콘택 마스크 패턴(47)을 식각 마스크로하여 층간산화막(43,46)을 경사석각한 후, 상기 콘택 마스크 패턴(47)을 제거한 상태의 단면도로서, 도면부호 " D" 와 같이 비트라인 전극 패턴(44a) 측벽의 스페이스 마진이 충분하여, 후속 공정의 스토리지 노드 전도막 증착시 단락 문제가 존재하지 않음을 알수 있다.4E is a cross sectional view showing the contact mask pattern 47 being removed after the interlayer oxide films 43 and 46 are inclinedly etched using the contact mask pattern 47 as an etch mask. Likewise, since the space margin of the sidewall of the bit line electrode pattern 44a is sufficient, it can be seen that a short circuit problem does not exist during deposition of the storage node conductive layer in a subsequent process.

제 5A 도 내지 제 5E 도는 본 발명의 다른 실시예로써, 비트라인 전도막 패턴 행성시 SF6를 첨가한 가스 식각제를 사용하여 일부 전도막을 등방성 식각 한 다음, 다시 비등방성 식각을 하여 전도막 패턴을 다각형 모양으로 형성하는 방법이다.5A to 5E illustrate another embodiment of the present invention, in which the conductive film pattern is anisotropically etched using a gas etchant added with SF 6 and then anisotropically etched after the bit line conductive film pattern is formed. To form a polygon shape.

먼저 제 5A 도는 종래기술과 동일하게 실리콘기판(51) 상에 소자분리막(52)과 게이트 전극(도시 않됨)을 형성한 다음, 층간산화막(53)의 증착 및 비트라인 콘택홀(도시 않됨)을 형성하고, 그 위에 비트라인 전도막(54)을 증착한 후, 비트라인 마스크 패턴인 포토레지스트 패턴(55)을 형성한 상태이다.First, as shown in FIG. 5A, the device isolation layer 52 and the gate electrode (not shown) are formed on the silicon substrate 51 as in the prior art. Then, the deposition of the interlayer oxide layer 53 and the bit line contact hole (not shown) are performed. After forming and depositing the bit line conductive film 54 thereon, the photoresist pattern 55 which is a bit line mask pattern is formed.

이어서, 제 5B 도는 포토레지스트 패턴(55)을 식각 마스크로하여 비트라인 전도막(54)을 식각하되, SF6개스를 첨가한 식각 가스로 비트라인 전도막(54)의 일부 두께만을 등방성 식각한 다음, 잔류두께를 비등방성 식각한 상태로서, 도면에 도시된 바와같이 마스크 패턴인 포토레지스트패턴(55) 아래의 일부 비트라인 전도막 패턴(54a)이 등방성 식각이 되어, 전체적으로 다각형 모양이 형성 되었음을 보여준다.Subsequently, the bit line conductive film 54 is etched using the photoresist pattern 55 as an etching mask, and only a part of the thickness of the bit line conductive film 54 is isotropically etched using an etching gas containing SF 6 gas. Next, as the residual thickness is anisotropically etched, as shown in the drawing, some of the bit line conductive film patterns 54a under the photoresist pattern 55, which is a mask pattern, are isotropically etched to form a polygonal shape as a whole. Shows.

여기서 LRC사의 TCP(transformer coupled plaslma) 장비의 경우, 상기 비트라인 전도막의 등방성 식각 조건은 5~500mT, 100~1000W 탑 파워(Top Power), 30~500W 바텀 파워(Bottom Power, 10~150sccm C12, 5~100sccm N2, 5~ 100sccm SF6, 2~5cm 전극간격(Gap), 2~ 15Torr He 후면압력(Back Side Pressure)이며, 비등방성 식각 조건은 5~ 500mT, 100~1000W 탑 파워(Top Power), 30~500W 바텀 파워(Bottom Power), 10~l50sccm C12, 3~50sccm 02, 2~5cm 전극간격(Gap), 2~ 15Torr He 후면압력(Back Side Pressure)이다.In the case of LRC's TCP (transformer coupled plaslma) equipment, the isotropic etching conditions of the bit line conductive film are 5 ~ 500mT, 100 ~ 1000W Top Power, 30 ~ 500W Bottom Power (10 ~ 150sccm C12, 5 ~ 100sccm N2, 5 ~ 100sccm SF 6 , 2 ~ 5cm Electrode Gap, 2 ~ 15 Torr He Back Side Pressure, anisotropic etching condition is 5 ~ 500mT, 100 ~ 1000W Top Power ), 30 ~ 500W Bottom Power, 10 ~ l50sccm C12, 3 ~ 50sccm 02, 2 ~ 5cm Electrode Gap, 2 ~ 15Torr He Back Side Pressure.

이어서, 제 5C 도와 같이 포토레지스트 패턴(55)을 제거한다.Subsequently, the photoresist pattern 55 is removed as in FIG. 5C.

계속해서, 제 5D 도와 같이 층간산화막(56)을 증착하고, 그 위에 스토리지 노드 콘택 마스크 패턴(57)을 형성한다.Subsequently, an interlayer oxide film 56 is deposited as shown in the 5D diagram, and a storage node contact mask pattern 57 is formed thereon.

이어서, 제 5E 도는 상기 콘택 마스크 패턴(57)을 식각 마스크로하여 상기 층간산화막(53,56)을 경사 식각한 후, 상기 콘택 마스크 패턴(57)을 제거한 상태의 단면도로서, 도면부호 " E"와 같이 비트라인 측벽의 스페이서 마진이 충분하여, 후속 공정의 스토리지 전도막 증착시 단락 문제가 존재하지않음 알수 있다.Next, FIG. 5E is a cross-sectional view of the interlayer oxide layers 53 and 56 inclinedly etched using the contact mask pattern 57 as an etch mask, and then removing the contact mask pattern 57, denoted by reference numeral “E”. As shown in FIG. 5, the spacer margin of the bit line sidewall is sufficient, so that a short circuit problem does not exist during deposition of the storage conductive layer in a subsequent process.

본 발명의 일실시예에서는 스토리지 노드의 콘택 공정을 예로들어 설명하였으나, DRAM의 비트라인 콘택과 그 밖의 SRAM 등과 같은 반도체 장치에서의 모든 콘택 공정에 적용할 수 있다.In the exemplary embodiment of the present invention, the contact process of the storage node has been described as an example. However, the present invention may be applied to all contact processes in semiconductor devices such as DRAM bit line contacts and other SRAMs.

상기한 바와 같이 본 발명은 콘택공정시, 반도체 장치가 고집적화 되어가면서 발생하는 타전도막과의 단락 문제을 하부 공정에서 미연에 방지하도록 하는 것으로, 소자의 특성 향상 불량 방지 및 소자의 고집적화를 앞당기는 효과가 있다.As described above, the present invention is to prevent the short-circuit with the other conductive film that occurs when the semiconductor device is highly integrated during the contact process in the lower process, and thus prevents the improvement of the device's characteristics and accelerates the high integration of the device. have.

이상에서 설명한 본 발명은 전술한 실시예 및 첨부된 도면에 의해 한정되는것이 아니고, 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 여러가지 치환, 변형 및 변경이 가능함이 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자에게 있어 명백할 것이다.The present invention described above is not limited to the above-described embodiment and the accompanying drawings, and various substitutions, modifications, and changes are possible within the scope without departing from the technical spirit of the present invention. It will be apparent to those who have knowledge.

제 1A 도 내지 제 1B 도는 종래의 콘택홀 형성 공정도,1A to 1B is a conventional process of forming a contact hole,

제 2A 도 내지 제 2C 도는 종래의 다른 콘택홀 형성 공정도,2A to 2C is another conventional contact hole forming process diagram,

제 3A 도와 내지 제 3B 도는 종래의 또 다른 콘택홀 형성 공정도,3A through 3B or another conventional contact hole forming process diagram,

제 4A도 내지 제 4E 도는 본 발명의 일실시예에 따른 콘택홀 형성 공정도,4A through 4E are contact hole forming process diagrams according to one embodiment of the present invention;

제 5A 도 내지 제 5E 도는 본 발명의 다른 실시예에 따른 콘택홀 형성 공정도.5A to 5E are contact hole forming process diagrams according to another embodiment of the present invention.

* 도면의 주요부분에 대한 부호의 설명* Explanation of symbols for main parts of the drawings

41, 51 : 실리콘기판41, 51: silicon substrate

42, 52, : 소자분리막42, 52,: device isolation film

43, 53, 46, 56 : 층간산화막43, 53, 46, 56: interlayer oxide film

44, 54: 비트라인 전도막44, 54: bit line conductive film

45,55: 비트라인 마스크(포토레지스트 패턴)45,55 bit line mask (photoresist pattern)

44a, 54a: 비트라인 전도막 패턴44a, 54a: bit line conductive film pattern

45a, 55a: 포토레지스트 패턴45a, 55a: photoresist pattern

47: 스토리지 노드 콘태 마스크 패턴47: Storage Node Context Mask Pattern

Claims (12)

반도체 장치 제조 방법에 있어서,In the semiconductor device manufacturing method, 제1 전도층 상에 제1 절연층과 제2 전도층을 차례로 형성하는 단계;Sequentially forming a first insulating layer and a second conductive layer on the first conductive layer; 상기 제2 전도층 상에 상기 제2 전도층을 패터닝하기 위한 마스크 패턴을 형성하는 단계;Forming a mask pattern for patterning the second conductive layer on the second conductive layer; 상기 마스크 패턴을 식각장벽으로 상기 제2 전도층의 소정두께를 등방성 식각하고 잔류두께를 비등방성 식각하여 상부 모서리가 잘린 다각형의 제2 전도층 패턴을 형성하는 단계;Isotropically etching a predetermined thickness of the second conductive layer using the mask pattern as an etch barrier, and anisotropically etching the remaining thickness to form a polygonal second conductive layer pattern having an upper edge cut off; 상기 마스크 패턴을 제거하는 단계;Removing the mask pattern; 전체구조 상부에 제2 절연층을 형성하는 단계; 및Forming a second insulating layer on the entire structure; And 상기 제2 절연층과 상기 제1 절연층을 선택적으로 식각하여 상기 제1 전도층의 소정부위가 노출되는 콘택홀을 형성하는 단계를 포함하고,Selectively etching the second insulating layer and the first insulating layer to form a contact hole exposing a predetermined portion of the first conductive layer; 상기 제2 절연층과 상기 제1 절연층을 선택적으로 식각하여 콘택홀을 형성하는 단계는 상기 콘택홀의 하부로 갈수록 폭이 좁아지는 경사식각을 실시하는 것을 특징으로 하는 반도체 장치 제조 방법.And selectively etching the second insulating layer and the first insulating layer to form a contact hole, wherein the width of the second insulating layer and the first insulating layer is narrowed toward the lower portion of the contact hole. 제 1 항에 있어서,The method of claim 1, 상기 전도층 패턴을 형성하기 위한 등방성식각 및 비등방성 식각은 동일 장비내에서 건식식각으로 이루어지는 것을 특징으로 하는 반도체 장치 제조 방법.The isotropic etching and the anisotropic etching for forming the conductive layer pattern is a semiconductor device manufacturing method, characterized in that the dry etching in the same equipment. 제 2 항에 있어서,The method of claim 2, 상기 제2 전도층은 폴리실리콘층인 것을 특징으로 하는 반도체 장치 제조 방법.And the second conductive layer is a polysilicon layer. 제 3 항에 있어서,The method of claim 3, wherein 상기 폴리실리콘층의 등방성 건식 식각은 적어도 SF6가스가 혼합된 가스를 식각 소오스 가스로 사용하는 것을 특징으로 하는 반도체 장치 제조 방법.The isotropic dry etching of the polysilicon layer uses a gas containing at least SF 6 gas as an etching source gas. 제 2 항에 있어서,The method of claim 2, 상기 제1 전도층은 반도체 기판인 것을 특징으로 하는 반도체 장치 제조 방법.And said first conductive layer is a semiconductor substrate. 제 5 항에 있어서,The method of claim 5, 상기 제2 전도층 패턴은 다이나믹 램(DRAM)의 게이트 전극 또는 비트 라인인 것을 특징으로 하는 반도체 장치 제조 방법.And the second conductive layer pattern is a gate electrode or a bit line of a dynamic RAM. 반도체 장치 제조 방법에 있어서,In the semiconductor device manufacturing method, 제1 전도층 상에 제1 절연층과 제2 전도층을 차례로 형성하는 단계;Sequentially forming a first insulating layer and a second conductive layer on the first conductive layer; 상기 제2 전도층 상에 상기 제2 전도층을 패터닝하기 위한 마스크 패턴을 형성하는 단계;Forming a mask pattern for patterning the second conductive layer on the second conductive layer; 상기 마스크 패턴을 식각장벽으로 상기 제2 전도층을 식각하되 소정의 식각선택비에 의해 상기 마스크 물질이 침식되면서 상기 제2 전도층이 식각되도록하여 상기 제2 전도층의 상부 모서리가 잘린 다각형의 제2 전도층 패턴을 형성하는 단계;The second conductive layer is etched using the mask pattern as an etch barrier, and the second conductive layer is etched while the mask material is eroded by a predetermined etch selectivity, so that the upper edge of the second conductive layer is cut off. Forming a conductive layer pattern; 상기 마스크 패턴을 제거하는 단계;Removing the mask pattern; 전체구조 상부에 제2 절연층을 형성하는 단계; 및Forming a second insulating layer on the entire structure; And 상기 제2 절연층과 상기 제1 절연층을 선택적으로 식각하여 상기 제1 전도층의 소정부위가 노출되는 콘택홀을 형성하는 단계를 포함하여 이루어진 반도체 장치 제조 방법.Selectively etching the second insulating layer and the first insulating layer to form a contact hole exposing a predetermined portion of the first conductive layer. 제 7 항에 있어서,The method of claim 7, wherein 상기 제2 절연층과 상기 제1 절연층을 선택적으로 식각하여 콘택홀을 형성하는 단계는 상기 콘택홀의 하부로 갈수록 폭이 좁아지는 경사식각을 실시하는 것을 특징으로 하는 반도체 장치 제조 방법 .And selectively etching the second insulating layer and the first insulating layer to form a contact hole, wherein the width of the second insulating layer and the first insulating layer is narrowed toward the lower portion of the contact hole. 제 7 항 또는 제 8 항에 있어서,The method according to claim 7 or 8, 상기 제2 전도층 패턴을 형성하기 위한 식각은 상기 마스크 물질과 상기 제 2전도층 물질의 식각선택비가 1:1 내지 2:1인 식각 처방을 사용하는 것을 특징으로하는 반도체 장치 제조 방법.The etching method for forming the second conductive layer pattern is a semiconductor device manufacturing method, characterized in that using the etching prescription of the etching selectivity of the mask material and the second conductive layer material is 1: 1 to 2: 1. 제 9 항에 있어서,The method of claim 9, 상기 제2 전도층은 폴리실리콘층인 것을 특징으로 하는 반도체 장치 제조 방법.And the second conductive layer is a polysilicon layer. 제 10 항에 있어서,The method of claim 10, 상기 제1 전도층은 반도체 기판인 것을 특징으로 하는 반도체 장치 제조 방법.And said first conductive layer is a semiconductor substrate. 제 10 항에 있어서,The method of claim 10, 상기 제2 전도층 패턴은 다이나믹 램(DRAM)의 게이트 전극 또는 비트라인인 것을 특징으로 하는 반도체 장치 제조 방법.And the second conductive layer pattern is a gate electrode or a bit line of a dynamic RAM.
KR1019960024681A 1996-06-27 1996-06-27 Method for manufacturing semiconductor device KR100399935B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1019960024681A KR100399935B1 (en) 1996-06-27 1996-06-27 Method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019960024681A KR100399935B1 (en) 1996-06-27 1996-06-27 Method for manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
KR100399935B1 true KR100399935B1 (en) 2003-12-24

Family

ID=37422294

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019960024681A KR100399935B1 (en) 1996-06-27 1996-06-27 Method for manufacturing semiconductor device

Country Status (1)

Country Link
KR (1) KR100399935B1 (en)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR930024106A (en) * 1992-05-30 1993-12-21 김주용 Contact Forming Method of Semiconductor Device

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR930024106A (en) * 1992-05-30 1993-12-21 김주용 Contact Forming Method of Semiconductor Device

Similar Documents

Publication Publication Date Title
US6362073B2 (en) Method for forming semiconductor device having low parasite capacitance using air gap and self-aligned contact plug
US7687341B2 (en) Method for fabricating semiconductor device
US7842593B2 (en) Semiconductor device and method for fabricating the same
KR100512904B1 (en) Fabricating method for semiconductor device
KR20000042460A (en) Method for forming bit line contact of semiconductor device
KR100505443B1 (en) Method for fabricating semiconductor device
KR100399935B1 (en) Method for manufacturing semiconductor device
KR20040059981A (en) Method for fabrication of semiconductor device using ArF photo-lithography capable of protecting tapered profile of hardmask
KR100235960B1 (en) Method of forming conducting line in semiconductor device
KR100252901B1 (en) Method for manufacturing semiconductor device
KR100301427B1 (en) Method of etching semiconductor device provided with hard mask
KR100256798B1 (en) Forming method of self-align contact of semiconductor devices
KR20050116314A (en) Method for fabrication of deep contact hole in semiconductor device
KR20050002005A (en) Method for fabricating semiconductor device capable of forming storage node contact hole and insulating spacer of bit line
KR20050116665A (en) Method for fabricating semiconductor device
JPH10242275A (en) Manufacture of semiconductor device
KR20050116311A (en) Method for fabrication of semiconductor device
KR20070098341A (en) Method for fabircating the same of semiconductor device in contact hole of high aspect ratio
KR20020049346A (en) Method for Fabricating of Semiconductor Device
KR20020058436A (en) Method of forming contact hole in semiconductor device
KR20020037496A (en) method for forming bit line
KR20000039691A (en) Method of forming contact hole of semiconductor device
JPH07335749A (en) Manufacture of semiconductor device
KR20000004331A (en) Method of forming contact plug in semiconductor device
KR20020019286A (en) Contact wiring in semiconductor device and method for fabricating the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20100825

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee