JPS58200535A - Mask for x-ray lithography - Google Patents

Mask for x-ray lithography

Info

Publication number
JPS58200535A
JPS58200535A JP57083480A JP8348082A JPS58200535A JP S58200535 A JPS58200535 A JP S58200535A JP 57083480 A JP57083480 A JP 57083480A JP 8348082 A JP8348082 A JP 8348082A JP S58200535 A JPS58200535 A JP S58200535A
Authority
JP
Japan
Prior art keywords
mask
film
ray
polyimide
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP57083480A
Other languages
Japanese (ja)
Inventor
Hiroshi Gokan
後閑 博史
Koichi Okada
浩一 岡田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Nippon Electric Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp, Nippon Electric Co Ltd filed Critical NEC Corp
Priority to JP57083480A priority Critical patent/JPS58200535A/en
Publication of JPS58200535A publication Critical patent/JPS58200535A/en
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

PURPOSE:To obtain the easy mask for X-ray lithography in a manufacturing process by forming a carbon film onto an X-ray mask substrate. CONSTITUTION:A SiN film 2 is formed onto a Si wafer 1. The carbon film 9 as a plating base is E gun-evaporated onto the SiN film. A polyimide film 4 is applied, and cured. An electron-beam drawing resist is applied, and resist patterns 5 are formed through exposure by electron beams. Ti 6 Is evaporated onto the whole surface in order to form an intermediate mask for etching polyimide. The resist is removed through a lift-off process, and a Ti mask is formed. Polyimide is etched while using Ti as a mask through an oxygen ion-beam etching. An X- ray absorber pattern made of Au is formed. A polyimide pattern is removed, the back of the Si wafer is etched lastly, and the X-ray mask is completed. The carbon film remains on the substrate, but the remaining can be ignored on practical use because the transmittance of the carbon film is higher than that of an Au film.

Description

【発明の詳細な説明】 本発明は1μm以下の微細パターンの転写に威力を発揮
するXIsリングラフィにおけるマスクの構造に関する
ものである。
DETAILED DESCRIPTION OF THE INVENTION The present invention relates to a mask structure in XIs phosphorography, which is effective in transferring fine patterns of 1 μm or less.

X線リングラフィ技術の開発において、実用的で十分な
マスクコンテストを持ったX線マスクの作製技術を確立
することは、一つの重要な課題であることは慮をまたな
い。4〜13人の波長の軟X*が、通常よく用いられる
が、この波長領域で十分な゛コントラストを得るために
は一〜8000^以上の膜厚を有するAnのX1s吸収
体パターンを形成することが必要である。しかもX線リ
ングラフィの特長を生かすためには、この吸収体パター
ンの線内はサブミクロンオーダーであることが要望され
てい6゜ 第1図は従来性われてきた代表的なAu吸収体パターン
の形成プρセスを示したものである。例えば1980都
に発行され九刊行物ジャパニーズ・ジャーナル・オプ・
アプライド・フィツクス(Jpn・J@APpH1Ph
yse)、第19巻、第11号、 2311〜2312
頁に同様の図が載りている。(1)(100)面方位の
Stウェハー1上に、減圧CVD法によってSiN膜2
を形成する。メッキペースとなるTi/Au膜< 5o
i7xooi厚) 3 (’ri膜はAu膜形成のため
の接着強化層として必要である)、厚膜のポリイミド膜
(1,2ム貝厚)4、電子ビームレジストを順次形成す
る。電子線露光によって、必要なレジストパターン5が
得られる。(2)ポリイミド膜をエノチングするための
中間マスクを形成するために、Ti6を蒸着する。リフ
トオフプロセスによって、レジストを除去し、Tiマス
クを形成する。(3)RF反応性スパッタエツチングに
よ−57て、Tlをマスクとして、ポリイミドパターン
7を形成する。(4−のX線吸収体パターン8を、電気
メツキ法によって形成する。(5)ポリイミドパターン
を除去し、吸収体パターンの無いところのメッキベース
であるAtaをRFスパッタエツチングで除去し、最後
にStウェハーの裏面をKOH溶液によってエツチング
して、X線マスクが完成する。
In the development of X-ray phosphorography technology, it goes without saying that establishing a technique for producing an X-ray mask that is practical and has sufficient mask competition is an important issue. Soft X* with a wavelength of 4 to 13 nm is usually used, but in order to obtain sufficient contrast in this wavelength range, an X1s absorber pattern of An having a film thickness of 1 to 8000 nm or more is formed. It is necessary. Moreover, in order to take advantage of the features of X-ray phosphorography, it is required that the inside lines of this absorber pattern be on the submicron order. This figure shows the formation process. For example, in 1980, nine publications were published in Japan, including the Japanese Journal of Japan.
Applied Fixtures (Jpn・J@APpH1Ph
yse), Volume 19, No. 11, 2311-2312
There is a similar diagram on the page. (1) A SiN film 2 is deposited on the (100)-oriented St wafer 1 by low-pressure CVD.
form. Ti/Au film as plating paste < 5o
A thick polyimide film (1.2 mm thick) 3 (the 'ri film is necessary as an adhesion reinforcing layer for forming the Au film), a thick polyimide film (1.2 mm thick) 4, and an electron beam resist are sequentially formed. A necessary resist pattern 5 is obtained by electron beam exposure. (2) Deposit Ti6 to form an intermediate mask for etching the polyimide film. A lift-off process removes the resist and forms a Ti mask. (3) Polyimide pattern 7 is formed by RF reactive sputter etching using Tl as a mask. (The X-ray absorber pattern 8 of 4- is formed by electroplating. (5) The polyimide pattern is removed, and the plating base Ata where there is no absorber pattern is removed by RF sputter etching. Finally, The back side of the St wafer is etched with a KOH solution to complete the X-ray mask.

しかしながらこのような従来技術のマスク製造プロセス
には以下0°問題があることが、明らかになってきた。
However, it has become clear that such conventional mask manufacturing processes have the following 0° problem.

通常、前記(3)の工程のポリイミドのエツチング工程
ではエツチングガスに酸素を用いたU反応性スパッタエ
ツチングが用いられる。が、この工程では、ボリイミ;
鼾下層のムu1sをエツチングしないことが必要とされ
ている。この工程でAu膜をエツチングすると、基板へ
の接着層としてのTi膜が露出し、しかもその表面が酸
化されてしまう。この丸め前記(4)の工程で、Auの
X線吸、状体/くターンを電気メッキすることができな
(なる。メッキベースとしてのAu膜をあらかじめもつ
と厚くしておけば着千のAuをエツチングしてtAu膜
が残存するので、メッキできな(なるということは無く
なるが、ムUのエツチング量が多(なるにつれ、エツチ
ングされたムnがポリイミドパターンの側壁にデボジシ
、ンする効果が顕著になる。このため前記(4)のAn
のメッキ工程で、AuのX*吸収体パターンの異常メッ
キが起りやはり良好なAuの吸収体パターンを得ること
ができない。
Usually, in the polyimide etching step of step (3) above, U-reactive sputter etching using oxygen as an etching gas is used. However, in this process, boliimi;
It is necessary not to etch the mucus of the subsnoring layer. If the Au film is etched in this step, the Ti film, which serves as an adhesive layer to the substrate, will be exposed and its surface will be oxidized. In this rounding step (4), it is not possible to electroplate the shape/turn due to the X-ray absorption of Au. Since the tAu film remains after etching the Au, plating is no longer possible, but as the amount of tAu film etched increases, the etched tAu film is deposited onto the sidewalls of the polyimide pattern. becomes remarkable.For this reason, An of (4) above
In the plating process, abnormal plating of the Au X* absorber pattern occurs, making it impossible to obtain a good Au absorber pattern.

他の解決法はポリイミドとAuのエツチング速度比を大
きくすることである。エツチング時の酸素ラジカル濃度
を多(すれば、速度比を大きくすることができるが、エ
ツチングが等方的に進行する割合が大きくなり、垂直壁
を有するポリイミド/くターンを形成するこ、、とが困
難となる。酸素ラジカル濃度を増すのでなく、イオンの
加速エネルギーを小さくしても、速度比を大きくするこ
とができる。しかしながら現状では、100eV機度以
下の加速エネルギーで、十分な強度のイオン電tM、v
M度(たとえば1 mA/ctA )  を有し、かつ
入射方向のそろった酸素イオンビームを得ることが困難
なため、やはり実用的な意味で問題紮有して−・る。
Another solution is to increase the etching rate ratio of polyimide and Au. If the concentration of oxygen radicals is increased during etching, the speed ratio can be increased, but the rate of etching progressing isotropically increases, resulting in the formation of polyimide/cutters with vertical walls. It becomes difficult to increase the velocity ratio by reducing the ion acceleration energy instead of increasing the oxygen radical concentration.However, at present, the acceleration energy of 100 eV or less is sufficient to increase the ion intensity. electric tM,v
Since it is difficult to obtain an oxygen ion beam having a magnitude of M (for example, 1 mA/ctA) and having a uniform incident direction, this still poses a problem in a practical sense.

従来技術のもう一つの欠点は前記(5)の工程で、’l
’ i /A uのメッキベースを除去しな(てはなら
な(・ことである。通常アルゴンガスを用い九UスIく
ツタエツチングやイオンビームエツチング等により、T
i/Auをエツチングしているが、このと自ムu (D
 X線吸収体パターンも同時にエツチングされるので。
Another drawback of the prior art is the step (5) above.
The plating base of the i/A u must be removed.Usually, the T
I am etching i/Au, but this and my u (D
The X-ray absorber pattern is also etched at the same time.

AuのX*a収体状体厚が減少すること、またムUのX
ta収体状体−ンの端部が斜めに削られることのために
メッキされたAuのxm吸収体](ターン形状が損われ
てしまうという問題を有して(・る。
The thickness of the X*a body of Au decreases, and the
The plated Au xm absorber has a problem in that the turn shape is damaged because the end of the ta-acceptance body is shaved diagonally.

本発明の目的は、このような従来の欠点を克服し、製造
プロセスの容易なX線リングラフィ用マスクを提供する
ことにある。
An object of the present invention is to overcome these conventional drawbacks and provide an X-ray phosphorography mask that is easy to manufacture.

本発明によれば、シリコンからなる支持体と、当番支持
体によって担持されたX線マスク基板と当該基板上に形
成されたX−吸収体〕(ターンと力)らなるXi!リン
グラフィ用マスクにおいて、前記X線マスク基板上に縦
素膜が設けられていることを特徴とするXii!lング
ラフイ用マスクが得られる。
According to the present invention, the Xi! A mask for phosphorography, characterized in that a vertical elemental film is provided on the X-ray mask substrate.Xii! A mask for printing is obtained.

以下本発明について実施例を示す図面を参照して説明す
る。
The present invention will be described below with reference to drawings showing embodiments.

実施例1゜ 1112図はX線マスクのムUのxsta収体パ状体ン
形成ブーセスを示す一実施例でめる。(1)SSウェハ
ー1上にプラズマCVD法によって1μm厚程度のSi
N膜2を形成する。メッキベースとなる炭素膜(100
0λ厚)9をSIN膜上にEガン蒸着する。
Embodiment 1 FIG. 1112 shows an embodiment of the xsta collection pattern forming process of an X-ray mask. (1) On the SS wafer 1, approximately 1 μm thick Si is deposited by plasma CVD method.
A N film 2 is formed. Carbon film (100
0λ thickness) 9 is deposited with an E gun on the SIN film.

膜厚1.2μxttA度のポリイミド膜4をスピン塗布
し。
A polyimide film 4 having a film thickness of 1.2 μxttA degrees is spin-coated.

250℃以上の温度でキュア処理する。電子&!描画レ
ジストとしてPMMAを厚さ4500^塗布し、電子線
露光によって、レジストパターン5を形成する。(2)
ポリイミドをエツチングするための中間マスクを形成す
るために、Ti(5ooA厚)6を全面に蒸着する。リ
フトオフプロセスによって、レジストを除去し、Tiマ
スクを形成する。(3)酸素イオンビームエッチングに
よりて、Tlをマスクとしてポリイミドをエツチングす
る。500vの加速電圧〜1IILA/C−のイオ7’
ft流密度、 2X10−’Torrの試料室内圧力の
エツチング条件では、第3図に示すようなエツチング速
度となる。炭素膜はムUの約助倍の速度でエツチングさ
れるが、C01DるLSはCO2などの揮発性の反応生
成物となつ七エツチングされていくので、炭素膜をエツ
チングしてもポリイミドの側壁に導電膜が形成されるこ
とはな(・。エツチングされた炭素膜表面が導電性を失
なうこともないので、ポリイミドのエツチングが終了し
た後に、エツチングを継続しても炭素膜が消失しない限
りは何ら不都合は生じない0本実施例の1.2μmのポ
リイミドをエツチングする場合には5分のエツチング時
間を必要とするが、1000^の炭素膜を設けておけば
30%のオーツ(−エツチングを行っても良いことにな
る。(4)Au(D X線吸収体/(り1、:。
Cure treatment at a temperature of 250°C or higher. Electronic &! PMMA is applied to a thickness of 4500^ as a drawing resist, and a resist pattern 5 is formed by electron beam exposure. (2)
To form an intermediate mask for etching the polyimide, Ti (5ooA thick) 6 is evaporated over the entire surface. A lift-off process removes the resist and forms a Ti mask. (3) Etch the polyimide by oxygen ion beam etching using Tl as a mask. Accelerating voltage of 500v~1IILA/C-io7'
Under the etching conditions of ft flow density and sample chamber pressure of 2.times.10-'Torr, the etching rate is as shown in FIG. The carbon film is etched at a rate approximately twice that of the polyimide film, but CO1DLS is etched into volatile reaction products such as CO2, so even if the carbon film is etched, it will not touch the sidewalls of the polyimide. A conductive film will not be formed (・The etched carbon film surface will not lose its conductivity, so even if etching is continued after polyimide etching is completed, as long as the carbon film does not disappear) When etching the 1.2 μm polyimide of this example, 5 minutes of etching time is required, but if a 1000^ carbon film is provided, 30% oat (-etching time) is required. (4) Au(D X-ray absorber/(ri1,:.

一ンを電気メツキ法によって形成する。(5)ポリイミ
ドパターンを除去し、最後にS1ウニ/1−の裏面をK
OH溶液によってエツチングして、X線マスクが完成す
る。
One layer is formed by electroplating. (5) Remove the polyimide pattern and finally K the back side of S1 sea urchin/1-
The X-ray mask is completed by etching with an OH solution.

本発−明によるX線マスクは基板上に炭素膜が残存する
が、炭素膜は4〜10λの波長のX線に対する透過率が
Au1lよりも140〜20倍高いので、1000^厚
程度の炭素膜は実用上無視できる厚さである。前記(5
)の工程で、ポリイミドパターンを除去した後に全面に
酸素イオンビームを照射しAu吸収体パターンの無いと
ころの炭素膜を除去しても良いが、この場合には同時に
AuのX線吸収体パターンも若干側られることになる。
In the X-ray mask according to the present invention, a carbon film remains on the substrate, and since the carbon film has a transmittance of 140 to 20 times higher than Au1L for X-rays with a wavelength of 4 to 10λ, a carbon film with a thickness of about 1000^ is used. The thickness of the film is negligible for practical purposes. Said (5
), after removing the polyimide pattern, the entire surface may be irradiated with an oxygen ion beam to remove the carbon film where there is no Au absorber pattern, but in this case, the Au X-ray absorber pattern is also removed at the same time. You will be pushed to the side a little.

実施例2 第4図FiX線マスクのAn吸収体パターン形形成プル
セス示すもう一つの実施例でおる。(1)Siウェハー
1上にプラズマCVD法によって111m厚程度O8i
N膜2を形成する。5t)I4/NHs流量比0.8、
基板温度250℃、高周波電力150W、試料室内圧力
ITorrO条件でこのSiN膜Fi6 X 10”d
yn /dl  の引張り応力を有する。メッキペース
となる炭素膜(1000^)9をSiN上にEガン蒸着
する゛。炭素膜は〜4X10’dyn/cdの圧縮応力
を有するので、8iN/Cから成るX線マスク基板のス
トレスを最適の引張り応力(〜2 X 108dyn 
/ai )にすることが可能である。膜厚1.2μm程
度のSIN膜lOをプラズマCVD法によって形成する
。81H47Nus流量比3、基板温度160℃、高周
波電力150W、試料室内圧力ITorrの条件で一着
すると、CF4ガスを用いた反応性スパッタエツチング
におけるSiN9M凧とのエツチング速度比が7程度と
なるSiN膜を得ることができる。(2)IK子線描画
レジストとしてPMAを厚さ4500^塗布し、電子線
露光によりてレジストパターン5を形成する。(3)前
述のCF4ガスを用いた反応性スパッタエツチングによ
りPMMAをマスクにSiN’Mliをエツチングする
。このとき炭素膜はほとんどエツチングされない。エッ
チフグ後にPMMAを除去する。(4)AuのX#!吸
収体状体ターフ8を電気メツキ法によって形成、する。
Embodiment 2 FIG. 4 shows another embodiment of the process for forming the An absorber pattern of the FiX-ray mask. (1) O8i with a thickness of about 111 m was formed on the Si wafer 1 by plasma CVD method.
A N film 2 is formed. 5t) I4/NHs flow rate ratio 0.8,
This SiN film Fi6 x 10”d was grown under the conditions of substrate temperature 250°C, high frequency power 150W, and sample chamber pressure ITorrO.
It has a tensile stress of yn /dl. A carbon film (1000^) 9 serving as a plating paste is deposited on the SiN using an E-gun. Since the carbon film has a compressive stress of ~4X10'dyn/cd, the stress of the X-ray mask substrate made of 8iN/C is reduced to the optimal tensile stress (~2X108dyn/cd).
/ai). A SIN film IO having a thickness of about 1.2 μm is formed by plasma CVD. When etched under the conditions of 81H47Nus flow rate ratio of 3, substrate temperature of 160°C, high frequency power of 150 W, and sample chamber pressure of ITorr, an SiN film with an etching rate ratio of about 7 compared to the SiN9M kite in reactive sputter etching using CF4 gas is produced. Obtainable. (2) PMA is applied to a thickness of 4500^ as an IK consonant beam drawing resist, and a resist pattern 5 is formed by electron beam exposure. (3) Etch SiN'Mli using PMMA as a mask by reactive sputter etching using the aforementioned CF4 gas. At this time, the carbon film is hardly etched. After etching, remove PMMA. (4) Au's X#! The absorbent body turf 8 is formed by electroplating.

(5)SiNパターンを除去し、最後にStウニ・・−
の裏面をKOH溶液によってエツチングしてX線マスク
が完成する。SiNパターンを除去する場合には、HF
/H20=1/30浴液を使用するが、基板のSiNは
エツチング速度が遅く、また基板のSiN膜は炭素膜で
覆われていることからSiN基板が損なわれる心配はな
い。
(5) Remove the SiN pattern and finally St sea urchin...
The back side of the mask is etched with a KOH solution to complete the X-ray mask. When removing the SiN pattern, HF
/H20=1/30 bath solution is used, but since the etching rate of the SiN substrate is slow and the SiN film of the substrate is covered with a carbon film, there is no fear that the SiN substrate will be damaged.

以上述べたように本発明によれば、垂直壁を有し、実用
的で十分なコントラスF比を持つAuの吸収体パターン
が形成されたX線リングラフィ用マスクが得られる。メ
ッキペースとしてX線の透過率が高い炭素膜を用いるこ
とによって、不発明は従来に較べて1)ポリイミドある
いはStNなどのメッキ用スペーサーパターンを形成す
る際にオーツζ−エツチングを行ってもAuのX線吸収
体ノ(ターンをメッキする工程で異常メッキあるいはメ
ツキネ良などにならない2)ポリイミドあるいはSiN
なとのメッキ用スペーサーパターンを形成する際に↓リ
イオン性の強い条件でエツチングすることが可能となり
、垂直壁を有するパターンを形成することが、容易にな
る、3)メツ斤ペースを除去する工程が不要となり工程
が翅縮される、4)メッキ後のAu0X線吸収体パター
ン形状を損なうことがな(なるなどの利点を有している
。また、炭素膜厚を厳適化することによりx縁マスク基
板の実質的なストレスを零にすることもできすぐれたX
#!リングラフィ用マスクを実現することができる。
As described above, according to the present invention, it is possible to obtain an X-ray phosphorography mask in which an Au absorber pattern having vertical walls and a practical and sufficient contrast F ratio is formed. By using a carbon film with high X-ray transmittance as a plating paste, the present invention has the following advantages compared to the conventional method: X-ray absorber (2) Polyimide or SiN that does not cause abnormal plating or smoothness during the turn plating process.
When forming spacer patterns for plating, etching can be performed under highly ionic conditions, making it easier to form patterns with vertical walls. 3) Step of removing metal paste 4) Does not damage the Au0 X-ray absorber pattern shape after plating.Also, by strictly optimizing the carbon film thickness, Excellent X that can reduce the actual stress on the edge mask substrate to zero
#! A mask for phosphorography can be realized.

【図面の簡単な説明】[Brief explanation of drawings]

ts1図は従来のX線リングラフィ用マスクの製造プロ
セス、第2図、第4図は本発明によるX線リングラフィ
用マスクの製造プロセスを示す。第3図は種々の材料の
酸素イオンビームによるエツチング速度を示す。 1・・・シリコンから成る支持体、2・・・X#マスク
基板、3・・・メッキベース(たとえばTi/Atdl
)、4・・・ポリイミド、5・・・V′)ストパターン
、6・・・Tt。 7・・・ポリイミドスペーサーパターン、8・・・X線
吸収体Auパターン、9・・・戻素膜、lO・・・Si
N/〆 才1 図 才2図 川・3 目 ΣヤIJ−図 −165−
ts1 shows the manufacturing process of a conventional mask for X-ray phosphorography, and FIGS. 2 and 4 show the manufacturing process of a mask for X-ray phosphorography according to the present invention. FIG. 3 shows the etching rate of various materials with an oxygen ion beam. DESCRIPTION OF SYMBOLS 1... Support made of silicon, 2... X# mask substrate, 3... Plating base (for example, Ti/Atdl
), 4...Polyimide, 5...V') strike pattern, 6...Tt. 7... Polyimide spacer pattern, 8... X-ray absorber Au pattern, 9... Return element film, lO... Si
N/〆Sai 1 Figure 2 Figure River/3 Eye Σya IJ-Figure-165-

Claims (1)

【特許請求の範囲】[Claims] シリロンからなる支持体と、当該支持体によって担持さ
れたX線マスク基板と、当該基板上に形成されたxm吸
収体パターンとからなるX#リソグラフィ用マスクにお
いて、前記X線マスク基板上に炭素膜が設けられている
ことを特徴とするX線すン夛うフィ用マスク
In an X# lithography mask consisting of a support made of Silylon, an X-ray mask substrate supported by the support, and an xm absorber pattern formed on the substrate, a carbon film is formed on the X-ray mask substrate. An X-ray shielding mask characterized by being equipped with
JP57083480A 1982-05-18 1982-05-18 Mask for x-ray lithography Pending JPS58200535A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP57083480A JPS58200535A (en) 1982-05-18 1982-05-18 Mask for x-ray lithography

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP57083480A JPS58200535A (en) 1982-05-18 1982-05-18 Mask for x-ray lithography

Publications (1)

Publication Number Publication Date
JPS58200535A true JPS58200535A (en) 1983-11-22

Family

ID=13803625

Family Applications (1)

Application Number Title Priority Date Filing Date
JP57083480A Pending JPS58200535A (en) 1982-05-18 1982-05-18 Mask for x-ray lithography

Country Status (1)

Country Link
JP (1) JPS58200535A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63166226A (en) * 1986-12-27 1988-07-09 Nippon Telegr & Teleph Corp <Ntt> Manufacture of x-ray exposure mask
DE4418163B4 (en) * 1994-05-25 2007-04-05 Robert Bosch Gmbh Process for the production of micromechanical structures

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63166226A (en) * 1986-12-27 1988-07-09 Nippon Telegr & Teleph Corp <Ntt> Manufacture of x-ray exposure mask
DE4418163B4 (en) * 1994-05-25 2007-04-05 Robert Bosch Gmbh Process for the production of micromechanical structures

Similar Documents

Publication Publication Date Title
US20110223279A1 (en) Nano imprint master and method of manufacturing the same
US3901770A (en) Method for the production of microscopically small metal or metal alloy structures
JPWO2017037918A1 (en) Mold, mold manufacturing method and replica manufacturing method
CN111640651A (en) Sub-wavelength surface nano structure based on ion bombardment technology and preparation method thereof
JPS58200535A (en) Mask for x-ray lithography
JP3041802B2 (en) Photomask blank and photomask
CN111362225B (en) Nano needle point structure, composite structure and preparation method thereof
KR20140031246A (en) Method for producing molds
EP0103844B1 (en) X-ray mask
JPH03104181A (en) Etching of mirror facet in iii-v compound semiconductor structure and formation of etching mask
JPH11167037A (en) Production of optical waveguide element utilizing induction coupling plasma apparatus
JPH08297361A (en) Transfer mask
JPH0243171B2 (en)
CN111591954B (en) Method for preparing sub-wavelength nano structure on photoresist surface
KR19980041069A (en) Method for manufacturing mask for X-ray lithography
JPS60132323A (en) Mask for x-ray exposure
JPS58169151A (en) Chromium mask and its manufacture
JPS59126636A (en) Formation of microscopic pattern on semiconductor substrate
JP4792666B2 (en) Stencil mask, manufacturing method thereof and exposure method
US6800404B2 (en) Method for producing a self-supporting electron-optical transparent structure, and structure produced in accordance with the method
JPS6237386B2 (en)
JPS63245922A (en) Mask for x-ray exposure
JPH0536591A (en) Manufacture of x-ray mask
JPH0416009B2 (en)
JPH04263427A (en) Resist film surface treatment method