JPH1174213A - 半導体基板中に所望の化学種を注入する装置及び方法 - Google Patents

半導体基板中に所望の化学種を注入する装置及び方法

Info

Publication number
JPH1174213A
JPH1174213A JP10176510A JP17651098A JPH1174213A JP H1174213 A JPH1174213 A JP H1174213A JP 10176510 A JP10176510 A JP 10176510A JP 17651098 A JP17651098 A JP 17651098A JP H1174213 A JPH1174213 A JP H1174213A
Authority
JP
Japan
Prior art keywords
target chamber
reactive gas
pressure
substrate
implantation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP10176510A
Other languages
English (en)
Inventor
Dennis W Wagner
ダブリュー. ワグナー デニス
Ii Michael T Wauk
ティー. ワーク, セカンド マイケル
Matthew D Scotney Castle
ディー. スコットニー キャッスル マシュー
Babak Adibi
アディビ ババク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH1174213A publication Critical patent/JPH1174213A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3171Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation for ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/006Details of gas supplies, e.g. in an ion source, to a beam line, to a specimen or to a workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube

Landscapes

  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

(57)【要約】 【課題】 半導体基板中に所望の化学種を注入する装置
および方法を提供する。 【解決手段】 本装置は、ターゲットチャンバと、注入
のためにターゲットチャンバ内で基板を保持するホルダ
と、ターゲットチャンバを所望の圧力に排気減圧するポ
ンプと、ターゲットチャンバが大気圧以下である間にホ
ルダ上ヘのローディングのために基板をターゲットチャ
ンバ内に受け渡すことを可能にする圧力ロックと、前記
所望の化学種を含むイオンのビームを生成してホルダ上
の基板表面に向けるイオンビーム発生器と、チャンバが
ポンプによって所望の圧力に維持されている間にターゲ
ットチャンバ内に反応性ガスを供給し、所望の分圧の反
応性ガスをターゲットチャンバ内に提供してターゲット
チャンバ内の表面上の望ましくない汚染物質と反応させ
て揮発させる反応性ガス供給装置と、を備えている。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体基板中への
所望の化学種の注入に関し、特に、所望の化学種を含む
イオンビームの使用に関する。
【0002】
【従来の技術】イオン注入は、半導体基板の表面層およ
びサブ表面層中に所望のレベルのドーパント種を導入す
るために使用される十分に確立された技術である。この
技術は十分に確立され、かなりの程度まで洗練されては
いるが、例えば、より薄く、より浅い層を(低エネルギ
ーイオンを用いて)形成することにより性能を向上させ
たり、望ましくない化学種による基板の汚染を抑えるた
め、この技術に対する要請は絶えることがない。
【0003】
【発明が解決しようとする課題】イオン注入は、被注入
基板を収容する減圧排気チャンバ内で行われる。所望の
核種を含むイオンビームは、所望の注入エネルギーで基
板に向かう。基板の汚染は、注入装置のターゲットチャ
ンバ内の雰囲気中に他の物質(例えば、ターゲットチャ
ンバ内で使用される材料からの蒸発生成物や昇華生成
物)が存在することから発生することがある。このよう
な材料も、ターゲットチャンバ内においてイオンビーム
が衝突する表面から拡散されることがあり、これによっ
て基板上に堆積するようになることがある。
【0004】更に、クロスコンタミネーションに起因し
て問題が生じる可能性がある。このクロスコンタミネー
ションでは、前の注入作業からの核種がターゲットチャ
ンバの表面を汚染し、この核種は、別の核種を用いる後
続の注入作業中に基板上に堆積する。この種の特殊な問
題は、先行するリンの注入後にヒ素が注入されるときに
発生する。リンとヒ素は双方とも半導体内に同じ極性の
ドーパントを与えるために使用されるが、ヒ素は特殊な
用途で使用される。その理由は、ヒ素は基板内でかなり
低い拡散係数を有しており、精密な注入形状が必要な場
合に使用することができるからである。ヒ素注入のリン
汚染は、その割合が極めて小さな場合であってもヒ素の
拡散を大きく増加させることになるので、ヒ素注入作業
中のリン汚染を最小限に抑えることが重要である。
【0005】別の例は、リンを用いた先行注入作業後に
ホウ素を注入する場合であり、この場合、リン汚染は、
ホウ素注入において反ドーパント(counter-dopant)と
して作用することになる。
【0006】問題は、炭素汚染からも生じる可能性があ
る。ウェーハの前の大気曝露の結果として、ターゲット
チャンバ内に導入されたウェーハの表面上に炭素分子が
存在することになる。更に、ウェーハ上炭素への寄与
は、ターゲットチャンバ内の他のソースから生じる場合
もある。後続の注入ステップ中において基板表面上に炭
素汚染が存在していると、特定の完成半導体デバイスの
性能に悪影響を及ぼす可能性がある。例えば、MOSデ
バイスのゲート絶縁に使用されるシリコン酸化物層中の
漏れ電流が増加する場合がある。
【0007】
【課題を解決するための手段】ある態様では、本発明
は、半導体基板中に所望の化学種を注入する装置を提供
する。この装置は、ターゲットチャンバと、注入のため
にターゲットチャンバ内で基板を保持するホルダと、タ
ーゲットチャンバを所望の圧力に排気減圧するポンプ
と、ターゲットチャンバが大気圧以下である間にホルダ
上ヘのローディングのために基板をターゲットチャンバ
内に受け渡すことを可能にする圧力ロックと、前記所望
の化学種を含むイオンのビームを生成してホルダ上の基
板の表面に向けるイオンビーム発生器と、チャンバがポ
ンプによって所望の圧力に維持されている間にターゲッ
トチャンバ内に反応性ガスを供給し、所望の分圧の反応
性ガスをターゲットチャンバ内に提供してターゲットチ
ャンバ内の表面上の望ましくない汚染物質と反応させて
揮発させる反応性ガス供給装置と、を備えている。
【0008】注入中または注入前であるが注入に適した
低圧にチャンバが維持されている間、ターゲットチャン
バ内に適切な分圧の反応性ガスが存在していると、チャ
ンバ内、特に基板の表面上で汚染種に反応を起こさせ、
より揮発性の高い核種が形成されるようにすることがで
きる。この核種は、この後、基板から蒸発してチャンバ
内に拡散することができる。
【0009】本明細書では、用語「反応性ガス」は、望
ましくない汚染物質と自然に反応するか、あるいは汚染
面におけるイオン衝突により刺激を受けたときに汚染物
質と反応することができるガスを意味するために用いら
れる。
【0010】炭素汚染の場合、例えば、ヒ素等の所望の
化学種の注入中に雰囲気内に酸素が存在すると、基板表
面上の大部分の炭素が酸素と反応し、例えばCOやCO
2として表面から拡散するという結果を得ることができ
る。このように、基板表面上の炭素の濃度は、注入中に
基板内に「打ち込まれる」炭素原子の量の付随的な減少
を伴って低減させることができる。
【0011】リン汚染の場合、酸素等の反応性ガスが存
在すると、チャンバ内の表面に存在するリンが、例えば
23を形成するようになる。このP23は、比較的高
い蒸気圧を有しているので、表面からチャンバ内に拡散
する傾向を持っている。このプロセスは、例えばヒ素を
用いた後続の注入に先立って行なうことができ、これに
よりターゲットチャンバ内の表面を洗浄して、後続のヒ
素注入中にリンがターゲット上へスパッタされる危険性
を低減することができる。
【0012】また、この浄化反応プロセスは、イオンビ
ームを用いた汚染面の衝撃中に起きることもある。この
ような衝撃は、望ましい反応を刺激する可能性がある。
この衝撃は、注入すべき次のイオンのビームによって与
えたり、Ar+等の不活性イオンのビームによって与え
ることができる。この衝撃は、被注入ウェーハが存在す
る状況で実行してもよいし、ダミーウェーハが存在する
状況で実行してもよい。
【0013】異なる化学種を用いた連続する注入の間に
ターゲットチャンバを清浄することによって、異なる化
学種の注入に同じ注入機を用いることができる。
【0014】重要なことに、処理済ウェーハをアンロー
ドして新しいウェーハをロードするために圧力ロックを
使用することによってターゲットチャンバ内の「真空」
がプロセス利用ごとに維持される場合であっても、本発
明の装置は、ターゲットチャンバ内で反応性ガスの分圧
を維持することができる。反応性ガス供給装置は、前記
ガスのソースと、このソースからターゲットチャンバに
至る前記ガス用の導管と、この導管を介してターゲット
チャンバに供給される前記ガスの量を調整するレギュレ
ータと、を含んでいることが好ましい。この反応性ガス
供給装置は、レギュレータを制御して分圧を所望の値に
維持する圧力コントローラを更に含んでいてもよい。本
装置は、前記圧力コントローラを備える注入プロセスコ
ントローラであって、所望のプロファイルに従うように
反応性ガスの分圧を制御するように構成された注入プロ
セスコントローラを含んでいてもよい。この圧力コント
ローラは、ターゲットチャンバ内の前記ガスの分圧を監
視するモニタを備えていてもよい。
【0015】この注入プロセスコントローラは、所望の
化学種の注入中に、ある分圧の反応性ガスを提供しても
よい。
【0016】この代わりに、またはこのような構成に加
えて、注入プロセスコントローラは、第1の所望の化学
種の注入後、第2の所望の化学種の注入前に、ある分圧
の反応性ガスをターゲットチャンバ内に提供して、第2
化学種の注入中における第1化学種による汚染を低減す
るように構成されていてもよい。
【0017】反応性ガスは、O2、O3、H2、N2、NH
3、CH4、Cl、SF6、CO2、および空気のうちの少
なくとも一つ、またはこれらの反応性組成物とすること
ができる。O2またはH2が好ましい場合がある。
【0018】本発明は、半導体基板中に所望の化学種を
注入する方法であって、減圧排気ターゲットチャンバ内
に前記基板を保持するステップと、前記所望の化学種を
含むイオンのビームを前記基板の表面に向けるステップ
と、所定の分圧の反応性ガスを前記ターゲットチャンバ
内に提供するステップと、を備える方法も提供する。反
応性ガスの分圧は、注入中は少なくとも3×10-6To
rr、好ましくは少なくとも1×10-5Torrに維持
するのがよい。
【0019】本発明は、半導体基板中に所望の化学種を
注入する方法であって、ターゲットチャンバを所望の圧
力に排気減圧するステップと、所定の分圧の反応性ガス
をターゲットチャンバ内に導入するステップと、被注入
基板を圧力ロックを介してターゲットチャンバ内にロー
ドするステップと、前記所望の化学種を含むイオンのビ
ームを前記基板の表面に向けるステップと、を備える方
法も考慮に入れている。
【0020】ある構成では、反応性ガスの導入後に被注
入基板がロードされる。この構成は、チャンバを前洗浄
してクロスコンタミネーションを低減するために使用す
ることができる。ここで、本方法は、反応性ガスを導入
した後、被注入基板をロードする前にターゲットチャン
バ内にロードされたダミーターゲットに前記イオンビー
ムを向けるステップを更に備えていてもよい。
【0021】異なる化学種を注入するためにターゲット
チャンバを使用した後に反応性ガスを導入して、所望の
化学種の注入中における異なる化学種による汚染を低減
してもよい。
【0022】
【発明の実施の形態】以下では、本発明の具体例を添付
の図面を参照しながら説明する。
【0023】図1において、イオン注入装置は、イオン
ビーム22が引き出されるイオンソース21を備えてい
る。このイオンソース21は、質量選択磁石23の構造
体に絶縁体を介して取り付けられている。引出バイアス
24は、質量選択磁石23への入口においてイオンソー
スに引出電極25を基準としたバイアスをかける。質量
選択磁石23は、所望の質量対電荷比のイオンが電極2
7で集束するように、ビーム22内のイオンに湾曲した
経路を進ませる。所望の質量対電荷比のイオンだけが電
極27内の質量選択スリット28を通過し、直線ビーム
経路に沿って飛行を続け、注入装置のターゲットチャン
バ34内のホルダ31に保持されるウェーハ30の表面
に衝突する。ターゲットチャンバ34自体は、絶縁体3
2を介してフライトチューブ(flight tube)26に取
り付けられている。
【0024】ターゲットチャンバ34は、真空ポンプ4
0によって排気減圧され、注入に適した低圧に維持され
る。一般に、そのホルダ31上の基板30は、ターゲッ
トチャンバ34の壁と実質的に同じ電位(通常は、グラ
ンド)に維持される。フライトチューブ26とウェーハ
30との間の電位差は、ビーム22内のイオンの所望の
注入エネルギに依存する。ウェーハ32がフライトチュ
ーブ26に対して正の電位にある場合、イオンは、質量
選択後、イオンソース21からの引出エネルギーよりも
低い注入エネルギーに減速される。ウェーハ30がフラ
イトチューブ26に対して負の電位にある場合、イオン
は、質量選択後、より高い注入エネルギーに加速され
る。
【0025】特に、質量選択後の減速の場合は、電子抑
制電極36をフライトチューブ26に対して負の電位で
設置し、ビーム中和電子がフライトチューブ内のビーム
の外へ引き出される現象を防止してもよい。
【0026】ターゲットチャンバ34は、圧力ロック4
1を有している。この圧力ロックは、ターゲットチャン
バを大気圧以下の圧力に維持しながらターゲットチャン
バ内でウェーハをホルダ31に対してアンロードおよび
ロードすることを可能にする。従って、各処理操作の合
間にターゲットチャンバ34を大気に開放する必要はな
く、ポンプ40を操作することで、ホルダ31のアンロ
ーディングおよびリローディングの間、ターゲットチャ
ンバを大気圧以下の低圧に維持することができる。
【0027】反応性ガス(ここでは一例としてO2を挙
げる)のソース42は、導管43に沿ってチャンバ34
に供給することができる。導管33に沿ったチャンバ3
4へのガスの供給は、レギュレータ44によって調整さ
れる。このレギュレータ44は、注入コントローラ46
からのライン45上の信号によって制御される。ガス圧
センサ47がターゲットチャンバ34内に設置されてお
り、ライン48上に信号を生成するようになっている。
この信号は、ソース42からの反応性ガスのチャンバ3
4内における分圧を示すことができる。注入コントロー
ラ46は、センサからの信号を受信し、符号49で全体
的に示される種々のラインを介して注入装置へ制御信号
を供給することで図示の注入装置の多くの機能を制御す
る。
【0028】第1の例では、図示の注入装置を操作する
ことで、後続のヒ素注入中に発生するリン汚染の量を低
減することができる。最初の被処理ウェーハは、圧力ロ
ック41を介してホルダ31上にロードする。次いで、
注入装置を操作してリンイオンのビームをウェーハ30
に向け、リン注入プロセスを制御して、所望の濃度およ
び深さプロファイルのリン原子を基板中に生成する。
【0029】この注入手順の間、レギュレータ44のス
イッチを切って、酸素がターゲットチャンバ34に入る
のを防止してもよい。ポンプ40は、ターゲットチャン
バを所望の低プロセス圧力に維持するように操作する。
【0030】リン注入プロセス中、一部のリンイオンは
ターゲットチャンバ内でウェーハ30自体以外の表面に
衝突するので、注入作業の終了までにこれらの表面がリ
ン原子の被覆を有することがある。リンイオンビームの
ライン内に直接位置する表面が被覆される可能性がある
だけでなく、ウェーハからスパッタされたり、チャンバ
34内の残留ガスとの衝突から生じるリンイオンやリン
原子がチャンバ内の他の表面上で凝縮する可能性もあ
る。
【0031】リン注入作業が完了すると、処理済ウェー
ハは圧力ロック41を介してチャンバから取り出され、
ホルダ31上でダミーウェーハに交換される。この間、
ポンプ40はターゲットチャンバ34内を低圧に維持し
続ける。
【0032】ここで、ビーム22中に一定の供給量のヒ
素イオンを与えるようにイオンソース21を調整しても
よく、これらのヒ素イオンは、質量選択スリット28を
通って飛び出して、ダミーウェーハ上およびホルダの周
囲面上に衝突する。このダミー注入作業中、レギュレー
タ44は注入コントローラ46の制御を受けてソース4
2から十分な酸素を放出させ、センサ47で測定される
所望の分圧の酸素をチャンバ34内で維持する。チャン
バ内に酸素が存在すると、チャンバ内の表面を被覆する
リン原子の反応が助長されて、リン酸化物が生成される
ことになる。より高い蒸気圧を有するこのリン酸化物
は、揮発性がより高く、表面からチャンバ34内の雰囲
気中へ蒸発しやすいので、ポンプ40によってチャンバ
34から排気することが可能である。この「洗浄」プロ
セス中におけるヒ素の存在は必須ではないが、特にヒ素
ビームが照射されるターゲットチャンバ34内の表面や
ホルダ31の表面の清浄を助けることができる。ヒ素の
代わりに、Ar+ビームを使用してもよい。
【0033】ダミー注入作業後、圧力ロック41を介し
てダミーウェーハを取り出してヒ素注入を行う新しい処
理ウェーハに交換する間、ヒ素ビームは止められる。次
いで、再びヒ素ビームを出力して注入作業を実行する。
処理済ウェーハ上への注入作業中は、ソース42からの
酸素放出を再び止めてもよい。
【0034】ターゲットチャンバ34内に存在する酸素
の上記分圧を用いたヒ素イオンの(ダミーウェーハ上へ
の)ダミー注入を行う代わりに、前のリン注入作業の最
終段階や後続のヒ素注入作業の早い段階に一定期間にわ
たって酸素をチャンバ34内に放出することによって、
リン汚染の大幅な低減を達成することができる。実際、
ターゲットチャンバ34内に適切な酸素分圧をもたらす
酸素放出は、注入作業全体を通じて継続してもよい。
【0035】1×10-5Torr〜1×10-4Torr
のターゲットチャンバ圧力において少なくとも3×10
-6Torr、好ましくは1×10-5Torrの酸素分圧
を用いることで有益な結果が得られる。
【0036】酸素の代わりに、一定の分圧の水素を供給
して、例えば揮発性のPH3を生成してもよい。
【0037】炭素汚染の低減を伴なう第2の例では、ヒ
素がウェーハ中に注入され、注入作業全体を通じて空気
がターゲットチャンバ34内に放出されることにより、
制御された分圧の空気が与えられる。基板表面上の炭素
原子の濃度は、チャンバ34内の様々な分圧の空気に対
するSIMS分析によって測定した。
【0038】空気放出を伴なわない試験例では、チャン
バ34内の残留空気の分圧は、約1.7×10-5のプロ
セスチャンバ圧力に対して約5×10-7Torrと測定
された。基板表面上の炭素濃度は、約2.11×1014
毎平方cmと測定された。
【0039】注入中にチャンバ34内で1.3×10-5
の空気分圧をもたらす(このとき、チャンバ圧力は3×
10-5になる)空気放出の場合、基板表面上の炭素濃度
は7.87×1013毎平方cmに低減される。室内空気
の分圧を8.3×10-5に上げると、炭素濃度は更に約
6.29×1013毎平方cmに減少する。
【0040】上記の例とは別に、注入中または注入の直
前にターゲットチャンバ内に反応性ガスを導入する上記
の手順は、多くのプロセスにおいて利点を有する可能性
がある。H2を反応性ガスとして使用することで、浅い
表面領域においてHFの形成を通じてF原子を除去する
ことができる。
【0041】H2は、部分自然シリコン酸化物層を除去
するために使用することもできる。この部分自然シリコ
ン酸化物層は、除去しないと、5kVエネルギー以下の
極めて低いエネルギーのドーパントの均一な注入を妨げ
る。
【0042】考えられる他の反応性ガスとしては、
3、N2、NH3、CH4、CO2、ClおよびSF6があ
る。
【0043】処理中のウェーハ上の裸シリコン領域上へ
の注入中にO2または空気等の酸素高含有ガスがプロセ
スチャンバ内に放出される場合、注入の終了時に連続的
な薄い酸化膜がシリコン領域上に形成されることがあ
る。これは、後に続く雰囲気への曝露時にウェーハ表面
のパッシベーションをもたらすのに有用な場合があり、
また、シリコンからドーパントが室温のもとで拡散した
りアニーリングの初期段階に拡散するのを防ぐためのキ
ャップも提供する。
【図面の簡単な説明】
【図1】本発明を実施したイオン注入装置の概略図であ
る。
【符号の説明】
21…イオンソース、22…イオンビーム、30…ウェ
ーハ、31…ホルダ、34…ターゲットチャンバ、40
…真空ポンプ、41…圧力ロック、46…注入コントロ
ーラ。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 マイケル ティー. ワーク, セカンド イギリス国, ウェスト サセックス, アールエイチ16 1エックスピー, ヘイ ワーズ ヘルス, ボード ヒル レー ン, バンティングヒル (番地なし) (72)発明者 マシュー ディー. スコットニー キャ ッスル アメリカ合衆国, テキサス州, オース ティン, スプリット ロック トレイル 340 (72)発明者 ババク アディビ アメリカ合衆国, カリフォルニア州, サンタ クララ, バンクロフト ストリ ート 587

Claims (19)

    【特許請求の範囲】
  1. 【請求項1】 半導体基板中に所望の化学種を注入する
    装置であって、 ターゲットチャンバと、 注入のために前記ターゲットチャンバ内で基板を保持す
    るホルダと、 前記ターゲットチャンバを所望の圧力に排気減圧するポ
    ンプと、 前記ターゲットチャンバが大気圧以下である間に前記ホ
    ルダ上ヘのローディングのために基板を前記ターゲット
    チャンバ内に受け渡すことを可能にする圧力ロックと、 前記所望の化学種を含むイオンのビームを生成して前記
    ホルダ上の基板の表面に向けるイオンビーム発生器と、 前記チャンバが前記ポンプによって所望の圧力に維持さ
    れている間に前記ターゲットチャンバ内に反応性ガスを
    供給し、所望の分圧の反応性ガスをターゲットチャンバ
    内に提供して前記ターゲットチャンバ内の表面上の望ま
    しくない汚染物質と反応させて揮発させる反応性ガス供
    給装置と、を備える装置。
  2. 【請求項2】 前記反応性ガス供給装置は、前記ガスの
    ソースと、前記ソースから前記ターゲットチャンバへ至
    る前記ガス用の導管と、前記導管によって前記ターゲッ
    トチャンバに供給される前記ガスの量を調整するレギュ
    レータと、を有している、請求項1記載の装置。
  3. 【請求項3】 前記反応性ガス供給装置は、前記レギュ
    レータを制御して前記分圧を所望の値に維持する圧力コ
    ントローラを更に有している、請求項2記載の装置。
  4. 【請求項4】 前記圧力コントローラを備える注入プロ
    セスコントローラであって、所望のプロファイルに従う
    ように前記反応性ガスの分圧を制御するように構成され
    た注入プロセスコントローラを有する請求項3記載の装
    置。
  5. 【請求項5】 前記注入プロセスコントローラは、前記
    所望の化学種の基板中への注入の間、ある分圧の前記反
    応性ガスを提供するように構成されている、請求項4記
    載の装置。
  6. 【請求項6】 前記注入プロセスコントローラは、第1
    の所望の化学種の注入後、第2の所望の化学種の注入前
    に、ある分圧の前記反応性ガスを前記ターゲットチャン
    バ内に提供して、前記第2化学種の注入中における前記
    第1化学種による汚染を低減するように構成されてい
    る、請求項4または5記載の装置。
  7. 【請求項7】 前記イオンビーム発生器は、AS+
    +、BF2 +、Sb+、B+、Ge+、Si+のうちのいず
    れか一つ、またはこれらの組合せを含むイオンビームを
    提供するようになっている、請求項1〜6のいずれか記
    載の装置。
  8. 【請求項8】 前記反応性ガス供給装置は、O2、O3
    2、N2、NH3、CH4、Cl、SF6、CO2および空
    気のうちの少なくとも一つ、またはこれらの反応性組成
    物を含む一定の供給量のガスを提供するようになってい
    る、請求項1〜7のいずれか記載の装置。
  9. 【請求項9】 半導体基板中に所望の化学種を注入する
    方法であって、 減圧排気ターゲットチャンバ内に前記基板を保持するス
    テップと、 前記所望の化学種を含むイオンのビームを前記基板の表
    面に向けるステップと、 所定の分圧の反応性ガスを前記ターゲットチャンバ内に
    提供するステップと、を備える方法。
  10. 【請求項10】 前記反応性ガスの分圧が、前記注入
    中、少なくとも3×10-6Torrに維持される、請求
    項9記載の方法。
  11. 【請求項11】 前記反応性ガスは、O2、O3、H2
    2、NH3、CH4、Cl、SF6、CO2、および空気
    のうちの少なくとも一つ、またはこれらの反応性組成物
    である、請求項9または10記載の方法。
  12. 【請求項12】 半導体基板中に所望の化学種を注入す
    る方法であって、 ターゲットチャンバを所望の圧力に排気減圧するステッ
    プと、 所定の分圧の反応性ガスを前記ターゲットチャンバ内に
    導入するステップと、 被注入基板を圧力ロックを介して前記ターゲットチャン
    バ内にロードするステップと、 前記所望の化学種を含むイオンのビームを前記基板の表
    面に向けるステップと、を備える方法。
  13. 【請求項13】 前記反応性ガスが導入された後に前記
    被注入基板がロードされる請求項12記載の方法。
  14. 【請求項14】 前記反応性ガスを導入した後、前記被
    注入基板をロードする前に前記ターゲットチャンバ内に
    ロードされたダミーターゲットに前記イオンビームを向
    けるステップを更に備える請求項13記載の方法。
  15. 【請求項15】 注入される前記所望の化学種がヒ素で
    ある請求項12〜14のいずれか記載の方法。
  16. 【請求項16】 異なる化学種を注入するために前記タ
    ーゲットチャンバを使用した後に前記反応性ガスを導入
    して、前記所望の化学種の注入中における前記異なる化
    学種による汚染を低減する、請求項12〜15のいずれ
    か記載の方法。
  17. 【請求項17】 前記異なる化学種がリンである請求項
    16記載の方法。
  18. 【請求項18】 前記反応性ガスは、O2、O3、H2
    2、NH3、CH4、Cl、SF6、CO2、および空気
    のうちの少なくとも一つ、またはこれらの反応性組成物
    を含んでいる、請求項12〜17のいずれか記載の方
    法。
  19. 【請求項19】 前記ターゲットチャンバ内の前記反応
    性ガスの分圧は、前記所望の化学種の前記基板中への注
    入開始までは少なくとも3×10-6Torrである、請
    求項12〜18のいずれか記載の方法。
JP10176510A 1997-05-20 1998-05-20 半導体基板中に所望の化学種を注入する装置及び方法 Withdrawn JPH1174213A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
GB9710381A GB2325561B (en) 1997-05-20 1997-05-20 Apparatus for and methods of implanting desired chemical species in semiconductor substrates
GB9710381.6 1997-05-20

Publications (1)

Publication Number Publication Date
JPH1174213A true JPH1174213A (ja) 1999-03-16

Family

ID=10812741

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10176510A Withdrawn JPH1174213A (ja) 1997-05-20 1998-05-20 半導体基板中に所望の化学種を注入する装置及び方法

Country Status (3)

Country Link
US (1) US6093625A (ja)
JP (1) JPH1174213A (ja)
GB (1) GB2325561B (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11186185A (ja) * 1997-12-16 1999-07-09 Applied Materials Inc イオン注入装置のクリーニング方法
JP2003506841A (ja) * 1999-06-24 2003-02-18 アイビス・テクノロジー・インコーポレーテツド シリコンウェーハに酸素イオンを注入する方法及び装置
JP2009516392A (ja) * 2005-11-14 2009-04-16 アクセリス テクノロジーズ インコーポレーテッド ガスの導入により、イオン注入処理の間の汚染を軽減し、表面特性を改変するためのシステム及び方法
KR101308241B1 (ko) * 2006-02-10 2013-09-30 도쿄엘렉트론가부시키가이샤 유전체 재료를 실리콘에 대하여 선택적으로 에칭하기 위한 에칭 방법, 리세스 저감 방법, 컴퓨터 판독 가능한 매체, 및 건식 플라즈마 에칭 시스템

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000350970A (ja) * 1999-05-10 2000-12-19 Eaton Corp イオン注入装置における汚染された表面を洗浄するための方法および装置
US6221169B1 (en) * 1999-05-10 2001-04-24 Axcelis Technologies, Inc. System and method for cleaning contaminated surfaces in an ion implanter
JP3727047B2 (ja) * 1999-07-30 2005-12-14 住友イートンノバ株式会社 イオン注入装置
US6673637B2 (en) 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
US6782337B2 (en) 2000-09-20 2004-08-24 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension an a presence of defects on a specimen
US6657209B2 (en) 2000-09-20 2003-12-02 Axcelis Technologies, Inc. Method and system for determining pressure compensation factors in an ion implanter
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
WO2002025708A2 (en) 2000-09-20 2002-03-28 Kla-Tencor-Inc. Methods and systems for semiconductor fabrication processes
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US6812045B1 (en) 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
JP3758520B2 (ja) * 2001-04-26 2006-03-22 日新イオン機器株式会社 イオンビーム照射装置および関連の方法
US6452198B1 (en) * 2001-06-28 2002-09-17 Advanced Micro Devices, Inc. Minimized contamination of semiconductor wafers within an implantation system
US7250083B2 (en) * 2002-03-08 2007-07-31 Sundew Technologies, Llc ALD method and apparatus
ITTO20020787A1 (it) * 2002-09-10 2004-03-11 St Microelectronics Srl Macchina di impiantazione ionica perfezionata, relativo
KR100475122B1 (ko) * 2002-12-20 2005-03-10 삼성전자주식회사 실리콘 접촉저항을 개선할 수 있는 반도체 소자 형성방법
GB2407433B (en) * 2003-10-24 2008-12-24 Applied Materials Inc Cathode and counter-cathode arrangement in an ion source
US7009193B2 (en) * 2003-10-31 2006-03-07 Infineon Technologies Richmond, Lp Utilization of an ion gauge in the process chamber of a semiconductor ion implanter
US20080223409A1 (en) * 2003-12-12 2008-09-18 Horsky Thomas N Method and apparatus for extending equipment uptime in ion implantation
US20080073559A1 (en) * 2003-12-12 2008-03-27 Horsky Thomas N Controlling the flow of vapors sublimated from solids
CN1894763B (zh) * 2003-12-12 2010-12-08 山米奎普公司 用于在离子植入中延长设备正常运行时间的方法及装置
WO2009039382A1 (en) * 2007-09-21 2009-03-26 Semequip. Inc. Method for extending equipment uptime in ion implantation
EP2304803A1 (en) * 2008-06-11 2011-04-06 Solar Implant Technologies Inc. Solar cell fabrication using implantation
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
EP3188215A3 (en) * 2010-02-09 2017-09-13 Intevac, Inc. An adjustable shadow mask assembly for use in solar cell fabrications
JP5665679B2 (ja) * 2011-07-14 2015-02-04 住友重機械工業株式会社 不純物導入層形成装置及び静電チャック保護方法
WO2013070978A2 (en) 2011-11-08 2013-05-16 Intevac, Inc. Substrate processing system and method
WO2014100506A1 (en) 2012-12-19 2014-06-26 Intevac, Inc. Grid for plasma ion implant
US9496117B2 (en) * 2014-01-20 2016-11-15 Varian Semiconductor Equipment Associates, Inc. Two-dimensional mass resolving slit mechanism for semiconductor processing systems
US9761410B2 (en) 2016-02-01 2017-09-12 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for in-situ cleaning in ion beam apparatus

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4512812A (en) * 1983-09-22 1985-04-23 Varian Associates, Inc. Method for reducing phosphorous contamination in a vacuum processing chamber
US4987933A (en) * 1989-03-03 1991-01-29 Eaton Corporation Fluid flow control method and apparatus for minimizing particle contamination
JPH04112441A (ja) * 1990-08-31 1992-04-14 Toshiba Corp イオン注入装置及びそのクリーニング方法
US5466942A (en) * 1991-07-04 1995-11-14 Kabushiki Kaisha Toshiba Charged beam irradiating apparatus having a cleaning means and a method of cleaning a charged beam irradiating apparatus
JP3253675B2 (ja) * 1991-07-04 2002-02-04 株式会社東芝 荷電ビーム照射装置及び方法
US5308989A (en) * 1992-12-22 1994-05-03 Eaton Corporation Fluid flow control method and apparatus for an ion implanter
US5354698A (en) * 1993-07-19 1994-10-11 Micron Technology, Inc. Hydrogen reduction method for removing contaminants in a semiconductor ion implantation process
US5554854A (en) * 1995-07-17 1996-09-10 Eaton Corporation In situ removal of contaminants from the interior surfaces of an ion beam implanter
US5633506A (en) * 1995-07-17 1997-05-27 Eaton Corporation Method and apparatus for in situ removal of contaminants from ion beam neutralization and implantation apparatuses

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11186185A (ja) * 1997-12-16 1999-07-09 Applied Materials Inc イオン注入装置のクリーニング方法
JP2003506841A (ja) * 1999-06-24 2003-02-18 アイビス・テクノロジー・インコーポレーテツド シリコンウェーハに酸素イオンを注入する方法及び装置
JP2009516392A (ja) * 2005-11-14 2009-04-16 アクセリス テクノロジーズ インコーポレーテッド ガスの導入により、イオン注入処理の間の汚染を軽減し、表面特性を改変するためのシステム及び方法
KR101308241B1 (ko) * 2006-02-10 2013-09-30 도쿄엘렉트론가부시키가이샤 유전체 재료를 실리콘에 대하여 선택적으로 에칭하기 위한 에칭 방법, 리세스 저감 방법, 컴퓨터 판독 가능한 매체, 및 건식 플라즈마 에칭 시스템

Also Published As

Publication number Publication date
GB2325561A (en) 1998-11-25
US6093625A (en) 2000-07-25
GB2325561B (en) 2001-10-17
GB9710381D0 (en) 1997-07-16

Similar Documents

Publication Publication Date Title
JPH1174213A (ja) 半導体基板中に所望の化学種を注入する装置及び方法
EP1052676B1 (en) System and method for cleaning contaminated surfaces in an ion implanter
US5354698A (en) Hydrogen reduction method for removing contaminants in a semiconductor ion implantation process
KR101177867B1 (ko) 플라즈마 도핑 방법 및 플라즈마 도핑 장치
US9627180B2 (en) Method for ion source component cleaning
KR101275907B1 (ko) 기체의 도입을 통한 이온 주입 공정 중에 오염을 완화하여표면 특성을 수정하는 시스템 및 방법
US20040060899A1 (en) Apparatuses and methods for treating a silicon film
JP2008504687A (ja) プラズマ注入のためのエッチングおよび付着制御
US4512812A (en) Method for reducing phosphorous contamination in a vacuum processing chamber
KR100229698B1 (ko) 플라즈마 이온 방사를 이용한 soi 기판의 형성 방법 및 장치
US6259105B1 (en) System and method for cleaning silicon-coated surfaces in an ion implanter
KR100392039B1 (ko) 이온 주입법 및 이온 주입 장치
EP1061550A2 (en) System and method for cleaning contaminated surfaces in an ion implanter
KR100428619B1 (ko) 기판내에저선량의이온을주입하는방법및장치
US7888661B2 (en) Methods for in situ surface treatment in an ion implantation system
US20180031319A1 (en) A method of stabilizing a substrate and a machine for performing the method
Kleinsasser et al. Oxidation processes using ion beams
JPH04718A (ja) 表面処理装置
JPH0437129A (ja) エッチング方法及びエッチング装置
JPH05114572A (ja) ベリリウムおよびフツ化ベリリウムを含むプラズマの生成方法
JP3420080B2 (ja) 半導体装置の製造装置及びその製造方法
JP2006156441A (ja) イオン注入装置、及びイオン注入方法
JP2000067800A (ja) イオン注入装置のクリーニング方法
KR20000044946A (ko) 반도체 소자의 실리콘 산화막 형성 방법
JPH0763063B2 (ja) 窒化シリコン薄膜の形成方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050520

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20070801