JPH11149491A - 故障検出率評価方法 - Google Patents

故障検出率評価方法

Info

Publication number
JPH11149491A
JPH11149491A JP31517697A JP31517697A JPH11149491A JP H11149491 A JPH11149491 A JP H11149491A JP 31517697 A JP31517697 A JP 31517697A JP 31517697 A JP31517697 A JP 31517697A JP H11149491 A JPH11149491 A JP H11149491A
Authority
JP
Japan
Prior art keywords
fault
failure
logic circuit
circuit
list
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP31517697A
Other languages
English (en)
Inventor
Yasuyuki Nozuyama
泰幸 野津山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP31517697A priority Critical patent/JPH11149491A/ja
Priority to US09/192,416 priority patent/US6151694A/en
Publication of JPH11149491A publication Critical patent/JPH11149491A/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • G01R31/318342Generation of test inputs, e.g. test vectors, patterns or sequences by preliminary fault modelling, e.g. analysis, simulation
    • G01R31/31835Analysis of test coverage or failure detectability

Abstract

(57)【要約】 【課題】故障シミュレーションにより、LSIに埋め込
まれたマクロブロックのテストを完全に行うことができ
る故障検出率評価方法を提供する。 【解決手段】CPUコア等、対象となるマクロブロック
について、基準となるチップ上で、基準のテストパター
ンを用いて全仮定ないし全仮定に近い故障でテストする
ことを前提として、そのブロックについて故障サンプリ
ングにより故障シミュレーションを実施し、次に、同一
のマクロブロックを用いた新規開発のチップに対して上
記故障サンプリングされた故障を埋め込み、基準のテス
トパターンを修正したテストパターンを用いて故障シミ
ュレーションを実施し、先に仮定した故障の検出・未検
出リストと、基準チップで実行した際の前記故障の検出
・未検出リストとを比較し、両者の一致を確認すること
により新規開発チップ内の対象マクロブロックが正しく
テストされたことを保証する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は故障検出率評価方法
に係り、論理LSI、VLSI、及びボード上に形成さ
れた大規模な回路等に含まれる論理回路のテスト技術、
特に故障シミュレーション技術に関するものである。
【0002】
【従来の技術】従来、LSIやボード上に形成された大
規模な回路等に含まれる論理回路の内部ノードに適当な
故障を仮定し、テストパターンがその論理回路に含まれ
る故障をどの程度検出できるかを、故障シミュレーショ
ンを実施して求めるテストパターンの故障検出率評価が
広く行われてきた。
【0003】特に、論理LSIの各内部ノードが“0”
または“1”に固定される縮退故障を仮定故障とする故
障シミュレーションは比較的容易に計算できる上、その
結果求められる故障検出率はテストパターンを入力して
選別を行った後の“良品”に混在する不良品の割合、さ
らに論理LSI出荷後の市場で発生する不良率と強い相
関があることが知られている。
【0004】また、テストパターンの故障検出率が低い
場合には、経験的に求めたテストパターンを追加し、改
善することにより高い故障検出率を達成し、LSIの出
荷品質を向上することが一般に行われている。
【0005】しかし、LSIや大規模な回路では前記故
障シミュレーションを行うのに、例えば数か月という膨
大なCPU時間を要することが大きな問題となってお
り、高い品質保証が必須である場合を除いては、開発す
るすべてのLSIについて必ず故障シミュレーションを
実行するという状況には至っていない。
【0006】今後LSIやボード上の回路の急速な大規
模化と、故障シミュレーション技術やシミュレーション
を実行するワークステーションのCPU性能の向上の度
合いからみて、現状技術の延長ではこの状況に大きな変
化はないと考えられる。
【0007】上記の問題に対する一つの簡便な解とし
て、全仮定故障から例えば数%乃至数十%の範囲で故障
をサンプリングし、これらの故障に対してのみ故障シミ
ュレーションを実行する故障サンプリング法が行われて
いる。適切な条件を選べば、全仮定故障で実施する場合
に比べて1桁程度短いCPU時間と数%程度の誤差の範
囲で、故障検出率を求めることができる。
【0008】しかし、従来の故障シミュレータでは、チ
ップ全体の故障シミュレーションを簡便に実行すること
だけに着目して故障サンプリングが行なわれてきた。こ
のため、一度故障検出率が評価された回路ブロックを内
蔵する新規チップの故障検出率を評価しようとすれば、
その回路ブロックを含む新規チップについて再度故障サ
ンプリングを行い、故障シミュレーションを実行する必
要があった。
【0009】このように、従来の故障サンプリング法に
おいては、以前に求めた結果が活用されないことにな
り、故障シミュレーション資源の有効利用ができず、そ
の普及を妨げる一因ともなっていた。
【0010】実際、異なる構成のLSIネット内に故障
検出率評価の対象とする回路ブロックがそれぞれ埋め込
まれている場合、同一テストパターンにより同一の故障
が検出される保証は全くない。埋め込み技術上の不具合
により規定のテストパターンを入力しても回路の一部が
テストされない場合や、何らかの理由で規定のテストパ
ターンの一部が欠落する場合も生じる。したがって、容
易でかつ確実に問題の所在を発見することができず、品
質を維持するためのテストパターンの標準化という目的
を果たすことができなかった。
【0011】以上のべたように従来の故障シミュレーシ
ョン、特に故障サンプリングを用いた故障シミュレーシ
ョンにおいては、サンプリングによる故障シミュレーシ
ョン時間の削減という利点が必ずしも生かされておら
ず、将来多くの派生品を含む少量多品種の大規模LSI
の開発に対する故障シミュレーションへの対応という点
では、極めて不満足な状況となっていた。
【0012】
【発明が解決しようとする課題】上記したように、従来
のサンプリングに基づく故障シミュレーションは、一度
故障検出率を評価した回路ブロックを内蔵する新規チッ
プの故障検出率を評価する場合にも、その回路ブロック
を含む新規チップについて再度故障サンプリングを行
い、故障シミュレーションを実行しなければならないと
いう問題があった。
【0013】このため、サンプリングによる故障シミュ
レーション時間の削減という利点が生かされず、テスト
パターンの標準化や故障シミュレーション資源の有効利
用ができないという問題があった。
【0014】本発明は上記の問題点を解決すべくなされ
たもので、将来多くの派生品を含むLSI等の開発にお
いて、テストパターンの標準化や故障シミュレーション
資源の有効利用を図ることができる方法を提供すること
を目的としている。
【0015】
【課題を解決するための手段】本発明の故障検出率評価
方法は、論理回路を含む第1の回路と前記論理回路また
はこれとわずかに異なる論理回路を含む第2の回路とを
対象とする。第2の回路は第1の回路の派生品であっ
て、第1の回路を開発する際に用いたテストパターン
の、前記第1の回路に含まれる論理回路にサンプリング
(以下抜き取りと呼ぶ)により仮定した故障を検出する
能力が、故障シミュレーションにより既知とされたもの
である。
【0016】前記テストパターンを第2の回路にも適用
できるようにして、これを第2の回路に入力することに
より、前記第2の回路に含まれる第1の回路と同一また
はわずかに異なる論理回路の内部に仮定した故障を検出
する能力を評価し、第1、第2の回路に対する前記テス
トパターンの検出能力を比較することにより、前記テス
トパターンが第1、第2の回路に対して同様に有効であ
ることを確認することを特徴とする。
【0017】このようにして多くの派生品に対するテス
トパターンの標準化と故障シミュレーション資源の有効
利用を図ることができる。具体的には本発明の故障検出
率評価方法は、第1の回路の一部または全部をなす論理
回路から抜き取りにより故障箇所が仮定された故障リス
トを作成し、前記論理回路を含む第2の回路において、
前記故障リストを前記第2の回路に含まれる論理回路の
故障リストとし、所定のテストパターンを前記第1の回
路に入力ことにより故障シミュレーションを実施し、こ
の故障シミュレーションにより得られた故障検出・未検
出情報を前記故障リストに付加することにより第1の故
障情報リストを作成し、前記所定のテストパターンを第
2の回路に含まれる前記論理回路に適用可能としたテス
トパターンを前記第2の回路に含まれる論理回路に入力
することにより故障シミュレーションを実施し、この故
障シミュレーションにより得られた故障検出・未検出情
報を前記故障リストに付加することにより第2の故障情
報リストを作成し、前記第1、第2の故障情報リストを
比較することを特徴とする。
【0018】好ましくは前記第1、第2の故障情報リス
トの比較は、前記第2の故障情報リストの検出故障が前
記第1の故障情報リストの全ての検出故障を含むか否か
を判定することを特徴とする。
【0019】また好ましくは前記第2の回路は、前記第
1の回路と同一のものであって、かつ、外部または内部
の入力信号の一部が“1”または“0”のいずれかに固
定されることにより、前記第1の回路で実現される機能
の一部が削除された状態にされており、前記削除された
機能のみに関わる回路部分に仮定された故障は、削除な
いし無視できる旨のマーキングを行い、前記第1、第2
の回路において共通に実現される機能に関わる回路部分
については抜き取りによる故障が仮定されたことを特徴
とする。
【0020】また好ましくは前記第1の回路に対するテ
ストパターンは、前記第1の回路をテストする前記所定
のテストパターンの一部であることを特徴とする。また
本発明の故障検出率評価方法は、第1の回路が第1の論
理回路を含み、第2の回路は前記第1の論理回路とわず
かに異なる第2の論理回路を含み、かつ、第1、第2の
回路をテストする第1、第2のテストパターンの故障検
出率は、前記第1、第2の論理回路に含まれる故障の故
障検出率である場合において、第1の論理回路について
抜き取りにより仮定した第1の故障リストを作成し、第
1のテストパターンを第1の回路に入力することにより
故障シミュレーションを実施し、この故障シミュレーシ
ョンにより得られた故障の検出・未検出情報を、第1の
故障リストに付加することにより第1の論理回路に関す
る第1の故障情報リストを作成し、次に変更抽出プログ
ラムを用いて、第1の故障リストから第1の論理回路に
含まれ第2の論理回路には含まれない故障を抽出するこ
とにより、第2の故障リストを作成し、第2の故障リス
ト対応の故障を削除ないし無視できる旨をマーキングす
るプログラムを用いて、第1の故障リストから第2の故
障リスト対応の故障が削除ないし無視できる旨がマーキ
ングされた第3の故障リストを作成し、第2の論理回路
内に前記第3の故障リストの故障が仮定された前記第2
の論理回路に、前記第2のテストパターンを入力するこ
とにより再度故障シミュレーションを実施し、この故障
シミュレーションにより得られた故障の検出・未検出情
報を、第3の故障リストに付加することにより、第1、
第2の論理回路の共通部分に関する第3の故障情報リス
トを作成し、第2の故障リスト対応の故障を削除ないし
無視できる旨マーキングするプログラムを用いて、第1
の故障情報リストから第2の故障リストに含まれる故障
の検出・未検出情報が削除ないし無視できる旨マーキン
グされた第2の故障情報リストを作成し、第2、第3の
故障情報リストを比較することにより、テストパターン
の第1、第2の論理回路の共通部分に仮定した故障の検
出・未検出を評価する第1のステップと、前記変更抽出
プログラムを用いて、第2の論理回路に含まれ第1の論
理回路には含まれない回路部分を抽出し、この回路部分
の全てに故障を仮定することにより第4の故障リストを
作成し、かつ、第2の論理回路内に前記第4の故障リス
トの故障が仮定された前記第2の論理回路に、前記第2
のテストパターンを入力することにより故障シミュレー
ションを実施し、この故障シミュレーションにより得ら
れた故障の検出・未検出情報を第4の故障リストに付加
することにより、第2の論理回路の第1の論理回路から
の追加分に関する第4の故障情報リストを作成し、この
第4の故障情報リストから求めた故障検出率と故障検出
率基準とを比較することにより、第2のテストパターン
の第2の論理回路の第1の論理回路からの追加分に関す
る故障の検出率を評価する第2のステップとからなるこ
とを特徴とする。
【0021】好ましくは前記第2のテストパターンは、
第1のテストパターンに、第2の論理回路に含まれ第1
の論理回路には含まれない論理回路部分をテストするた
めの追加のテストパターンが付加されたことを特徴とす
る。
【0022】さらに好ましくは前記第3、第4の故障情
報リストは、第2の論理回路と第1の論理回路との共通
部分について抜き取りにより故障が仮定された前記第3
の故障リストと、第2の論理回路の第1の論理回路への
追加部分の全てについて故障が仮定された前記第4の故
障リストとを第2の論理回路の内部の故障として仮定
し、この第2の論理回路に第2のテストパターンを入力
することにより故障シミュレーションを実施し、この故
障シミュレーションにより得られた前記故障の検出・未
検出情報を、前記第3、第4の故障リストに付加するこ
とにより作成されたことを特徴とする。
【0023】また好ましくは前記第4の故障リストは、
第2の論理回路に含まれ第1の論理回路には含まれない
部分から、抜き取りにより故障が仮定されたことを特徴
とする。
【0024】また好ましくは第3の故障リスト、及び抜
き取りにより作成された第4の故障リストの故障を前記
第2の論理回路の内部に仮定し、この第2の論理回路に
前記第2のテストパターンを入力することにより前記第
2の論理回路の故障情報リストを作成し、これを前記第
2の論理回路からさらに僅かに異なる第3の論理回路を
テストする第3のテストパターンの故障検出の評価に用
いることを特徴とする。
【0025】さらに好ましくは前記論理回路の故障箇所
は、前記論理回路を所定のルールにしたがってブール代
数式に展開し、これを図形表示することにより得られる
節点に仮定された故障箇所であることを特徴とする。
【0026】同一の論理機能を論理記号を用いて図形化
する場合には論理記号の組み合わせに任意性が含まれ、
故障を仮定する論理図上の節点を一義的に定めることが
できないが、上記のようにブール代数式に基づいて図形
化すれば、前記節点が一義的に定められるので、本発明
の故障検出率評価の汎用性を大幅に向上することができ
る。
【0027】
【発明の実施の形態】以下、図面を参照して本発明の実
施の形態を詳細に説明する。図1は、本発明の第1の実
施の形態に係る故障検出率評価方法を示す流れ図であ
る。
【0028】基準とする第1の回路11は、内部に論理
回路1を含んでいる。この論理回路1は前記第1の回路
11の全部であってもよい。第2の回路12は第1の回
路の派生品であって第1の回路より回路規模が大きく、
前記論理回路1が論理ブロック21に内蔵され、これが
第2の回路に含まれた階層構成となっていることを例示
している。第2の回路12は必ずしも第1の回路11に
比べて大きい必要はなく、また論理回路1は前記第2の
回路の全部であってもよい。
【0029】テストパターン41は第1の回路11に含
まれる故障を検出するために用いるものであり、故障シ
ミュレーションにおいて第1の回路11に入力すること
により、第1の回路11の内部に仮定した故障の検出・
未検出が判定される。
【0030】本第1の実施の形態の目的は、故障シミュ
レーションにおいて第1の回路11に入力し、第1の回
路11に含まれる論理回路1をテストするテストパター
ン41を、第2の回路12にも入力できるテストパター
ン42に修正し、第2の回路に含まれる同一の論理回路
1に仮定した故障が、テストパターン42により同様に
検出されるかどうかを故障シミュレーションにより評価
することにある。
【0031】すなわち図1の論理回路1内に複数の点で
示されるように、抜き取りにより論理回路1内に故障を
仮定し、第1の回路にテストパターン41を入力する故
障シミュレーションで得た前記故障の検出・未検出情報
と、第2の回路にテストパターン42を入力する故障シ
ミュレーションで得た前記故障の検出・未検出情報とを
比較することにより、テストパターン41が第1の回路
から派生した第2の回路のテストパターン42の基準と
することが可能か否かを判断する。ここに論理回路1の
複数の点は、抜き取りにより仮定された故障をイメージ
的に表示したものである。
【0032】このように当初開発した回路に用いたテス
トパターンを基準として、一連の派生品の開発に対し次
々に故障シミュレーション資源を活用することができ
る。次にその具体的手順についてさらに詳細に説明す
る。
【0033】まず、第1の回路11の論理回路1につい
て抜き取りにより故障を仮定し、論理回路1に関する故
障リスト3を作成する。この故障リスト3は第2の回路
12の論理回路1の故障リストとして共通に使用され
る。
【0034】ここでテストパターンには、入出力端子情
報や出力観測のタイミングを示すストローブ情報等も含
まれているものとする。テストパターン41を第1の回
路11に入力して得た故障シミュレーションの結果は、
第1の故障検出・未検出リスト(以下第1の故障情報リ
ストと呼ぶ)51に格納される。故障リスト3と第1の
故障情報リスト51は、論理回路1から抜き取られた仮
定故障としては同一のものを保持しており、後者は、故
障シミュレーションの結果としてこれらの仮定故障が検
出されたか否かの情報を付加情報として含んでいる。
【0035】なお、故障シミュレーションにおいては故
障を仮定した結果、正常時に確定した出力であったもの
が不確定になることがあり、これをポテンシャル検出
(検出される可能性がある故障の意味)と呼んで、確実
に検出された場合と区別することがある。故障情報リス
ト51にはこのような情報が盛り込まれていてもよい。
【0036】次に、第1の回路を元に派生品として開発
された第2の回路を評価する場合についてのべる。ここ
では、第2の回路は第1の回路に比べて回路規模が大き
く、論理回路1を含むより大きな論理回路ブロック21
を内蔵するように、前記論理回路1が前記第2の回路に
階層的に含まれるものとしている。
【0037】このような第2の回路における論理回路1
の内部に、故障リスト3に登録された故障が埋め込まれ
る。また、第2の回路に対するテストパターン42に
は、当然、前記第2の回路に内蔵された論理回路1をテ
ストするためのテストパターンが含まれる。しかし、第
1の回路と第2の回路とは論理回路1の周辺回路の構成
が異なるため、テストパターン41をそのまま第2の回
路のテストパターンとして用いることはできない。
【0038】周辺回路の変更に合わせてテストパターン
41を修正し、第2の回路に含まれる論理回路1のテス
トができるテストパターン42を用意する。ここにテス
トパターン41の修正は前記周辺回路の変更に対応する
ものであるから、テストパターン42はテストパターン
41を基準としてこれに修正を加えればよい。なお、論
理回路1がCPUからテストされるような構造になって
いる場合、より上位のアセンブラ記述等を変更してテス
トパターンを修正することもある。
【0039】本発明の趣旨は、当初開発した回路に用い
たテストパターンを基準として、一連の派生品の開発に
対し故障シミュレーション資源を活用することであるか
ら、前記第1、第2の回路11、12に対するテストパ
ターン41、42は共通の基準パターンであることが望
ましい。しかし、実行上は上記のように周辺回路の変更
に対応する基準パターンからの修正が必要である。
【0040】これらの準備の後、第2の回路にテストパ
ターン42を入力する故障シミュレーションを実行すれ
ば、前記第2の回路に内蔵された論理回路1の故障に関
する第2の故障情報リスト52が得られる。
【0041】これを、すでに求めた故障情報リスト51
と比較し、全く一致しているか、またはリスト51より
多くの故障が検出されていれば、第2の回路12に含ま
れる論理回路に対し、規定の品質テストが実施されてい
ると判定される。
【0042】上記したように、テストパターン41、4
2に多少の相違点が含まれ、かつ、第2の回路の論理回
路1に対するテストパターン42の故障検出能力が、第
1の回路の論理回路1に対するテストパターン41の故
障検出能力に比べて劣る場合に、抜き取りにより作成さ
れた故障情報リスト51、52の故障の検出・未検出の
情報が一致する確率は極めて低い。
【0043】しかし、故障の抜き取りの割合を余りに低
くすれば、テストが欠落してもそれによって検出される
べき故障が抜き取られておらず、その欠落を見逃してし
まう確率が増加する点に注意しなければならない。
【0044】このため、例えば論理回路1内の10ない
し20プリミティブセル当たり少なくとも数個以上の割
合で故障が抜き取られるように抜き取り条件を設定す
る。これを前提とすれば、上記抜き取りによるテスト欠
落の問題をほぼ完全に回避することができる。
【0045】本発明の効果がもっとも大きいのは、大部
分の論理回路ブロックが既存の論理回路ブロックの流用
であり、幾つかの論理回路ブロックが新規開発となるよ
うなLSIの派生品開発の場合である。このとき、本第
1の実施の形態において、流用される論理回路1、故障
リスト3、基準となるテストパターン41、及び故障情
報リスト51がそれぞれ複数存在し、新しく開発される
派生LSIの故障シミュレーションが本第1の実施の形
態を活用して行われることになる。
【0046】先にのべたように、このような故障シミュ
レーションでは、新規開発の論理回路ブロックについて
は全数に近い故障を仮定して実施することにより、規定
テストパターンの品質を確認し、要求されるレベルまで
テストパターンの品質を向上させることができる。な
お、論理回路ブロックの境界部分については、全数の故
障が仮定されるようにしておくことが、対象とするLS
Iに対するテストパターンの品質保証の面から望まし
い。
【0047】次に、図2に基づき本発明の第2の実施の
形態に係る故障検出率評価方法の流れ図について説明す
る。この図は第1の実施の形態の論理回路1に相当する
第1の論理回路101がわずかに変更されて第2の論理
回路102となった場合である。
【0048】ここに前記第1、第2の論理回路101、
102は、前記第1の実施の形態と同様、第1、第2の
回路の中に含まれていてもよい。図2では、図1に示し
た故障シミュレーションへの論理接続ネット11、12
及びテストパターン41、42が、それぞれ第1、第2
の論理回路101、102、及び第1、第2のテストパ
ターン141、142として示されている。
【0049】ここでわずかな論理変更というのは、手作
業やインクリメンタルな論理合成を用いることによりな
されたものを想定しており、この場合、大部分の変更さ
れない論理回路を基準に探索していくことで変更された
部分を割り出すことが可能である。
【0050】はじめに、第1の論理回路101について
抜き取りにより故障を仮定し、故障リスト113を作成
する。次に基準となるテストパターン141を第1の論
理回路(第1の論理回路が第1の回路に含まれる場合は
前記第1の回路、以下同様)101に入力して故障シミ
ュレーションを実施し、前記故障の検出・未検出を故障
リスト113に付加した第1の故障情報リスト114を
作成する。
【0051】次に第1、第2の論理回路101、102
の論理接続を、前記変更された部分を割り出す変更抽出
プログラム103に入力し、第2の論理回路102にお
いて第1の論理回路101より削除されているノード、
ないし抜き取りによりこれに故障を仮定した故障リスト
112を作成する。
【0052】この第2の故障リスト112は、さらに、
第1の論理回路101について作成済みの第1の故障リ
スト113と共に、前記第2の故障リスト112対応の
故障を削除ないし無視できる旨マーキングするプログラ
ム104に入力される。このプログラム104は、第1
の故障リスト113からリスト112に登録されたノー
ドに対応するものを削除ないし無視できる旨をマーキン
グし、第3の故障リスト123を作成する。
【0053】第3の故障リスト123は、第1の論理回
路と第2の論理回路の共通部分に抜き取りにより故障を
仮定した故障リストに相当する。前記第3の故障リスト
123に登録された故障を第2の論理回路102の内部
に仮定し、この第2の論理回路に第2のテストパターン
142を入力して故障シミュレーションを実行する。こ
の故障シミュレーションにより得られた故障の検出・未
検出情報を、前記第3の故障リスト123に付加するこ
とにより、第3の故障情報リスト133を作成する。
【0054】再度前記第2の故障リスト112対応の故
障を削除ないし無視できる旨マーキングするプログラム
104を用いて、先に作成した第1の故障情報リスト1
14から前記第2の故障リスト112に含まれる故障の
検出・未検出情報が削除ないし無視できる旨マーキング
された第2の故障情報リスト124を作成する。
【0055】前記第2の故障情報リスト124が前記第
3の故障情報リスト133と一致するか又は前記第3の
故障情報リスト133の検出故障を包含しているか否か
で第2のテストパターンを第1の論理回路と第2の論理
回路の共通部分の故障検出に用いることの適否判定を行
う。この第2のテストパターンの第2の論理回路に対す
る第1ステップの故障検出能力の判定は、前記第1の実
施の形態と同様に行われる。
【0056】次に再度前記変更抽出プログラム103を
用いて、前記第2の論理回路102において前記第1の
論理回路101に対して新たに付加されたノードの全て
に故障を仮定した第4の故障リスト111を作成し、こ
の第4の故障リスト111の故障を第2の論理回路の内
部に仮定し、この第2の論理回路に第2のテストパター
ン142を入力して故障シミュレーションを実行する。
この故障シミュレーションにより得られた故障の検出・
未検出情報を、前記第4の故障リスト111に付加する
ことにより、第4の故障情報リスト131を作成する。
【0057】この第4の故障情報リスト131から求め
た故障検出率と故障検出率基準とを比較することによ
り、前記第2の論理回路における第1の論理回路からの
追加分に関する前記第2のテストパターンの故障の検出
率を評価し、第2のテストパターンを第2の論理回路の
追加分の故障検出に用いることの適否判定を行う。
【0058】これを第2のテストパターンの第2の論理
回路に対する第2ステップの故障検出能力の判定とす
る。前記第1、第2ステップの故障検出能力の判定によ
り、第2のテストパターンを第2の論理回路の故障検出
に用いることの可否を判定する。
【0059】以上の説明において、例えば第3の故障リ
スト123は、第1の故障リスト113と第2の故障リ
スト112とをプログラム104に入力することにより
求め、第2の故障情報リスト124は、第1の故障情報
リスト114と第2の故障リスト112とを再度プログ
ラム104に入力することにより求めたが、第3の故障
リスト123があれば、再度プログラム104を用いる
までもなく、第1の故障情報リスト114から第2の故
障情報リスト124を求めることができる。
【0060】また、第2の故障リスト112は、第1の
ステップにおいて第1、第2の論理回路をプログラム1
03に入力することにより求め、第4の故障リスト11
1は、第2のステップにおいて第1、第2の論理回路を
プログラム103に入力することにより求めたが、第
1、第2の論理回路をプログラム103に入力すれば、
同時に第2、第4の故障リスト112、111を出力す
るようにすることは容易である。
【0061】さらに第3の故障情報リスト133は、第
1のステップにおいて第3の故障リスト123に登録さ
れた故障を第2の論理回路の内部に仮定し、この第2の
論理回路に第2のテストパターン142を入力して故障
シミュレーションを実行することにより求め、第4の故
障情報リスト131は、第2のステップにおいて第4の
故障リスト111に登録された故障を第2の論理回路の
内部に仮定し、この第2の論理回路に第2のテストパタ
ーン142を入力して故障シミュレーションを実行する
ことにより求めたが、第3、第4の故障リスト123、
111の故障を同時に第2の論理回路102の内部に仮
定し、この第2の論理回路102に第2のテストパター
ン142を入力して故障シミュレーションを実行すれ
ば、1回の故障シミュレーションで前記第3の故障情報
リスト133と前記第4の故障情報リスト131とを求
めることができる。
【0062】上記のような一括された処理手順を組み合
わせれば、前記第2の実施の形態の処理フローに比べて
さらに効率的な処理フローが得られる。なお本発明は上
記の実施の形態に限定されることはない。例えば前記第
2の実施の形態において、第3の故障リスト133、及
び抜き取りにより作成された第4の故障リスト111の
故障を前記第2の論理回路102の内部に仮定し、この
第2の論理回路102に前記第2のテストパターン14
2を入力することにより前記第2の論理回路102の故
障情報リストを作成し、これを前記第2の論理回路10
2から、さらにわずかに異なる第3の論理回路をテスト
するために修正された第3のテストパターンを派生さ
せ、その故障検出能力を評価するときの基礎となる故障
情報リストとすることができる。
【0063】また、故障シミュレーションの実行時間を
さらに短縮するため、例えば第1の実施の形態におい
て、論理回路1をテストする所定のテストパターン41
の一部のみを使用して、第1の故障検出・未検出情報リ
スト51を作成し、これを派生品での故障検出率判定の
ための基準データに用いることもできる。
【0064】例えば、前記テストパターン41が複数の
サブテストパターンで構成されている場合、これら複数
の各サブテストパターンの最初から一定の長さの部分の
みを用いて故障シミュレーションを実施し、故障リスト
51を作成する。このようにすれば、故障シミュレーシ
ョンの実行時間を大幅に削減できるとともに、テストパ
ターン41の中に、論理回路1の内部に仮定した故障を
検出するために必要なサブテストパターンが含まれてい
ることの簡易な確認が可能となる。
【0065】特に、順次各サブテストパターンを論理回
路1に加えることにより、相当数(例えば数10個から
100個程度)の故障が追加検出されるように故障シミ
ュレーションに使用する各サブテストパターンの長さを
調整すれば、より確実に、そのサブテストパターンがテ
ストパターン41に含まれていることを確認できること
になる。
【0066】また、論理回路1に、出荷後ユーザーが使
用しないか、全くユーザーに非公開になっている機能に
伴う回路が含まれているとする。この場合、このような
機能のみに関わる回路部分に仮定された故障は、実際の
チツプにおいてそこに故障が存在しても、少なくとも機
能動作上は不具合を生じないため、その他の故障と区別
して扱うことは意味がある。このような故障は、前記第
1の実施の形態における故障リスト3から削除するか、
その旨がわかるようにマーキングしておけば良い。
【0067】実際的な活用法としては、マーキングされ
た故障は、例えばIdd・qテスト(Idd quiescent tes
t )の対象として扱い、検出できるようにすれば、テス
トの信頼性を向上することができる。ここにIdd・q テ
ストとは、電源電流等を検出することにより、例えばC
MOS回路で重要なリーク電流等の有無を検出する直流
テストであって、機能テストでは検出されない不良を抽
出することによりテストの信頼性を向上させるものであ
る。
【0068】このようにして新規開発品に対して十分な
故障検出能力のあるテストパターンを基準として、その
派生品に対して適用可能となるように次々に前記テスト
パターンを修正し、これらの修正されたテストパターン
について、その故障検出能力を順次評価することができ
る。
【0069】また、以上の実施の形態の説明において、
便宜上縮退故障モデルに基づく故障シミュレーションを
例として説明したが、本発明の考え方は、他の故障モデ
ルに基づく故障シミュレーションの場合に適用すること
は容易であり、本発明の範囲内に含まれる。
【0070】また、以上の実施の形態は、回路機能を論
理記号の接続で表した論理設計の段階で回路変更がなさ
れた場合に適用されるものであるが、一方現在または将
来に亘って、HDL(ハードウエア記述言語;Hardware
Description Language)記述が一般的となりつつある。
【0071】同じ回路機能を論理記号の接続で表す場
合、論理合成の過程を通じてみかけ上異なった論理記号
の接続関係となる場合が多い。これに対して将来は、い
わゆるソフトマクロ(Soft-Macro) の考え方が普及し、
これらのソフトマクロに対しては、例えば形式検証の際
行われるように、組み合わせ論理をブール代数式で一義
的に展開し、これを図形表示することにより前記ソフト
マクロの等価性を保証し、故障シミュレーションに際し
ては、そのレベルで故障を仮定することが必要となる。
【0072】このようにすれば、論理合成の過程を通じ
てみかけ上論理記号の接続関係が変化する場合にも、何
等問題なく本発明を適用することが可能になる。その他
本発明の要旨を逸脱しない範囲で、種々変形して実施す
ることができる。
【0073】
【発明の効果】上述したように本発明の故障検出率評価
方法によれば、共通の論理回路ブロック、又はわずかに
異なる論理回路ブロックを含むLSIや、ボード上に形
成された大規模な回路の派生品開発に際して、基準とな
るテストパターンを設定して故障シミュレーションを実
行し、その結果を次々に受け渡すことにより故障シミュ
レーション資源の有効利用を図ることができる。
【0074】また本発明を用いれば、類似のマクロブロ
ックを繰り返し活用する派生品開発、また、将来、大規
模なマクロがIP(知的所有権;Intellectual Propert
y )セルとして市場に流通し、システムがそのまま1チ
ップ上に搭載される時代に則応してテストの標準化が必
須の条件となる場合に、最小の労力で内蔵される論理回
路ブロックが確実にテストされているか否かを判定する
ことができ、チップの製造品質を保証することが可能に
なる。
【図面の簡単な説明】
【図1】本発明の第1の実施の形態に係る故障検出率評
価の流れを示す図。
【図2】本発明の第2の実施の形態に係る故障検出率評
価の流れを示す図。
【符号の説明】
1…論理回路 3…故障のリスト 11、12…第1、第2の回路 21…第2の回路に含まれる第1の論理回路を内蔵する
論理回路 41、42…テストパターン 51、52…第1、第2の故障情報リスト 101、102…第1、第2の論理回路 103…変更抽出プログラム 104…112対応の故障を削除するプログラム 111…第4の故障リスト 112…第2の故障リスト 113…第1の故障リスト 114…第1の故障情報リスト 123…第3の故障リスト 124…第2の故障情報リスト 131…第4の故障情報リスト 133…第3の故障情報リスト 141…第1のテストパターン 142…第2のテストパターン
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.6 識別記号 FI H01L 21/822 H01L 27/04 T

Claims (13)

    【特許請求の範囲】
  1. 【請求項1】 第1の回路の一部または全部をなす論理
    回路から、抜き取りにより故障を仮定した故障リストを
    作成し、 前記論理回路を含む第2の回路において、前記故障リス
    トを前記第2の回路に含まれる論理回路の故障リストと
    し、 所定のテストパターンを前記第1の回路に入力すること
    により故障シミュレーションを実施し、 この故障シミュレーションにより得られた故障検出・未
    検出情報を前記故障リストに付加することにより第1の
    故障情報リストを作成し、 前記所定のテストパターンを前記第2の回路に含まれる
    前記論理回路に適用可能としたテストパターンを前記第
    2の回路に入力することにより故障シミュレーションを
    実施し、 この故障シミュレーションにより得られた故障検出・未
    検出情報を前記故障リストに付加することにより第2の
    故障情報リストを作成し、 前記第1、第2の故障情報リストを比較することを特徴
    とする故障検出率評価方法。
  2. 【請求項2】 前記第2の回路に含まれる論理回路は、
    前記第1の回路に含まれる論理回路とわずかに異なる回
    路からなるものであり、 前記わずかに異なる回路のうち、前記第1の回路に追加
    された部分については全ての故障を仮定し、前記第1の
    回路から削除された部分については、その部分について
    の故障を削除ないし無視できる旨のマーキングを行い、 前記第1、第2の回路に共通に含まれる論理回路につい
    ては抜き取りにより故障が仮定されたことを特徴とする
    請求項1記載の故障検出率評価方法。
  3. 【請求項3】 前記第2の回路は、前記第1の回路と同
    一のものであって、かつ、外部または内部の入力信号の
    一部が“1”または“0”のいずれかに固定されること
    により、前記第1の回路で実現される機能の一部が削除
    された状態にされており、 前記削除された機能のみに関わる回路部分に仮定された
    故障は、削除ないし無視できる旨のマーキングを行い、 前記第1、第2の回路において共通に実現される機能に
    関わる回路部分については、抜き取りによる故障が仮定
    されたことを特徴とする請求項1記載の故障検出率評価
    方法。
  4. 【請求項4】 前記第1の回路に対するテストパターン
    は、前記第1の回路をテストする前記所定のテストパタ
    ーンの一部であることを特徴とする請求項1記載の故障
    検出率評価方法。
  5. 【請求項5】 第1、第2の回路(11、12)に適用
    することができるテストパターン(41、42)の故障
    検出率評価方法であって、 前記第1、第2の回路(11、12)は共通の論理回路
    (1)を含み、 かつ、前記テストパターン(41、42)の故障検出率
    は、前記論理回路(1)に含まれる故障の故障検出率で
    ある場合において、 前記論理回路(1)から抜き取りにより故障を仮定した
    故障リスト(3)を作成し、 前記論理回路(1)をテストするテストパターン(4
    1)を前記第1の回路(11)に入力することにより故
    障シミュレーションを実施し、 前記論理回路(1)をテストするテストパターン(4
    1)を前記第2の回路(12)に含まれる前記論理回路
    (1)にも適用可能なテストパターン(42)として、
    前記第2の回路(12)に入力することにより再度故障
    シミュレーションを実施し、 この故障シミュレーションの実施により得られた故障の
    検出・未検出情報を前記故障リスト(3)に付加するこ
    とにより、前記第1、第2の回路(11、12)に関す
    る第1、第2の故障情報リスト(51、52)を作成
    し、 この第1、第2の故障情報リスト(51、52)を比較
    することにより、前記テストパターンの前記第1、第2
    の回路(11、12)に含まれる論理回路(1)に仮定
    した故障の検出・未検出を評価することを特徴とする故
    障検出率評価方法。
  6. 【請求項6】 請求項5記載の第1の回路(11)は、
    前記論理回路(1)が前記第1の回路(11)の全部で
    あることを特徴とする故障検出率評価方法。
  7. 【請求項7】 請求項5記載の第1、第2の故障情報リ
    スト(51、52)を比較する手段は、前記第2の故障
    情報リスト(52)の検出故障が前記第1の故障情報リ
    スト(51)の全ての検出故障を含むか否かを判定する
    ことを特徴とする故障検出率評価方法。
  8. 【請求項8】 第1の回路は第1の論理回路(101)
    を含み、 第2の回路は前記第1の論理回路(101)とわずかに
    異なる第2の論理回路(102)を含み、 かつ、前記第1、第2の回路をテストする第1、第2の
    テストパターン(141、142)の故障検出率は、前
    記第1、第2の論理回路(101、102)に含まれる
    故障の故障検出率である場合において、 前記第1の論理回路(101)について抜き取りにより
    仮定した第1の故障リスト(113)を作成し、 前記第1のテストパターン(141)を前記第1の回路
    に入力することにより故障シミュレーションを実施し、 この故障シミュレーションにより得られた故障の検出・
    未検出情報を、前記第1の故障リスト(113)に付加
    することにより前記第1の論理回路(101)に関する
    第1の故障情報リスト(114)を作成し、 変更抽出プログラム(103)を用いて、前記第1の故
    障リスト(113)から前記第1の論理回路(101)
    に含まれ前記第2の論理回路(102)には含まれない
    故障を抽出することにより、第2の故障リスト(11
    2)を作成し、 前記第2の故障リスト(112)対応の故障を削除ない
    し無視できる旨をマーキングするプログラム(104)
    を用いて、前記第1の故障リスト(113)から前記第
    2の故障リスト(112)対応の故障が削除ないし無視
    できる旨がマーキングされた第3の故障リスト(12
    3)を作成し、 前記第3の故障リスト(123)の故障が第2の論理回
    路の内部に仮定された前記第2の論理回路に、前記第2
    のテストパターン(142)を入力することにより再度
    故障シミュレーションを実施し、 この故障シミュレーションにより得られた故障の検出・
    未検出情報を、前記第3の故障リスト(123)に付加
    することにより、前記第1の論理回路(101)と前記
    第2の論理回路(102)との共通部分に関する第3の
    故障情報リスト(133)を作成し、 前記第2の故障リスト(112)対応の故障を削除ない
    し無視できる旨マーキングするプログラム(104)を
    用いて、前記第1の故障情報リスト(114)から前記
    第2の故障リスト(112)に含まれる故障の検出・未
    検出情報が削除ないし無視できる旨マーキングされた第
    2の故障情報リスト(124)を作成し、 前記第2、第3の故障情報リスト(124、133)を
    比較することにより、前記テストパターンの前記第1、
    第2の論理回路(101、102)の共通部分に仮定し
    た故障の検出・未検出を評価する第1のステップと、 前記変更抽出プログラム(103)を用いて、前記第2
    の論理回路(102)に含まれ前記第1の論理回路(1
    01)には含まれない回路部分を抽出し、この回路部分
    の全てについて故障を仮定することにより第4の故障リ
    スト(111)を作成し、 かつ、前記第4の故障リスト(111)の故障が第2の
    論理回路の内部に仮定された前記第2の論理回路に、前
    記第2のテストパターン(142)を入力することによ
    り故障シミュレーションを実施し、 この故障シミュレーションにより得られた故障の検出・
    未検出情報を前記第4の故障リスト(111)に付加す
    ることにより、前記第2の論理回路(102)の前記第
    1の論理回路(101)からの追加分に関する第4の故
    障情報リスト(131)を作成し、 前記第4の故障情報リスト(131)から求めた故障検
    出率と故障検出率基準とを比較することにより、前記第
    2のテストパターンにおける、前記第2の論理回路(1
    02)の前記第1の論理回路(101)からの追加分に
    関する故障の検出率を評価する第2のステップとからな
    ることを特徴とする故障検出率評価方法。
  9. 【請求項9】 前記第2のテストパターン(142)
    は、前記第1のテストパターン(141)に、前記第2
    の論理回路(102)に含まれ前記第1の論理回路(1
    01)には含まれない論理回路部分をテストするための
    追加テストパターンが付加されたことを特徴とする請求
    項8記載の故障検出率評価方法。
  10. 【請求項10】 前記第3、第4の故障情報リスト(1
    33、131)は、前記第1の論理回路(101)と前
    記第2の論理回路(102)との共通部分について抜き
    取りにより故障が仮定された前記第3の故障リスト(1
    23)と、前記第2の論理回路(102)における、前
    記第1の論理回路(101)からの追加分の全てについ
    て故障が仮定された前記第4の故障リスト(111)と
    を前記第2の論理回路(102)の内部の故障として仮
    定し、 この第2の論理回路(102)に第2のテストパターン
    (142)を入力することにより故障シミュレーション
    を実施し、 この故障シミュレーションにより得られた前記故障の検
    出・未検出情報を、前記第3、第4の故障リスト(12
    3、111)に付加することにより作成されたことを特
    徴とする請求項8記載の故障検出率評価方法。
  11. 【請求項11】 請求項8記載の第4の故障リスト(1
    11)は、前記第2の論理回路(102)に含まれ前記
    第1の論理回路(101)には含まれない部分から抜き
    取りにより故障が仮定されたことを特徴とする故障検出
    率評価方法。
  12. 【請求項12】 請求項8記載の第3の故障リスト(1
    23)及び請求項11記載の抜き取りにより作成された
    第4の故障リスト(111)の故障を前記第2の論理回
    路(102)の内部に仮定し、この第2の論理回路に前
    記第2のテストパターン(142)を入力することによ
    り前記第2の論理回路(102)の故障情報リストを作
    成し、これを前記第2の論理回路からさらに僅かに異な
    る第3の論理回路をテストする第3のテストパターンの
    故障検出の評価に用いることを特徴とする故障検出率評
    価方法。
  13. 【請求項13】 前記論理回路の故障は、前記論理回路
    を所定のルールにしたがってブール代数式に展開し、こ
    れを図形表示することにより得られる節点に仮定された
    故障であることを特徴とする請求項1〜12のいずれか
    1つに記載の故障検出率評価方法。
JP31517697A 1997-11-17 1997-11-17 故障検出率評価方法 Pending JPH11149491A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP31517697A JPH11149491A (ja) 1997-11-17 1997-11-17 故障検出率評価方法
US09/192,416 US6151694A (en) 1997-11-17 1998-11-16 Method of evaluating fault coverage

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP31517697A JPH11149491A (ja) 1997-11-17 1997-11-17 故障検出率評価方法

Publications (1)

Publication Number Publication Date
JPH11149491A true JPH11149491A (ja) 1999-06-02

Family

ID=18062346

Family Applications (1)

Application Number Title Priority Date Filing Date
JP31517697A Pending JPH11149491A (ja) 1997-11-17 1997-11-17 故障検出率評価方法

Country Status (2)

Country Link
US (1) US6151694A (ja)
JP (1) JPH11149491A (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6766473B2 (en) * 2000-03-27 2004-07-20 Kabushiki Kaisha Toshiba Test pattern selection apparatus for selecting test pattern from a plurality of check patterns
JP2007072737A (ja) * 2005-09-07 2007-03-22 Nec Corp Lsi設計システム、論理修正支援装置及びそれらに用いる論理修正支援方法並びにそのプログラム
JP2007188230A (ja) * 2006-01-12 2007-07-26 Toshiba Corp 故障リスト及びテストパターン作成装置、故障リスト及びテストパターン作成方法、故障リスト作成及び故障検出率算出装置、及び故障リスト作成及び故障検出率算出方法
WO2009148984A1 (en) * 2008-06-02 2009-12-10 Gm Global Technology Operations, Inc. Integrated hierarchical process for fault detection and isolation
KR20150117129A (ko) * 2014-04-09 2015-10-19 한국전자통신연구원 에러 시뮬레이션 장치 및 그 방법

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6693448B1 (en) * 1998-08-24 2004-02-17 Renesas Technology Corporation Semiconductor integrated circuit
US6618830B1 (en) * 1998-11-30 2003-09-09 Texas Instruments Incorporated System and method for pruning a bridging diagnostic list
CA2360291A1 (en) * 2001-10-30 2003-04-30 Benoit Nadeau-Dostie Method and program product for designing hierarchical circuit for quiescent current testing and circuit produced thereby
US6745358B1 (en) * 2001-11-30 2004-06-01 Lsi Logic Corporation Enhanced fault coverage
FR2882601B1 (fr) * 2005-02-25 2007-10-12 Iroc Technologies Sa Emulation/simulation d'un circuit logique
US7970594B2 (en) * 2005-06-30 2011-06-28 The Mathworks, Inc. System and method for using model analysis to generate directed test vectors
US9651621B2 (en) * 2014-07-10 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. System for and method of semiconductor fault detection
JP7214440B2 (ja) * 2018-11-01 2023-01-30 三菱重工エンジニアリング株式会社 検証処理装置、検証処理方法及びプログラム
US11494537B1 (en) * 2021-05-13 2022-11-08 Palo Alto Research Center Incorporated Method and system for efficient testing of digital integrated circuits
CN113945823B (zh) * 2021-09-26 2024-04-09 成都嘉纳海威科技有限责任公司 一种芯片潜在缺陷检测方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4769817A (en) * 1986-01-31 1988-09-06 Zycad Corporation Concurrent fault simulation for logic designs
US5862149A (en) * 1995-08-29 1999-01-19 Unisys Corporation Method of partitioning logic designs for automatic test pattern generation based on logical registers
US5991907A (en) * 1996-02-02 1999-11-23 Lucent Technologies Inc. Method for testing field programmable gate arrays
US6061818A (en) * 1997-05-08 2000-05-09 The Board Of Trustees Of The Leland Stanford Junior University Altering bit sequences to contain predetermined patterns

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6766473B2 (en) * 2000-03-27 2004-07-20 Kabushiki Kaisha Toshiba Test pattern selection apparatus for selecting test pattern from a plurality of check patterns
JP2007072737A (ja) * 2005-09-07 2007-03-22 Nec Corp Lsi設計システム、論理修正支援装置及びそれらに用いる論理修正支援方法並びにそのプログラム
JP2007188230A (ja) * 2006-01-12 2007-07-26 Toshiba Corp 故障リスト及びテストパターン作成装置、故障リスト及びテストパターン作成方法、故障リスト作成及び故障検出率算出装置、及び故障リスト作成及び故障検出率算出方法
WO2009148984A1 (en) * 2008-06-02 2009-12-10 Gm Global Technology Operations, Inc. Integrated hierarchical process for fault detection and isolation
CN102046443A (zh) * 2008-06-02 2011-05-04 通用汽车环球科技运作公司 用于故障检测和隔离的集成分级过程
KR20150117129A (ko) * 2014-04-09 2015-10-19 한국전자통신연구원 에러 시뮬레이션 장치 및 그 방법

Also Published As

Publication number Publication date
US6151694A (en) 2000-11-21

Similar Documents

Publication Publication Date Title
US7661050B2 (en) Method and system for formal verification of partial good self test fencing structures
Bartenstein et al. Diagnosing combinational logic designs using the single location at-a-time (SLAT) paradigm
JPH11149491A (ja) 故障検出率評価方法
US7836366B2 (en) Defect localization based on defective cell diagnosis
US7137083B2 (en) Verification of integrated circuit tests using test simulation and integrated circuit simulation with simulated failure
US6785855B2 (en) Implementation of an assertion check in ATPG models
Wang et al. Delay-fault diagnosis using timing information
Pevtsov et al. Design for testability of integrated circuits and project protection difficulties
Roncken et al. Test quality of asynchronous circuits: A defect-oriented evaluation
Bartenstein Fault distinguishing pattern generation
Huang et al. Using fault model relaxation to diagnose real scan chain defects
US6876934B2 (en) Method for determining fault coverage from RTL description
JPH03290761A (ja) 論理回路の設計方法
US20050024074A1 (en) Method and apparatus for characterizing an electronic circuit
JP2008527322A (ja) 回路配置並びにその検査および/または診断方法
US6728938B2 (en) Knowledge-based intelligent full scan dump processing methodology
Kinra et al. Diagnostic techniques for the UltraSPARC/sup TM/microprocessors
Rodríguez Gómez Machine learning support for logic diagnosis
JP2005043274A (ja) 故障モード特定方法及び故障診断装置
Storey Quality of integrated circuit test
JPH10247208A (ja) 集積回路の試験方法および試験装置
JP2672893B2 (ja) 故障シミュレーション処理装置
Chakraborty et al. Robust testing for stuck-at faults
Coppens et al. VHDL modelling and analysis of fault secure systems
Thibeault Diagnosis Method Using ΔIDDQ Probabilistic Signatures: Theory and Results