JPH1070274A - 電界効果トランジスタおよび形成方法 - Google Patents

電界効果トランジスタおよび形成方法

Info

Publication number
JPH1070274A
JPH1070274A JP9190957A JP19095797A JPH1070274A JP H1070274 A JPH1070274 A JP H1070274A JP 9190957 A JP9190957 A JP 9190957A JP 19095797 A JP19095797 A JP 19095797A JP H1070274 A JPH1070274 A JP H1070274A
Authority
JP
Japan
Prior art keywords
layer
gate
forming
substrate
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP9190957A
Other languages
English (en)
Other versions
JP3217015B2 (ja
Inventor
Cock Chan Kevin
ケビン・コック・チャン
Uun Chiyuu Jack
ジャック・ウーン・チュー
Etsuzutsudeiin Isumairu Hariido
ハリード・エッズッディーン・イスマイル
Anthony Rishiyuton Stephen
スティーブン・アンソニー・リシュトン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH1070274A publication Critical patent/JPH1070274A/ja
Application granted granted Critical
Publication of JP3217015B2 publication Critical patent/JP3217015B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/095Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being Schottky barrier gate field-effect transistors

Abstract

(57)【要約】 【課題】 素子が小形化するにつれて深刻化する、サブ
ミクロンFET素子の自己アライメント型高抵抗ソース
/ドレイン・コンタクトと高抵抗ゲート電極の問題を克
服すること。 【解決手段】 半導体基板12と、ソースとドレインと
を形成し、その間にチャネルを画定する2つの離隔した
ショットキー金属半導体化合物領域20、22と、ソー
スおよびドレイン上の誘電層18と、チャネル上のゲー
ト誘電層26と、ゲートを形成する前記ゲート誘電層上
の導電層32とを含む。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体に関し、具
体的にはT字形ゲートを備えたサブミクロン・ゲート長
の自己アライメント型金属酸化物半導体(MOS)電界
効果トランジスタ(FET)に係わる。
【0002】
【従来の技術】最新の金属酸化物半導体電界効果トラン
ジスタは、ゲート長を0.25μm未満に縮小する必要
がある。ゲートを形成する標準的なプロセスは、ポリシ
リコン層を付着させ、その層をエッチングして必要なゲ
ート長を画定し、ポリシリコンをマスクとして使用して
ソース/ドレインの浅い注入ステップを行うことによ
る。浅い注入ステップの後に、窒化物側壁スペーサを形
成し、ソース/ドレインに深いオーミック領域を注入
し、次に金属ケイ化物をゲートおよびソース/ドレイン
注入層に形成する。したがって、ゲートの金属ケイ化物
は下のポリシリコン・ゲートと同じゲート長であるた
め、ゲートの抵抗はゲート長が短くなるに従って増大す
る。ゲート抵抗は、RC遅延時間によって素子を低速に
する。あるいは、酸化膜層に窓を開け、金属をパターン
形成することによって、ポリシリコン・ゲートよりも長
い金属ゲートを形成することもできる。このプロセスに
よって、現在のところ最高速のシリコン素子が作られて
いるが、最初のポリシリコン・ゲートへのきわめて精細
な再アライメントを必要とし、これが成功しない場合
は、ゲートがソース/ドレイン・オーミック・コンタク
トとショートする可能性がある。したがって、上記のプ
ロセスは製造には適応しない。
【0003】MOS FETのゲート長の縮小によるゲ
ート抵抗の増大に加え、イオン注入によって形成される
オーミック・ソース/ドレイン・コンタクトの深さは、
ゲート長対接合深さのアスペクト比を1より大きく維持
する必要性に応じてスケーリングすることができない。
MOS FETの幅を縮小すると、オーミック領域の抵
抗が増大し、それによってFETの速度性能が低下す
る。チャネル注入によってしきい値電圧を調整しなけれ
ばならず、このチャネル注入は、ショート・チャネル効
果を防止するために浅くならなければならない。注入が
浅くなると、それによって反転層内のキャリヤ移動度が
低下し、制御も一層困難になる。
【0004】
【発明が解決しようとする課題】本発明は、素子をより
小さな寸法に縮小するにつれて深刻になる、サブミクロ
ンFET素子の自己アライメント型高抵抗ソース/ドレ
イン・コンタクトと高抵抗ゲート電極の問題を克服する
ものである。
【0005】
【課題を解決するための手段】本発明により、長さと幅
の両方をサブミクロン寸法に容易に縮小することができ
る電界効果トランジスタの構造およびプロセス・シーケ
ンスについて述べる。このプロセスは、寄生ゲート抵抗
を減少させるために、ショットキー金属オーミック・ソ
ース/ドレイン・コンタクトまたは隆起したその場でド
ープしたエピタキシャル・オーミック・コンタクトと、
自己アライメント型のT字形金属または金属/ポリシリ
コン・ゲートに依存する。
【0006】本発明は、精細アライメント・ステップを
使用しないT字形金属ゲートを可能にし、その結果、ゲ
ート抵抗を大幅が減少する、ゲート・スタックを形成す
る方法を提供する。
【0007】本発明はさらに、面積をスケーリングする
ことができるきわめて浅いソース/ドレイン・コンタク
トの形成を可能にする方法を提供する。
【0008】本発明は、ゲート・スタック窒化ケイ素側
壁スペーサを不要にする。
【0009】本発明はさらに、たとえば0.05〜0.
2μmの範囲のゲート長に対して約0.2μmのアライ
メント確度の精細アライメントの必要なしに既存の浅い
ソース/ドレイン・コンタクトに合わせて自己アライメ
ントされるT字ゲートの形成を可能にする新規な方法を
提供する。
【0010】本発明はさらに、ケイ化を必要とせずにゲ
ートを金属または金属/ポリシリコンの組合せによって
形成し、その結果きわめて低いゲート接触抵抗が得られ
る新規な代替方法を提供する。
【0011】本発明はさらに、金属障壁または接合部
か、あるいはチャネルに対するその場でのドープ半導体
によって、ソース/ドレイン・コンタクトを製作するこ
とができ、それによってイオン注入とアニールを行わず
に済む新規な方法を提供する。本明細書に記載のコンタ
クトの高導電率によって、高パッキング密度回路用のコ
ンタクトの面積のスケーリングが可能になる。
【0012】本発明は、ソースとドレインを形成する隆
起半導体領域の間に形成された自己アライメント隆起エ
ピタキシャル・チャネルを提供する。
【0013】本発明はさらに、たとえばチタンなどの同
一のソース/ドレイン・コンタクト金属を選択的かつ局
所的に酸化して誘電率の高いゲート誘電材料(二酸化チ
タン)を形成し、それによってゲート誘電層の厚さのス
ケーリング制限を緩和する新規なプロセスを提供する。
【0014】
【発明の実施の形態】図面を参照すると、図1は基板1
2と、犠牲層14と、後でチャネルに対するショットキ
ー障壁または接合部を形成する金属層16と、絶縁層1
8とを示す断面図である。基板12は、製作するMOS
FETのチャネルを形成するのに適合した単結晶半導
体材料とすることができる。基板12は、たとえばシリ
コン、シリコン・ゲルマニウム、ゲルマニウム、ガリウ
ムヒ素、インジウム・ガリウムヒ素、インジウムリン、
およびインジウム・ガリウムヒ素リンとすることができ
る。犠牲層14は、基板12に対して選択的にエッチン
グ可能で、ショットキー金属層16がたとえばケイ化物
またはゲルマナイドの形で消費することができる材料と
することができる。犠牲層14は、チャネルを反応性イ
オン・エッチング(RIE)による損傷から保護する役
割を果たす。犠牲層14は、たとえばゲルマニウム含有
量x(ただしxは0.3以上)でシリコン含有量が1−
xのシリコン・ゲルマニウムとすることができる。犠牲
層14は、GaAs、InGaAs、InP、In1-x
GaxAsy1-yおよびSiとすることもできる。金属
層16の材料は、MOS FETのソースとドレインを
形成し、適切に選択された仕事関数を有する材料とする
ことができる。金属層16は、選択された障壁高を実現
する、たとえばCo、Ni、Pd、Pt、Rh、Ta、
Ti、W、これらの組合せ、およびこれらの合金とする
ことができ、蒸発またはスパッタリングによってブラン
ケット付着させることができる。次に金属層16を絶縁
層18で被う。絶縁層はたとえば、テトラオルトエチル
ケイ酸塩(TEOS)を先行物質として使用したCVD
などによる低温付着酸化膜とすることができる。
【0015】次に、絶縁層18にレジストを付着させ、
リソグラフィ・パターン形成してゲート窓19を画定
し、後で形成される図2に示すようなソース領域とドレ
イン領域を画定する。ゲート窓は、たとえばRIEを使
用して絶縁層18と金属層16を貫通して開けられる。
後で形成されるソース領域とドレイン領域の周囲にトレ
ンチを形成する。図3に、図2の線3−3に沿った断面
図を示す。図3には、製作するMOS FETのチャネ
ルにRIE損傷が達しないようになっている、ゲート窓
19内の基板12の上の犠牲層14が図示されている。
【0016】次に、図4に示すように、金属層16とそ
の下の犠牲層14を合金してソース・コンタクト20と
ドレイン・コンタクト22を形成すると同時に、ゲート
窓19内の犠牲層14を乱さないようにする。ソース・
コンタクト20とドレイン・コンタクト22はそれぞれ
ショットキー障壁または接合23および24を形成し、
図5に示す基板12内にチャネル25を形成する。金属
層16の厚さと犠牲層14の厚さとの比と、温度を調整
して、層14の完全な合金によりチャネルに対してショ
ットキー・ソースおよびドレイン接合を形成することが
できるようにする。元の基板12の界面で基板12との
ある種の合金ができる場合がある。基板12中への合金
の侵入はわずかであり、犠牲層14と基板12とのヘテ
ロ接合を簡単に除去できる程度である。たとえば、nチ
ャネルFETの場合、犠牲層14をSiGeとし、基板
12をSi、ショットキー金属層16をTiとすること
ができる。600℃以上の温度で起こるケイ化のステッ
プで、たとえばケイ化チタンやゲルマニウム化チタンな
どのケイ化物または混合ケイ化物を形成することができ
る。pチャネルFETの場合、ショットキー金属層16
はたとえばPtとすることができる。250℃以上での
ケイ化のステップで、プラチナ・シリサイドを形成する
ことができる。
【0017】次に、図5に示すように、ゲート窓19の
犠牲層14を除去した後、ゲート窓19内の基板12上
と、ゲート窓19の側壁27および28と、絶縁層18
の上面とに、ゲート酸化膜26を形成または成長させ
る。ゲート酸化膜26の厚さは2〜20nmの範囲とす
ることができ、典型的には3〜5nmである。
【0018】図6を参照すると、適切な拡散障壁を備え
ても備えなくてもよく、また下側にポリシリコンを備え
ても備えなくてもよい、たとえばAl、Wのようなゲー
ト材料30を、酸化膜26の上にブランケット付着させ
るか成長させ、リソグラフィでパターン形成してT字形
ゲート32を画定する。T字形ゲート32は、ゲート酸
化膜26の上に延びている。リソグラフィ・パターン形
成のプロセス中にネガ型レジストを使用することができ
る。
【0019】露出しているゲート酸化膜26とT字形ゲ
ート32の上に、たとえば二酸化シリコンまたは流動性
酸化物などの絶縁材料34を形成する。図7に示すよう
に、絶縁材料34を貫通する穴または開口部35を開け
る。穴または開口部35は、たとえばタングステンなど
の導電材料36で満たし、化学機械研磨(CMP)によ
って平坦化し、絶縁材料34の上面と同一平面にバイア
を形成する(図示せず)。あるいは、導電材料36で穴
35を満たして絶縁材料34上に層を形成する金属層3
7を形成することもできる。金属層37をパターン形成
して回路の相互接続部を設けることができる。
【0020】図8に、ゲート長が0.25μmの図7の
実施例のドレイン−ソース電流とドレイン−ソース電圧
の関係を示すグラフを示す。測定されたFETは、チタ
ン層16とSiGex(ただしxは0.3)の犠牲層1
4との合金によって形成された層ケイ化チタンとゲルマ
ニウム化チタンの混合物であるソース・コンタクト20
とドレーン・コンタクト22を有するnチャネルであっ
た。金属ゲートは50オングストロームのTi層と15
00オングストロームのAl層を有していた。T字形ゲ
ートの上部すなわちTの長さは0.5μmであった。図
8では、縦座標がドレイン−ソース電流を表し、横座標
がドレイン−ソース電圧を表す。曲線44〜47は、そ
れぞれ0.5V、1.0V、1.5V、および2.0V
の電圧での測定値からプロットしたものである。相互コ
ンダクタンスgmは約210mSであり、出力コンダク
タンスgdは約10mSであった。
【0021】図9を参照すると、図12に示す実施例を
形成するプロセスの初期ステップを示す断面図が図示さ
れている。図9ないし図12では、図1ないし図7の装
置に対応する機能には同様の参照符号が使用されてい
る。
【0022】再び図9を参照すると、基板12上に半導
体層40が形成されている。半導体層40は、基板12
に対してウェット・エッチまたはRIEを使用して選択
的にエッチングすることができる単結晶または多結晶材
料とすることができる。たとえば、基板12をシリコン
とし、半導体層40をシリコン・ゲルマニウム合金とす
るかその逆とすることができ、あるいは基板12をGa
Asとし、半導体層40をInGaAsとするかその逆
とすることができる。半導体層40は、たとえば5×1
19〜5×1020原子/cm3の範囲に濃くドーピング
することができ、図12に示すMOS FETのソース
とドレインとして機能する。半導体層40は、pチャネ
ルMOS FETではドーピングしたp型とすることが
でき、nチャネルMOS FETではドーピングしたn
型とすることができる。半導体層40は、基板12上に
その場でエピタキシャル形成することができる。次に、
半導体層40を絶縁層18で被う。絶縁層18はたとえ
ば低温で形成された酸化物とすることができる。図10
を参照すると、次に、リソグラフィを使用し、たとえば
RIEを使用して絶縁層18と半導体層40内にゲート
窓19を開ける。
【0023】図11を参照すると、基板12上のゲート
窓19内と絶縁層18の上面の上にゲート酸化膜26を
付着させている。
【0024】図12を参照すると、ゲート酸化膜26の
上にゲート材料30を形成し、リソグラフィでパターン
形成してT字形ゲート32を画定している。ゲート材料
30はゲート窓19から絶縁層18の上面に延び、その
間にゲート酸化膜があってもなくてもよい。ゲート材料
30を付着させる前に、絶縁層18の上のゲート酸化膜
26を除去することができる。
【0025】図13に、図16に示すMOS FETを
形成する初期ステップを示す。図13ないし図16で
は、図1ないし図7および図9ないし図12の装置に対
応する機能には同様の参照符号が使用されている。基板
12はその上面に金属層16を有し、この金属層16は
たとえばチタンやプラチナなどの任意の金属とすること
ができる。絶縁層18は窒化シリコンなどであり、ショ
ットキー金属層16の上面上に形成される。
【0026】図14に示すように絶縁層18内にゲート
窓19を形成する。ゲート窓19を開けた後、金属層1
6の露出部分を酸化させてたとえばTiO2などを形成
し、これが図15に示すゲート誘電層50となる。酸化
中に温度を700℃より下に維持すると、基板12がシ
リコンの場合は界面で酸化しない。
【0027】次に、図16に示すように、ゲート誘電層
50上にゲート材料30を形成し、リソグラフィでパタ
ーン形成してT字形ゲート32を画定する。
【0028】図17に示す態様では、図3のショットキ
ー金属層16内または図10のその場で濃くドーピング
された層40内にゲート窓19を開けた後、エピタキシ
ャル成長システムにサンプルすなわち基板12を入れ
る。エピタキシャル成長システムは、二酸化シリコンま
たは窒化シリコンの絶縁層18の上に半導体を成長させ
ることなく、基板12上のゲート開口部19内にSiや
SiGeなどの必要な半導体を選択的に成長させてチャ
ネル52を形成することができる。チャネル52を形成
するエピタキシャル層の結果、ソース41とドレイン4
2の両方に対して電気的に接触する隆起したFETチャ
ネルができる。1996年6月9日付けで本発明の共同
発明人であるK.E.イスマイルと、F.スターンに対
して発行された米国特許第5534713号に記載の強
化されたトランスポート特性(たとえば移動度)を利用
するために、Si/SiGeなどのヘテロ接合または傾
斜接合あるいはその両方を成長させることによって、キ
ャネル52内に格子ひずみを生じさせることができる。
この米国特許第5534713号は、本出願人に(半
分)譲渡され、移動度などを向上させるひずみ層の形成
を示すために参照により本明細書に組み込まれる。
【0029】図17ないし図20では、図1ないし図7
および図9ないし図16の装置に対応する機能には同様
の参照符号が使用されている。
【0030】図18ないし図20に示す他の態様では、
基板12上にまず絶縁層18を付着させ、次にリソグラ
フィでパターン形成して、たとえば二酸化シリコンなど
の絶縁層18内にゲート窓19を開けるか形成する。ゲ
ート窓19には、たとえばレジスト・リフト・オフを使
用してTiなどの金属を満たしたり、選択的成長を使用
してGeなどの半導体を満たしたりすることができる。
いずれの場合も、自己アライメントされるソース領域5
4とドレイン領域56を形成するために絶縁層18を通
して注入される注入種をソースおよびドレインの注入時
に止めることができるように選択された材料を、ゲート
窓に満たす。したがって、たとえば図18に示すGeや
図19に示すTiなどの窓材料は、たとえばSiまたは
SiGe合金あるいはそれらの組合せである下の半導体
基板12に対して容易に選択的エッチングすることがで
きる。ゲート窓19の窓材料を除去し、注入物を活性化
するアニールのステップの後、ゲート酸化膜26を付着
させ、FETのTゲートを形成するための残りのプロセ
スを行う。
【0031】以上で提案したすべてのプロセスでは、オ
ーミック・コンタクトとゲート金属の選択は、必要なし
きい値電圧と素子設計によって異なる。たとえば図7や
図16のソース・コンタクトとドレイン・コンタクトに
使用する金属の仕事関数は、最適化の対象である。金属
と半導体との間のショットキー障壁の高さが高いほどソ
ース・コンタクトおよびドレイン・コンタクトからチャ
ネルまでの直列アクセス抵抗が高くなる。一方、この障
壁を乗り越える電子または正孔は、きわめてエネルギー
が大きくなければならず、したがって注入速度が速くな
ければならず、ゲートの下でこの速度を維持しなければ
ならない。図12に示すような濃くドーピングされた半
導体ソース・コンタクトおよびドレイン・コンタクト
は、金属・コンタクトよりも注入障壁は低いが、抵抗は
大きい。したがって、応用分野と必要なしきい値電圧に
応じて、上述の1つまたは複数の他のプロセスを選択し
て、図7、図12、および図16に示す対応するFET
を製作することができる。
【0032】本明細書に記載の発明は、金属−半導体F
ET、モデュレーション・ドープFET、金属酸化物半
導体FETおよび回路の製作に使用することができる。
このようなFETの既存のプロセス・ステップと比較し
て、本発明の構造およびプロセスにより、ゲート抵抗を
大幅に低減することができ、きわめて浅いオーミック・
コンタクトを作ることができる。この2つの効果の組合
せにより、FET素子および回路の処理速度が速くな
る。FETのチャネルに自己アライメントされるソース
とドレインに、たとえばチタンやプラチナなどのショッ
トキー金属を使用する利点によって、チャネルへのキャ
リヤ注入速度をきわめて高速にすることができるだけで
なく、これらの金属は粒子サイズになるまで高い導電率
を維持するため、トランジスタの幅と面積も縮小するこ
とができる。このサイズは現況技術のFET素子のソー
スおよびドレインに用いられている面積より3桁以上も
小さくすることができる。
【0033】以上、自己アライメント・ショットキー金
属ケイ化物またはゲルマニウム化物あるいはその両方の
ソースおよびドレイン・コンタクトとT字形ゲート、ま
たはT字形ゲートを備えたソースおよびドレインとして
濃くドーピングされた半導体材料を作成するFET構造
および方法について説明したが、当業者なら本発明の広
い範囲から逸脱することなく様々な変更や変形が可能で
あることが明らかであろう。本発明は、特許請求の範囲
によってのみ限定される。
【0034】まとめとして、本発明の構成に関して以下
の事項を開示する。
【0035】(1)電界効果トランジスタを形成する方
法において、単結晶半導体材料の基板を選択するステッ
プと、前記基板上に犠牲層を形成するステップと、ショ
ットキー金属−半導体障壁を形成するのに適合した材料
を含み、選択された仕事関数を有する金属層を前記犠牲
層上に形成するステップと、前記金属層上に絶縁層を形
成するステップと、前記絶縁層および前記金属層にゲー
ト開口部を形成するステップと、前記基板と前記犠牲層
と前記金属層とを加熱し、前記金属層と前記犠牲層とを
反応させて前記基板上にショットキー金属−半導体障壁
を形成するステップと、前記ゲート開口部内の前記犠牲
層を除去して前記基板を露出させるステップと、前記ゲ
ート開口部内の前記基板上および前記開口部の側壁上に
ゲート誘電層を形成するステップと、前記ゲート開口部
内の前記ゲート誘電層上に導電層を形成するステップ
と、前記導電層をパターン形成してゲート電極を画定す
るステップとを含み、前記ゲート電極の向かい合った側
にある前記ショットキー金属障壁が前記電界効果トラン
ジスタのソースとドレインに対応している方法。 (2)前記単結晶半導体材料を選択する前記ステップ
が、GaAs、InGaAs、InP、In1-xGax
y1-y、Si、およびSiGeから成るグループの中
から選択するステップを含むことを特徴とする、上記
(1)に記載の方法。 (3)第1の犠牲層を形成する前記ステップが、GaA
s、InGaAs、InP、In1-xGaxAsy1-y
Si、およびSiGeから成るグループの中から選択す
るステップを含むことを特徴とする、上記(1)に記載
の方法。 (4)金属層を形成する前記ステップが、Co、Ni、
Pd、Pt、Rh、Ta、Ti、およびWから成るグル
ープの中から選択するステップを含むことを特徴とす
る、上記(1)に記載の方法。 (5)金属層を形成する前記ステップが、チタン層を形
成するステップを含み、加熱する前記ステップが700
℃を超える温度に加熱するステップを含むことを特徴と
する、上記(1)に記載の方法。 (6)金属層を形成する前記ステップが、プラチナ層を
形成するステップを含み、加熱する前記ステップが25
0℃を超える温度に加熱するステップを含むことを特徴
とする、上記(1)に記載の方法。 (7)第1の犠牲層を形成する前記ステップが、シリコ
ン・ゲルマニウム層を形成するステップを含み、加熱す
る前記ステップが金属ケイ化物と金属ゲルマニウム化物
を形成して半導体基板にショットキー障壁が設けられる
温度に加熱するステップを含むことを特徴とする、上記
(1)に記載の方法。 (8)前記ゲート電極の向かい合った側にある前記ショ
ットキー金属障壁に対するソース・コンタクトとドレイ
ン・コンタクトとを形成するステップをさらに含み、導
電層を形成する前記ステップが前記導電層を前記開口部
の前記側壁上に形成するステップを含むことを特徴とす
る、上記(1)に記載の方法。 (9)半導体基板と、ソースとドレインとを形成し、そ
の間にチャネルを画定する2つの離隔したショットキー
金属半導体化合物領域と、前記ソース上と前記ドレイン
上の誘電層と、前記チャネル上のゲート誘電層と、ゲー
トを形成する前記ゲート誘電層上の導電層とを含む電界
効果トランジスタ。 (10)前記ショットキー金属半導体化合物領域が、金
属ケイ化物と、金属ゲルマニウム化物と、金属ケイ化物
と金属ゲルマニウム化物との混合物と、金属ヒ化物とか
ら成るグループの中から選択されることを特徴とする、
上記(9)に記載の電界効果トランジスタ。 (11)前記導電層が前記第1の誘電層の上に延びて前
記ゲートの抵抗を減少させることを特徴とする、上記
(9)に記載の電界効果トランジスタ。 (12)前記導電層がソースおよびドレインの一部を覆
って前記第1の誘電層の上に延び、T字形ゲートを形成
することを特徴とする、上記(9)に記載の電界効果ト
ランジスタ。 (13)電界効果トランジスタを形成する方法におい
て、単結晶半導体材料の基板を選択するステップと、前
記基板とは組成が異なり、前記基板とは異なるエッチン
グ特性を有する、濃くドーピングされた半導体材料層を
前記基板上に形成するステップと、前記半導体材料層上
に絶縁層を形成するステップと、前記半導体材料層およ
び前記絶縁層にゲートのための開口部を形成するステッ
プと、前記開口部内の前記基板上および前記開口部の側
壁上にゲート誘電層を形成するステップと、前記開口部
内の前記誘電層上および前記開口部の側壁上に導電層を
形成するステップと、前記導電層をパターン形成してゲ
ート電極を画定するステップとを含み、前記ゲート電極
の向かい合った側にある前記半導体材料層が前記電界効
果トランジスタのソースとドレインに対応している方
法。 (14)前記単結晶半導体材料を選択する前記ステップ
が、GaAs、InGaAs、InP、In1-xGax
y1-y、Si、およびSiGeから成るグループの中
から選択するステップを含むことを特徴とする、上記
(13)に記載の方法。 (15)第1の層を形成する前記ステップが、単結晶層
を形成するステップを含むことを特徴とする、上記(1
3)に記載の方法。 (16)第1の層を形成する前記ステップが、多結晶層
を形成するステップを含むことを特徴とする、上記(1
3)に記載の方法。 (17)第1の層を形成する前記ステップが、GaA
s、InGaAs、InP、In1-xGaxAsy1-y
Si、およびSiGeから成るグループの中から選択す
るステップを含むことを特徴とする、上記(13)に記
載の方法。 (18)第2の層を形成する前記ステップが、二酸化シ
リコンと窒化シリコンから成るグループの中から選択す
るステップを含むことを特徴とする、上記(13)に記
載の方法。 (19)導電層を形成するステップが前記絶縁層の上に
形成するステップを含み、パターン形成する前記ステッ
プが前記側壁上と前記絶縁層の上とに延びるゲート電極
を画定するステップを含むことを特徴とする、上記(1
3)に記載の方法。 (20)パターン形成する前記ステップが、前記側壁上
と前記ソースおよびドレインの一部を覆って前記絶縁層
の上とに延びるゲート電極を画定してT字形ゲートを形
成するステップを含むことを特徴とする、上記(19)
に記載の方法。 (21)前記ゲート電極の向かい合った側にある前記第
1の層に対するソース・コンタクトとドレイン・コンタ
クトとを形成するステップをさらに含む、上記(13)
に記載の方法。 (22)半導体基板と、前記基板とは組成が異なり、ソ
ースとドレインとを形成し、その間の前記基板内にチャ
ネルを画定する2つの離隔した濃くドーピングされた半
導体領域と、前記ソースおよびドレイン上の誘電層と、
前記チャネル上と前記ソースおよびドレインの側壁上に
形成されたゲート誘電層と、前記チャネルの上の前記ゲ
ート誘電層上に形成されたゲート導電層とを含む電界効
果トランジスタ。 (23)前記濃くドーピングされた半導体領域がSiG
e合金を含むことを特徴とする、上記(22)に記載の
電界効果トランジスタ。 (24)電界効果トランジスタを形成する方法におい
て、単結晶半導体材料の基板を選択するステップと、シ
ョットキー金属−半導体障壁を形成するのに適する材料
を含み、選択された仕事関数を有する金属層を前記基板
上に形成するステップと、前記金属層の上に絶縁層を形
成するステップと、前記絶縁層にゲート開口部を形成し
て前記金属層を露出させるステップと、前記ゲート開口
部内の前記金属層を化学反応させて、前記基板上の前記
ゲート開口部内にゲート誘電層を形成するステップと、
前記ゲート開口部内の前記ゲート誘電層上に導電層を形
成するステップと、前記導電層をパターン形成してゲー
ト電極を画定するステップとを含み、前記ゲート電極の
向かい合った側にある前記ショットキー金属−半導体障
壁が前記電界効果トランジスタのソースとドレインに対
応している方法。 (25)前記単結晶半導体材料を選択する前記ステップ
が、シリコンとシリコン・ゲルマニウムから成るグルー
プの中から選択するステップを含むことを特徴とする、
上記(24)に記載の方法。 (26)金属層を形成する前記ステップが、Co、N
i、Pd、Pt、Rh、Ta、Ti、およびWから成る
グループの中から選択するステップを含むことを特徴と
する、上記(24)に記載の方法。 (27)金属層を形成する前記ステップがチタン層を形
成するステップを含み、加熱するステップが酸素を含む
気体環境内で加熱するステップを含むことを特徴とす
る、上記(24)に記載の方法。 (28)加熱するステップが、前記金属層の前記金属と
反応するように選択された気体環境内で加熱して誘電材
料を形成するステップを含むことを特徴とする、上記
(24)に記載の方法。 (29)加熱する前記ステップが、前記基板の材料との
ショットキー金属半導体化合物を形成する温度に加熱す
るステップを含むことを特徴とする、上記(1)に記載
の方法。 (30)半導体基板と、ソースとドレインを形成し、そ
の間にチャネルを画定する2つの離隔した金属−半導体
化合物領域と、前記ソースおよびドレイン上の誘電層
と、前記金属−半導体化合物領域で使用されている前記
金属の反応生成物よりなるゲート誘電層と、前記ゲート
誘電層上のゲート導電層とを含む、電界効果トランジス
タ。 (31)前記導電層が前記第1の誘電層の上に延びて前
記ゲートの抵抗を減少させることを特徴とする、上記
(30)に記載の電界効果トランジスタ。 (32)前記導電層がソースとドレインの一部を覆って
前記第1の誘電層の上に延びてT字型ゲートを形成する
ことを特徴とする、上記(30)に記載の電界効果トラ
ンジスタ。 (33)前記ゲート誘電層がTiO2を含むことを特徴
とする、上記(30)に記載の電界効果トランジスタ。 (34)FETを形成する方法において、表面に誘電層
を有する半導体基板を選択するステップと、前記誘電層
内にゲート窓をエッチングして前記基板を露出させるス
テップと、前記誘電層上にゲルマニウムが成長しないよ
うに前記ゲート窓内の前記基板上にゲルマニウムを選択
的に成長させるステップと、ゲルマニウムをマスクとし
て使用して、ドーパント・イオンの浅い領域を注入して
ソースとドレインを形成するステップと、前記ゲルマニ
ウムを除去するステップと、前記ゲート窓内にゲート酸
化物を形成するステップと、前記ゲート酸化物上と前記
誘電層上に導電材料層を形成するステップと、前記導電
材料層をパターン形成してゲートを形成するステップと
を含む方法。 (35)FETを形成する方法において、表面に誘電層
を有する半導体基板を選択するステップと、前記誘電層
内にゲート窓をエッチングして前記基板を露出させるス
テップと、前記誘電層上と前記ゲート窓内の前記露出さ
せた基板上とにレジストの層を形成し、前記レジストを
露光し現像して前記ゲート窓からレジストを除去し、前
記基板を露出させるステップと、前記レジストの上と前
記ゲート窓内の前記露出させた基板上とにチタンのブラ
ンケット層を形成するステップと、前記レジストの残り
と前記レジスト上のチタンとを除去するステップと、チ
タンをマスクとして使用してドーパント・イオンの浅い
領域を注入してソースとドレインを形成するステップ
と、前記チタンを除去するステップと、前記ゲート窓内
にゲート酸化物を形成するステップと、前記ゲート酸化
物上と前記誘電層上とに導電材料層を形成するステップ
と、前記導電材料層をパターン形成してゲートを形成す
るステップとを含む方法。 (36)前記ゲート誘電層を形成するステップの前に、
前記開口部内の前記基板上に第2の半導体材料のエピタ
キシャル層を形成して前記基板の上に隆起チャネルを設
けるステップをさらに含む、上記(13)に記載の方
法。
【図面の簡単な説明】
【図1】図7に示す実施例を形成する初期ステップを示
す断面図である。
【図2】エッチングのステップの後の図1の構造体を示
す上面図である。
【図3】図2の線3−3に沿った断面図である。
【図4】図7に示す実施例を形成する、他のステップを
示す断面図である。
【図5】図7に示す実施例を形成する、他のステップを
示す断面図である。
【図6】図7に示す実施例を形成する、他のステップを
示す断面図である。
【図7】本発明の一実施例を示す断面図である。
【図8】図7の実施例に対して行った測定値を示すドレ
イン−ソース電圧との関係のグラフである。
【図9】図12に示す実施例を形成する製作ステップを
示す断面図である。
【図10】図12に示す実施例を形成する製作ステップ
を示す断面図である。
【図11】図12に示す実施例を形成する製作ステップ
を示す断面図である。
【図12】本発明の第2の実施例を示す断面図である。
【図13】図16に示す実施例を形成する製作ステップ
を示す断面図である。
【図14】図16に示す実施例を形成する製作ステップ
を示す断面図である。
【図15】図16に示す実施例を形成する製作ステップ
を示す断面図である。
【図16】本発明の第3の実施例を示す断面図である。
【図17】本発明の第4の実施例を示す断面図である。
【図18】図20に示す実施例を形成する際に、イオン
注入を使用し、イオン注入時にチャネルを保護するプロ
セス・ステップを示す断面図である。
【図19】図20に示す実施例を形成する際に、イオン
注入を使用し、イオン注入時にチャネルを保護するプロ
セス・ステップを示す断面図である。
【図20】本発明の第5の実施例を示す断面図である。
【符号の説明】
12 基板 14 犠牲層 16 金属層 18 絶縁層 20 ソース・コンタクト 22 ドレイン・コンタクト 23 ショットキー障壁または接合部 25 チャネル 26 ゲート酸化膜 32 T字形ゲート 34 絶縁材料 36 導電材料 37 金属層 40 半導体層 41 ソース 42 ドレイン 54 ソース領域 56 ドレイン領域
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ジャック・ウーン・チュー アメリカ合衆国11103 ニューヨーク州ア ストリアフォーティーセカンド・ストリー ト 32−46 (72)発明者 ハリード・エッズッディーン・イスマイル アメリカ合衆国10603 ニューヨーク州ホ ワイト・プレーンズ ウッドランド・ヒル ズ・ロード 105 (72)発明者 スティーブン・アンソニー・リシュトン アメリカ合衆国10566 ニューヨーク州ピ ークスキル コンスタント・アベニュー 944

Claims (16)

    【特許請求の範囲】
  1. 【請求項1】電界効果トランジスタを形成する方法にお
    いて、 単結晶半導体材料の基板を選択するステップと、 前記基板上に犠牲層を形成するステップと、 ショットキー金属−半導体障壁を形成するのに適合した
    材料を含み、選択された仕事関数を有する金属層を前記
    犠牲層上に形成するステップと、 前記金属層上に絶縁層を形成するステップと、 前記絶縁層および前記金属層にゲート開口部を形成する
    ステップと、 前記基板と前記犠牲層と前記金属層とを加熱し、前記金
    属層と前記犠牲層とを反応させて前記基板上にショット
    キー金属−半導体障壁を形成するステップと、 前記ゲート開口部内の前記犠牲層を除去して前記基板を
    露出させるステップと、 前記ゲート開口部内の前記基板上および前記開口部の側
    壁上にゲート誘電層を形成するステップと、 前記ゲート開口部内の前記ゲート誘電層上に導電層を形
    成するステップと、 前記導電層をパターン形成してゲート電極を画定するス
    テップとを含み、 前記ゲート電極の向かい合った側にある前記ショットキ
    ー金属障壁が前記電界効果トランジスタのソースとドレ
    インに対応している方法。
  2. 【請求項2】前記単結晶半導体材料を選択する前記ステ
    ップが、GaAs、InGaAs、InP、In1-x
    xAsy1-y、Si、およびSiGeから成るグルー
    プの中から選択するステップを含むことを特徴とする、
    請求項1に記載の方法。
  3. 【請求項3】第1の犠牲層を形成する前記ステップが、
    GaAs、InGaAs、InP、In1-xGaxAsy
    1-y、Si、およびSiGeから成るグループの中か
    ら選択するステップを含むことを特徴とする、請求項1
    に記載の方法。
  4. 【請求項4】金属層を形成する前記ステップが、Co、
    Ni、Pd、Pt、Rh、Ta、Ti、およびWから成
    るグループの中から選択するステップを含むことを特徴
    とする、請求項1に記載の方法。
  5. 【請求項5】半導体基板と、 ソースとドレインとを形成し、その間にチャネルを画定
    する2つの離隔したショットキー金属半導体化合物領域
    と、 前記ソース上と前記ドレイン上の誘電層と、 前記チャネル上のゲート誘電層と、 ゲートを形成する前記ゲート誘電層上の導電層とを含む
    電界効果トランジスタ。
  6. 【請求項6】前記ショットキー金属半導体化合物領域
    が、金属ケイ化物と、金属ゲルマニウム化物と、金属ケ
    イ化物と金属ゲルマニウム化物との混合物と、金属ヒ化
    物とから成るグループの中から選択されることを特徴と
    する、請求項5に記載の電界効果トランジスタ。
  7. 【請求項7】前記導電層がソースおよびドレインの一部
    を覆って前記第1の誘電層の上に延び、T字形ゲートを
    形成することを特徴とする、請求項5に記載の電界効果
    トランジスタ。
  8. 【請求項8】電界効果トランジスタを形成する方法にお
    いて、 単結晶半導体材料の基板を選択するステップと、 前記基板とは組成が異なり、前記基板とは異なるエッチ
    ング特性を有する、濃くドーピングされた半導体材料層
    を前記基板上に形成するステップと、 前記半導体材料層上に絶縁層を形成するステップと、 前記半導体材料層および前記絶縁層にゲートのための開
    口部を形成するステップと、 前記開口部内の前記基板上および前記開口部の側壁上に
    ゲート誘電層を形成するステップと、 前記開口部内の前記誘電層上および前記開口部の側壁上
    に導電層を形成するステップと、 前記導電層をパターン形成してゲート電極を画定するス
    テップとを含み、 前記ゲート電極の向かい合った側にある前記半導体材料
    層が前記電界効果トランジスタのソースとドレインに対
    応している方法。
  9. 【請求項9】前記単結晶半導体材料を選択する前記ステ
    ップが、GaAs、InGaAs、InP、In1-x
    xAsy1-y、Si、およびSiGeから成るグルー
    プの中から選択するステップを含むことを特徴とする、
    請求項8に記載の方法。
  10. 【請求項10】第1の層を形成する前記ステップが、G
    aAs、InGaAs、InP、In1-xGaxAsy
    1-y、Si、およびSiGeから成るグループの中から
    選択するステップを含むことを特徴とする、請求項8に
    記載の方法。
  11. 【請求項11】半導体基板と、 前記基板とは組成が異なり、ソースとドレインとを形成
    し、その間の前記基板内にチャネルを画定する2つの離
    隔した濃くドーピングされた半導体領域と、 前記ソースおよびドレイン上の誘電層と、 前記チャネル上と前記ソースおよびドレインの側壁上に
    形成されたゲート誘電層と、 前記チャネルの上の前記ゲート誘電層上に形成されたゲ
    ート導電層とを含む電界効果トランジスタ。
  12. 【請求項12】電界効果トランジスタを形成する方法に
    おいて、 単結晶半導体材料の基板を選択するステップと、 ショットキー金属−半導体障壁を形成するのに適する材
    料を含み、選択された仕事関数を有する金属層を前記基
    板上に形成するステップと、 前記金属層の上に絶縁層を形成するステップと、 前記絶縁層にゲート開口部を形成して前記金属層を露出
    させるステップと、 前記ゲート開口部内の前記金属層を化学反応させて、前
    記基板上の前記ゲート開口部内にゲート誘電層を形成す
    るステップと、 前記ゲート開口部内の前記ゲート誘電層上に導電層を形
    成するステップと、 前記導電層をパターン形成してゲート電極を画定するス
    テップとを含み、 前記ゲート電極の向かい合った側にある前記ショットキ
    ー金属−半導体障壁が前記電界効果トランジスタのソー
    スとドレインに対応している方法。
  13. 【請求項13】半導体基板と、 ソースとドレインを形成し、その間にチャネルを画定す
    る2つの離隔した金属−半導体化合物領域と、 前記ソースおよびドレイン上の誘電層と、 前記金属−半導体化合物領域で使用されている前記金属
    の反応生成物よりなるゲート誘電層と、 前記ゲート誘電層上のゲート導電層とを含む、電界効果
    トランジスタ。
  14. 【請求項14】FETを形成する方法において、 表面に誘電層を有する半導体基板を選択するステップ
    と、 前記誘電層内にゲート窓をエッチングして前記基板を露
    出させるステップと、 前記誘電層上にゲルマニウムが成長しないように前記ゲ
    ート窓内の前記基板上にゲルマニウムを選択的に成長さ
    せるステップと、 ゲルマニウムをマスクとして使用して、ドーパント・イ
    オンの浅い領域を注入してソースとドレインを形成する
    ステップと、 前記ゲルマニウムを除去するステップと、 前記ゲート窓内にゲート酸化物を形成するステップと、 前記ゲート酸化物上と前記誘電層上に導電材料層を形成
    するステップと、 前記導電材料層をパターン形成してゲートを形成するス
    テップとを含む方法。
  15. 【請求項15】FETを形成する方法において、 表面に誘電層を有する半導体基板を選択するステップ
    と、 前記誘電層内にゲート窓をエッチングして前記基板を露
    出させるステップと、 前記誘電層上と前記ゲート窓内の前記露出させた基板上
    とにレジストの層を形成し、前記レジストを露光し現像
    して前記ゲート窓からレジストを除去し、前記基板を露
    出させるステップと、 前記レジストの上と前記ゲート窓内の前記露出させた基
    板上とにチタンのブランケット層を形成するステップ
    と、 前記レジストの残りと前記レジスト上のチタンとを除去
    するステップと、 チタンをマスクとして使用してドーパント・イオンの浅
    い領域を注入してソースとドレインを形成するステップ
    と、 前記チタンを除去するステップと、 前記ゲート窓内にゲート酸化物を形成するステップと、 前記ゲート酸化物上と前記誘電層上とに導電材料層を形
    成するステップと、 前記導電材料層をパターン形成してゲートを形成するス
    テップとを含む方法。
  16. 【請求項16】前記ゲート誘電層を形成するステップの
    前に、前記開口部内の前記基板上に第2の半導体材料の
    エピタキシャル層を形成して前記基板の上に隆起チャネ
    ルを設けるステップをさらに含む、請求項8に記載の方
    法。
JP19095797A 1996-07-18 1997-07-16 電界効果トランジスタの形成方法 Expired - Fee Related JP3217015B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US68332996A 1996-07-18 1996-07-18
US08/683329 1996-07-18

Publications (2)

Publication Number Publication Date
JPH1070274A true JPH1070274A (ja) 1998-03-10
JP3217015B2 JP3217015B2 (ja) 2001-10-09

Family

ID=24743565

Family Applications (1)

Application Number Title Priority Date Filing Date
JP19095797A Expired - Fee Related JP3217015B2 (ja) 1996-07-18 1997-07-16 電界効果トランジスタの形成方法

Country Status (4)

Country Link
US (1) US6096590A (ja)
JP (1) JP3217015B2 (ja)
KR (1) KR100278427B1 (ja)
TW (1) TW340260B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003524899A (ja) * 2000-02-23 2003-08-19 サントル・ナショナル・ドゥ・ラ・レシェルシュ・サイエンティフィーク 高密度集積回路用mosトランジスタ
JP2005516389A (ja) * 2002-01-23 2005-06-02 スピネカ セミコンダクター, インコーポレイテッド 歪み半導体基板を用いてショットキまたはショットキのような接触を形成するソースおよび/またはドレインを有する電界効果トランジスタ
US7227264B2 (en) 2003-10-01 2007-06-05 Seiko Epson Corporation Semiconductor device and method for manufacturing semiconductor device

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3535527B2 (ja) 1997-06-24 2004-06-07 マサチューセッツ インスティテュート オブ テクノロジー 傾斜GeSi層と平坦化を用いたゲルマニウム・オン・シリコンの貫通転位の制御
US6020243A (en) * 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
US7227176B2 (en) * 1998-04-10 2007-06-05 Massachusetts Institute Of Technology Etch stop layer system
KR100569570B1 (ko) * 1998-12-30 2006-08-10 주식회사 하이닉스반도체 반도체소자의 모스전계효과 트렌지스터 제조방법
US20030235936A1 (en) * 1999-12-16 2003-12-25 Snyder John P. Schottky barrier CMOS device and method
US6503773B2 (en) 2000-01-20 2003-01-07 Amberwave Systems Corporation Low threading dislocation density relaxed mismatched epilayers without high temperature growth
US6750130B1 (en) 2000-01-20 2004-06-15 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
US6602613B1 (en) 2000-01-20 2003-08-05 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
WO2001093338A1 (en) 2000-05-26 2001-12-06 Amberwave Systems Corporation Buried channel strained silicon fet using an ion implanted doped layer
KR100545706B1 (ko) * 2000-06-28 2006-01-24 주식회사 하이닉스반도체 반도체 소자 제조방법
US20020104993A1 (en) 2000-08-07 2002-08-08 Fitzgerald Eugene A. Gate technology for strained surface channel and strained buried channel MOSFET devices
EP1309989B1 (en) 2000-08-16 2007-01-10 Massachusetts Institute Of Technology Process for producing semiconductor article using graded expitaxial growth
US6271128B1 (en) 2000-09-29 2001-08-07 Vanguard International Semiconductor Corp. Method for fabricating transistor
US6355556B1 (en) 2000-09-29 2002-03-12 Vanguard International Semiconductor Corp. Method for fabricating transistor
US20020100942A1 (en) * 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6649480B2 (en) 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6680504B2 (en) * 2000-12-22 2004-01-20 Texas Instruments Incorporated Method for constructing a metal oxide semiconductor field effect transistor
US6677192B1 (en) 2001-03-02 2004-01-13 Amberwave Systems Corporation Method of fabricating a relaxed silicon germanium platform having planarizing for high speed CMOS electronics and high speed analog circuits
US6723661B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6593641B1 (en) 2001-03-02 2003-07-15 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6724008B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6830976B2 (en) * 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6646322B2 (en) 2001-03-02 2003-11-11 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6703688B1 (en) 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6900103B2 (en) 2001-03-02 2005-05-31 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6940089B2 (en) 2001-04-04 2005-09-06 Massachusetts Institute Of Technology Semiconductor device structure
WO2002103760A2 (en) * 2001-06-14 2002-12-27 Amberware Systems Corporation Method of selective removal of sige alloys
US7301180B2 (en) 2001-06-18 2007-11-27 Massachusetts Institute Of Technology Structure and method for a high-speed semiconductor device having a Ge channel layer
EP1399974A1 (en) * 2001-06-21 2004-03-24 Massachusetts Institute Of Technology Mosfets with strained semiconductor layers
JP2004538634A (ja) * 2001-08-06 2004-12-24 マサチューセッツ インスティテュート オブ テクノロジー ひずみ層を有する半導体基板及びその形成方法
US6974735B2 (en) * 2001-08-09 2005-12-13 Amberwave Systems Corporation Dual layer Semiconductor Devices
US7138649B2 (en) * 2001-08-09 2006-11-21 Amberwave Systems Corporation Dual-channel CMOS transistors with differentially strained channels
US6831292B2 (en) 2001-09-21 2004-12-14 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
AU2002341803A1 (en) 2001-09-24 2003-04-07 Amberwave Systems Corporation Rf circuits including transistors having strained material layers
US6716734B2 (en) 2001-09-28 2004-04-06 Infineon Technologies Ag Low temperature sidewall oxidation of W/WN/poly-gatestack
WO2003079415A2 (en) 2002-03-14 2003-09-25 Amberwave Systems Corporation Methods for fabricating strained layers on semiconductor substrates
US6974737B2 (en) * 2002-05-16 2005-12-13 Spinnaker Semiconductor, Inc. Schottky barrier CMOS fabrication method
US7074623B2 (en) 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
WO2003105204A2 (en) * 2002-06-07 2003-12-18 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US7335545B2 (en) 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US20030227057A1 (en) 2002-06-07 2003-12-11 Lochtefeld Anthony J. Strained-semiconductor-on-insulator device structures
US6995430B2 (en) 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
US7615829B2 (en) 2002-06-07 2009-11-10 Amberwave Systems Corporation Elevated source and drain elements for strained-channel heterojuntion field-effect transistors
US6946371B2 (en) 2002-06-10 2005-09-20 Amberwave Systems Corporation Methods of fabricating semiconductor structures having epitaxially grown source and drain elements
US6982474B2 (en) 2002-06-25 2006-01-03 Amberwave Systems Corporation Reacted conductive gate electrodes
US6833556B2 (en) * 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
US7084423B2 (en) 2002-08-12 2006-08-01 Acorn Technologies, Inc. Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
US7176483B2 (en) * 2002-08-12 2007-02-13 Acorn Technologies, Inc. Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
US7902029B2 (en) * 2002-08-12 2011-03-08 Acorn Technologies, Inc. Process for fabricating a self-aligned deposited source/drain insulated gate field-effect transistor
US7375385B2 (en) 2002-08-23 2008-05-20 Amberwave Systems Corporation Semiconductor heterostructures having reduced dislocation pile-ups
US7594967B2 (en) 2002-08-30 2009-09-29 Amberwave Systems Corporation Reduction of dislocation pile-up formation during relaxed lattice-mismatched epitaxy
US20040077140A1 (en) * 2002-10-16 2004-04-22 Andricacos Panayotis C. Apparatus and method for forming uniformly thick anodized films on large substrates
US6703291B1 (en) * 2002-12-17 2004-03-09 Intel Corporation Selective NiGe wet etch for transistors with Ge body and/or Ge source/drain extensions
EP1588406B1 (en) 2003-01-27 2019-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures with structural homogeneity
US7345001B2 (en) * 2004-06-24 2008-03-18 Texas Instruments Incorporated Gate dielectric having a flat nitrogen profile and method of manufacture therefor
US6955969B2 (en) * 2003-09-03 2005-10-18 Advanced Micro Devices, Inc. Method of growing as a channel region to reduce source/drain junction capacitance
US20050142715A1 (en) * 2003-12-26 2005-06-30 Fujitsu Limited Semiconductor device with high dielectric constant insulator and its manufacture
US7105391B2 (en) * 2004-03-04 2006-09-12 International Business Machines Corporation Planar pedestal multi gate device
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US20060113603A1 (en) * 2004-12-01 2006-06-01 Amberwave Systems Corporation Hybrid semiconductor-on-insulator structures and related methods
US7737532B2 (en) * 2005-09-06 2010-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid Schottky source-drain CMOS for high mobility and low barrier
US7648871B2 (en) * 2005-10-21 2010-01-19 International Business Machines Corporation Field effect transistors (FETS) with inverted source/drain metallic contacts, and method of fabricating same
JP5231719B2 (ja) * 2006-03-30 2013-07-10 富士通株式会社 電界効果トランジスタの製造方法
KR100770013B1 (ko) 2006-12-01 2007-10-25 한국전자통신연구원 쇼트키 장벽 관통 트랜지스터 제조방법
CN102054871A (zh) * 2010-10-27 2011-05-11 清华大学 一种高速半导体器件结构及其形成方法
CN102148250A (zh) * 2011-01-07 2011-08-10 清华大学 高速低噪声半导体器件结构及其形成方法
CN102569399A (zh) * 2011-11-29 2012-07-11 中国科学院微电子研究所 源漏自对准的mos器件及其制作方法
US9698363B1 (en) 2015-12-30 2017-07-04 International Business Machines Corporation RF-transistors with self-aligned point contacts
US9620611B1 (en) 2016-06-17 2017-04-11 Acorn Technology, Inc. MIS contact structure with metal oxide conductor
WO2018094205A1 (en) 2016-11-18 2018-05-24 Acorn Technologies, Inc. Nanowire transistor with source and drain induced by electrical contacts with negative schottky barrier height

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0654797B2 (ja) * 1986-08-06 1994-07-20 日産自動車株式会社 Cmos半導体装置
US4805003A (en) * 1987-11-10 1989-02-14 Motorola Inc. GaAs MESFET
US5089863A (en) * 1988-09-08 1992-02-18 Mitsubishi Denki Kabushiki Kaisha Field effect transistor with T-shaped gate electrode
US5272100A (en) * 1988-09-08 1993-12-21 Mitsubishi Denki Kabushiki Kaisha Field effect transistor with T-shaped gate electrode and manufacturing method therefor
US5231038A (en) * 1989-04-04 1993-07-27 Mitsubishi Denki Kabushiki Kaisha Method of producing field effect transistor
US5306655A (en) * 1990-07-24 1994-04-26 Matsushita Electric Industrial Co., Ltd. Structure and method of manufacture for MOS field effect transistor having lightly doped drain and source diffusion regions
JPH04180633A (ja) * 1990-11-15 1992-06-26 Kawasaki Steel Corp 半導体装置の製造方法
US5196357A (en) * 1991-11-18 1993-03-23 Vlsi Technology, Inc. Method of making extended polysilicon self-aligned gate overlapped lightly doped drain structure for submicron transistor
US5268330A (en) * 1992-12-11 1993-12-07 International Business Machines Corporation Process for improving sheet resistance of an integrated circuit device gate
TW205579B (en) * 1993-02-08 1993-05-11 Green Country Ind Co Ltd Method of keeping greens on a rock base
JPH06350085A (ja) * 1993-06-04 1994-12-22 Hitachi Ltd 半導体装置およびその製造方法
US5418391A (en) * 1994-03-31 1995-05-23 Vlsi Technology, Inc. Semiconductor-on-insulator integrated circuit with selectively thinned channel region
US5442200A (en) * 1994-06-03 1995-08-15 Advanced Technology Materials, Inc. Low resistance, stable ohmic contacts to silcon carbide, and method of making the same
TW266313B (en) * 1994-06-16 1995-12-21 United Microelectronics Corp Process for transistor device with T-gate
US5464782A (en) * 1994-07-05 1995-11-07 Industrial Technology Research Institute Method to ensure isolation between source-drain and gate electrode using self aligned silicidation

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003524899A (ja) * 2000-02-23 2003-08-19 サントル・ナショナル・ドゥ・ラ・レシェルシュ・サイエンティフィーク 高密度集積回路用mosトランジスタ
JP2005516389A (ja) * 2002-01-23 2005-06-02 スピネカ セミコンダクター, インコーポレイテッド 歪み半導体基板を用いてショットキまたはショットキのような接触を形成するソースおよび/またはドレインを有する電界効果トランジスタ
US7227264B2 (en) 2003-10-01 2007-06-05 Seiko Epson Corporation Semiconductor device and method for manufacturing semiconductor device

Also Published As

Publication number Publication date
KR100278427B1 (ko) 2001-02-01
JP3217015B2 (ja) 2001-10-09
US6096590A (en) 2000-08-01
KR980012603A (ko) 1998-04-30
TW340260B (en) 1998-09-11

Similar Documents

Publication Publication Date Title
JP3217015B2 (ja) 電界効果トランジスタの形成方法
US9793373B2 (en) Field effect transistor structure with abrupt source/drain junctions
US5955759A (en) Reduced parasitic resistance and capacitance field effect transistor
JP3229012B2 (ja) 半導体装置の製造方法
US4711858A (en) Method of fabricating a self-aligned metal-semiconductor FET having an insulator spacer
KR101006306B1 (ko) 희생 스페이서를 사용하는 변형 채널 fet
US7883980B2 (en) Insulated gate field effect transistor having passivated schottky barriers to the channel
EP2517253B1 (en) Conductivity improvements for iii-v semiconductor devices
US7291524B2 (en) Schottky-barrier mosfet manufacturing method using isotropic etch process
US20100013015A1 (en) Metal source/drain schottky barrier silicon-on-nothing mosfet device
US8907381B2 (en) Reduced short channel effect of III-V field effect transistor via oxidizing aluminum-rich underlayer
EP0725432A2 (en) Refractory gate heterostructure field effect transistor and method
JP2005191022A (ja) 電界効果トランジスタ及びその製造方法
US7528058B2 (en) Method for producing a contact and electronic component comprising said type of contact
EP1147552A1 (en) Field effect transistor structure with abrupt source/drain junctions
US6870232B1 (en) Scalable MOS field effect transistor
JP2001524759A (ja) ガリウムひ素ベースのエピタキシャル電界効果トランジスタの選択性凹部用InxGa1−xPエッチング停止層及びその製造方法
JPH04346441A (ja) 電界効果トランジスタおよびその製造方法
JPH06104389A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees