JPH10512100A - 表面を調整するための処理装置及びその方法 - Google Patents

表面を調整するための処理装置及びその方法

Info

Publication number
JPH10512100A
JPH10512100A JP8519988A JP51998896A JPH10512100A JP H10512100 A JPH10512100 A JP H10512100A JP 8519988 A JP8519988 A JP 8519988A JP 51998896 A JP51998896 A JP 51998896A JP H10512100 A JPH10512100 A JP H10512100A
Authority
JP
Japan
Prior art keywords
gas
substrate
chamber
pressure
conditioning
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP8519988A
Other languages
English (en)
Inventor
ダブリュ. バターボー,ジェフリー
シー. グレイ,デビッド
ティー. フェイフィールド,ロバート
シーフェリング,ケビン
ヘイツィンガー,ジョン
ハイアット,シー.フレッド
Original Assignee
エフエスアイ インターナショナル
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エフエスアイ インターナショナル filed Critical エフエスアイ インターナショナル
Publication of JPH10512100A publication Critical patent/JPH10512100A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/0057Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by ultraviolet radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/935Gas flow control

Abstract

(57)【要約】 周囲環境から隔離されかつ反応性ガスを含む調整ガスが供給されるチャンバ内に置かれた、ほぼ平らな基板を調整するための処理装置及びその方法。本装置は、チャンバ内に基板を支持するための支持手段を含み、基板はチャンバ内の低い圧力の反応領域に置かれる。ガス入口は、チャンバのガス入口部内に調整ガスを供給するために設けられており、このガス入口部は、低圧力の反応領域よりも高圧状態にあり、この圧力差により、調整ガスが基板の表面に向けて流れ、これによって、調整ガス成分は、基板表面と化学的に反応しかつ調整され、前記低圧と高圧の領域に粘性流の流れ形態を生じさせる。基板の表面を横切る圧力バイアスが作り出されるように、基板は支持され、その結果、基板表面にガスが化学的に反応した後、ガスが到着した場所からチャンバの周辺に向けて外側に流れ、周辺または中央下側にある排気出口から排出される。ガスの供給は、基板の一面または両面に与えることができ、基板または調整ガスの光活性化も、一面または両面に与えることができる。

Description

【発明の詳細な説明】 表面を調整するための処理装置及びその方法 (技術分野) 本発明は、プラズマ−レスガス相の環境内で、基板(substrate)の表面のコン デショニングのための処理装置に関する。それは、集積回路の製造に用いる半導 体ウエハの表面から、薄膜あるいは汚染物を取り除く特別の応用としてエッチン グ、クリーニング、バルクストリッピング処理(bulk stripping)がある。 (背景技術) 半導体工業における表面汚染物除去の従来の標準規格は、液状化学薬品を用い るRCAクリーンである。高度に選択されるバルクフィルムストリッピングは、 また通常、液状化学薬品により実行される。液状化学薬品は、安全性、廃棄処理 、清潔、及びコストの問題を含み、これらの問題は、ガスによる処理を非常に魅 力的なものにする。 反応ガス混合物あるいは反応イオンエッチング(RIE)を含むプラズマの使 用は、液状化学製品による処理に代わるべきものである。これらの種類の処理で は、容器内には低圧ガスが満たされ、基板は反応腐食ガスに沿って容器内に挿入 される。電圧が印加されてガスを励起して表面に化学反応を起こさせる。このタ イプの処理は、基板表面に付加的な損傷や汚染を起こす欠点がある。また、少な くとも一つの反応核種、実質的には電気的に自由荷電粒子を有するプラズマガス を流出させることが知られている。 これは、この技術分野でダウンストリームプラズマ源として知られ、”基板の ドライ処理法及び装置”の名のもとに、バーズィン(Bersin)の米国特許第4,6 87,544号明細書に開示されている。 プラズマ−レス紫外線処理は、以前に公開されている。例えば、”光化学的活 性化ガスエッチング法”というホール(Hall)の米国特許第2,841,477号 明細書は、半導体物質をエッチングするために光化学的活性化ガスを使用する工 程を教示する最も初期の特許文献として知られている。 この文献は、光分解ガス中に半導体物質を突っ込み、エッチングされるべき物 質の一部に向けて紫外線をあてる工程を含むエッチング法を開示している。この ガスは明らかに静的なものである。光分解ガスは、紫外線により基板表面と反応 する種々の化学的活性種に分離する。 ”半導体あるいはセラミック装置を製造するためのエッチング技術”と題する リジェンザ(Ligenza)に付与された米国特許第3,122,463号明細書には 、半導体材料をエッチングするために光化学的に活性化したF2Oガスを使用す る方法のもう1つの例が示されている。この文献は、静的なガス内に半導体材料 を突っ込み、エッチングされるべき半導体材料の一部分に紫外線光をあてる方法 を開示している。 ホールあるいはリジェンザの特許で開示されたタイプの方法は、ガス分布が一 様でなく、エッチング反応中に反応器から汚染物やエッチング残留物の搬出がで きない静的ガス形態についての欠点がある。 エッチング工程において、基板の表面を横切ってガス流を使用することは、公 知である。そのような工程は、優れた工程制御を提供し、ウエハ表面の汚染物や 残留物の蓄積を減少させる。 例えば、FSIコーポレーション及びテキサスインスツルメントに譲渡された ”基板から薄膜を取り除くための気体処理及び装置”と題されたブラックウッド (Blackwood)他の米国特許第4,749,440号明細書には、無水反応ガスを 水蒸気の存在下で基板上に流して基板を化学的に反応させるための装置が開示さ れている。 ”シリコーン層表面上の薄膜を取り除くための方法”と題されたイズミ(izumi )他の米国特許第5,022,961号明細書では、米国特許第4,749,4 40号の装置と実質的に等しい装置を開示しており、この装置は、ウエハの表面 を横切るように向けられるHF及びアルコールガスを用いて、シリコーン酸化物 をエッチングするために使用する。 ”クラスタ ツール ドライクリーニング”と題されたグラント(Grant)他の 米国特許第5,228,206号明細書では、基板表面を横切るように反応ガス 流を向ける装置を開示し、紫外線の照射によって、反応ガスが基板表面と光化学 的に反応することを明示している。この特許の装置において、基板はその表面に より均一な紫外線フラックスを得るために回転される。 プラズマレスガス処理の例としては、ホールとリジェンザの紫外線活性化処理 の他に、ブラックウッドとイズミの特許に開示された非紫外線処理、並びに19 94年8月18日に出願された米国特許出願番号第08/292,359号及び 1994年6月14日に出願された米国特許出願番号第08/259,542号 に開示された紫外線活性化処理を含んでいる。 本発明者は、ガスが基板表面を横切って流れるとき、光化学的活性化を伴って あるいは伴わずに、基板と化学的に反応するように、ガスの流れを向けて、基板 に望ましくない非一様なエッチング、クリーニング、バルクストリッピングを与 えるタイプのシステムを見いだした。典型的には、ガスの流れが始まる基板の側 で、よりエッチングが起り、表面を流れる反応性ガスが枯渇することにより、反 応がより少なくなる。発明者は、基板を回転させる装置が基板を横切るガス流と 共同して、望ましくない非一様効果を生じる渦流効果を起こさせることを見いだ した。 上述したすべての方法あるいは装置は、非一様ガス分布かあるいは非一様紫外 線照明の欠点を有しており、また上記いずれの処理も、汚染を更に最小にするた めに、基板と反応したガスを取り除くものではないという欠点を有していた。 特開昭57−200569号(1982年)には、紫外線活性化ガスを用いて ウエハを処理する装置が開示され、ガスは第1の高圧領域にある間、活性化され る。このガスは単一のスリットを通って低圧領域に流され、そこでスリットの下 を移動するベルト上に運ばれるウエハと接触する。 ニシザワに付与され、半導体研究基金に譲渡された、”光化学反応を用いるド ライプロセスによる半導体の製造方法及びそのための装置”と題された米国特許 第4,540,466号明細書では、高圧ガス領域と低圧ガス領域とを備える装 置を開示し、この装置は、イズミ、ブラックウッド、あるいはグラントと関連し て上述したように、基板表面を横切ってガス流が向けられるのを妨害するように 反応ガスを基板表面に流れさせる。 高圧領域でのガス粒子の平均自由行路は二つの領域、すなわち粘性流の形態間 の開口より小さい。低圧領域での圧力は、チャンバの直径、すなわち分子流形態 より大きいガス粒子の平均自由行程を与えるようになされる。ニシザワは基板表 面での流体力学を考慮していない。しかし、低圧領域での分子流形態は、基板表 面を横断する径方向のガスの層流を排除する。 (発明の開示) 本発明の目的は、基板表面を調整(conditioning)するための処理装置を提供す るものであり、好ましくはプラズマレスガス相の環境において、上述した従来技 術の限界を克服するものである。 本発明は、ガスが基板表面と反応した後、基板端部の径方向外側にガスが流れ る、ガス流を基板の周辺方向に一様に向けることによって、上述した制限を克服 する。本発明は、また、粘性流形態を操作することによって、上述した制限を克 服する。本発明は従来技術との関係で、上述したプラズマレス法のすべてを達成 し、よりよい結果を得るために用いられる。 本発明は、反応性ガスを含む調整ガスが供給される分離可能な装置内に入れら れる、シリコンウエハのような基板を調整するための装置及び方法を備えること によって上述した問題を克服する。 本装置は、 周囲の環境から隔離され、調整ガスがその内部に供給される第1のガス入口部 と調整ガスで基板が調整される調整部とを有するチャンバと、 このチャンバの調整部内に基板を支持するための支持手段と、 前記ガス入口部内の調整ガスが第1圧力となり、前記調整部内の調整ガスが第 1圧力よりも低い第2圧力となって基板に供給され、さらに第1,第2の圧力が 粘性流の流れ形態で供給されるように、チャンバ内に第1圧力バイアスを形成す るための第1圧力バイアス手段と、 ガス入口部と調整部の間の差圧によって、調整ガスが基板の第1表面に向けて 流れるようにし、調整ガスの反応性ガス成分が基板の第1表面に化学的に反応し てこの表面を調整するように、調整ガスをチャンバのガス入口部に供給するため の入口手段と、 調整ガスが基板表面と化学的に反応した後、このガスが到達した基板から外側 に流れてチャンバの周辺に向かわせるように、前記基板の第1表面を横切る第2 圧力バイアスを作り出すための第2圧力バイアス手段と、 チャンバからガスを排気する排気手段とを含んでいる。 本装置は、さらに、調整ガス、基板あるいは基板の両表面の光化学的反応を活 性化するために、効率的な波長域で光を照射するように外部にチャンバを備えた 光発生器を含む。 基板の片面あるいは両面を調整するための実施の形態が開示されている。 これらの成果を達成するための変形例には、調整ガスを片面あるいは両面に供 給することと、基板の片面あるいは両面に光の照射を行うことを含む。 (図面の簡単な説明) 本発明の詳細な説明は、図面とともに特定の参考例が以下に記載されている。 図1は、本発明の装置における第1の形態を示す概略図である。 図2は、本発明の第1の形態を一部分を欠いて示した正面斜視図である。 図3aは、載置位置にある第1の形態を示す部分断面図である。 図3bは、処理位置にある第1の形態を示す部分断面図である。 図4は、穴あきプレートと基板との間の拡散混合を決定するためのパラメータ の概略図である。 図5は、第1の形態での調整ガスの流れを示す分解概略図である。 図6は、本装置での調整ガスの流れを示すガス入口部の別の形態を示す分解概 略図である。 図7aは、載置位置にある装置の別の形態を示す断面図である。 図7bは、処理位置にある図7aの断面図である。 図8は、もう1つの別の形態を示す本装置の断面図である。 図9は、更に別の形態を示す本装置の断面図である。 図10は、周辺ポンプ及び下部照射装置を備えた実施の形態を示す単純化した 概略断面図である。 図11は、下部照射装置と周辺及び背面の中央にポンプを備えて、単一の側方 ガスの流れを実現した形態の図10と同様の概略断面図である。 図12は、周辺ポンプと下部照射装置を有する両側からのガスの流れを実現し た形態の図10と同様の概略断面図である。 図13は、図12の処理チャンバの外部から見た斜視図である。 図14は、図12の処理チャンバの形態を示す上面図である。 (発明を実施する最良な形態) 本発明は、多くの異なる形式のものが具体化されているが、ここでは、本発明 の特定の好ましい形態が詳細に記述されかつ図面に示されている。ここでは、本 発明の原理を例示的に開示する。この開示内容は、特定の形態を説明するもので あり、本発明を限定するものではない。 図1は、本装置を作り上げるシステムの主たる構成部品の概略図である。新規 な構成である溶接密閉されたチャンバを参照符号10で示す。ガス供給口が12 で示され、以下で説明するチャンバ10に連通している。従来から良く知られて いるように、選択可能な紫外線ランプ14が調整ガスを活性化するために用いら れる。 また、基板を加熱することができる従来公知の選択可能な赤外線ランプが参照 符号16で示されている。真空ポンプ18は、チャンバ10に連結されている。 このチャンバ壁の近くで基板上方に配置された圧力センサ20は、チャンバ内の 圧力を測定し、この情報を圧力制御装置22に出力する。 圧力制御装置は、チャンバ10内の真空度を制御するためにポンプ速度を制御 する。作業上、ガスはチャンバ10の第1領域(図1の破線上方部分)に供給さ れる。このガスは、第1領域から図1の破線の下側に示した第2領域に均一に流 れる。ガス供給口12と円形の排気出口24との間の圧力降下はわずかであり、 粘性流が第1領域及び第2領域の両方に形成される。 周辺ギャップ25は、ウエハ23のエッジとチャンパの壁との間に形成されて いる。ポンプ用ギャップ26は、ウエハ23と、ウエハ23の下側中央に配置さ れている排気出口24との間に形成される。 これらの周辺ギャップ25と、ポンプ用ギャップ26と、中央に配置された円 形の排気出口24と、この排気出口24において設定される圧力バイアスとによ って、均一な放射雰囲気ガスの流れを形成し、このガスがウエハ表面に到達した 後で、ウエハのエッジに半径方向外側にガスの流れを生じさせる。そして、ガス は、周辺ギャップ25を通ってウエハ表面の下側から排気出口の外に流れる。 本発明は、チャンパ10の構造にあり、構成部品12〜22は従来公知であ る。図2は、本発明に係るチャンバ10の第1の形態を示すより詳細な図である 。 チャンバ10は溶接密閉された室であり、アルミニウムで作られ、かつ硬質被 膜の陽極処理がなされ、2つの領域に分割されている。一方は、高圧力のガス供 給領域30であり、他方は、低圧力の反応領域32である。 チャンバ10は、硬質被膜がされたアルミニウムで構成するのが好適であるが 、耐エッチング、耐腐食、あるい汚染物質に侵されない材料、例えばセラミック 、またはSiCを使用することができる。 ガス供給領域30(図1に関連して上述した第1領域)は、このチャンバ壁3 4、固体プレート36、及び穴あきプレート38で形成され、それぞれ、選択さ れた波長域の光を透過し、かつこのガスに反応しない不活性材料により作られて いる。 好ましい形態では、プレート36,38は、紫外線及び赤外線の波長光を透過 させる不活性材料であるサファイアで作られている。例えば、このプレート36 ,38として、フッ化カルシウムCaF2またはフッ化マグネシウムMgF2を用 いることができるが、これらの材料は、サファイアよりもより高価である。 プレート36,38は、紫外線ランプ14及び赤外線ランプ16からの光が基 板23の表面を貫通できるように、紫外線および赤外線に対して透過性を有する 。 調整ガスは、エッチング、洗浄、バルクストリッピング(bulk stripping)、ま たは他の基板の表面処理等に使用するための公知のいかなるガスも利用できる。 しかし、好ましい形態では、この調整ガスは、反応性ガスに窒素が混合された不 活性ガスから構成される。 この反応性ガスは、公知の種々のガスでもよく、例えば、HF、HF/水蒸気 、HF/アルコール蒸気、さらには、CIF3,F2,またはCIF3/CL2混合 物等の光分解可能なフッ素含有ガスが知られており、あるいは、上記バーズィン の特許において論じたように、少なくとも1つの反応性を有する下流プラズマ源 のガスプラズマからの放出物であってもよいが、荷電粒子ではない、即ち、プラ ズマレスのガス(plasma-less gas)である。 反応性ガスの混合物もまた使用される。光洗浄用としてせいぜい1%程度のガ スでよいが、バルクストリッピング用ガスは、100%反応性ガスがよい。 実行される処理が、光化学的な活性化が必要でない場合、その時プレート36 ,38は不透明材料から作ることができる。光反応性ガスが用いられる処理にお いて、ガスを活性化させるために、また、必要なら基板を加熱するために、望ま しい特定の波長光に対して不活性でかつ透過性である限り、他の材料を使用する ことが可能であることを理解されたい。 好適な形態における基板は、一般に、半導体基板であるが、表面都理が必要と されるいかなる形式の基板であってもよい。例としては、平坦なパネルディスプ レイ等のガラス基板、薄膜ディスクヘッド等の薄いフィルム基板が含まれる。 図1に関連して上述した第2領域である反応性領域32は、チャンバ壁34、 穴あきプレート38、反応性領域内で垂直にスライドして載置位置および処理位 置を定めるバッフル板40(図3a,図3bに関連して論じた)によって形成さ れる。 半導体基板、即ち、ウエハ23は、負荷アーム46を用いてスロット44を介 してチャンバ10内に載置される。 ゲートバルブ(図示略)は、処理の間、スロット44をシールするのに用いら れる。調整ガスは、ガスマニホールド48を介して環状通路50に併給され、環 状通路50はガス供給領域30内に開口している。ガスはチャンバケ囲んでいる 環状通路50内に導かれ、そして、固体サファイア板36と穴あきサファイア板 38の間のギャップを通って流れ、さらに、穴あきサファイア板38の多数の貫 通穴52を通り、穴あきサファイア板38とバッフル板40との間の反応性領域 に導かれる。 環状通路50は、流れ通過率(flow conductance)が固体サファイア板36と穴 あきサファイア板38の間のギャップにおける流れ通過率よりも大きくなるよう に設計されている。 その結果、反応性ガスが1つの小径のチューブ48を介してこの環状通路に導 かれる場合においても、この環状通路50における圧力は、全体環境が損なわれ ないようにほぼ等しくなっている。 本発明者は、環状通路の流れ通過率が、上記サファイア板36,38間のギャ ップでの流れ通過率の少なくとも10倍となるように、環状通路の周辺領域に均 一にガスを供給する。環状リング50の等圧的特性により、環状リング50のエ ッジから穴あきサファイア板38の中心に均一なガスの流れが供給される。 さらに、この穴あきサファイア板38の多数の貫通穴52の寸法とその数は、 貫通穴52の流れ通過率が、固体サファイア板36と穴あきサファイア板38の 間のギャップの流れ通過率よりもずっと少なくなるように、また、各貫通穴52 を通過するガス流がほぼ等しくなるように設計されている。 上部クランプ54は、適所に固体サファイア板36を保持するために用いられ る。チャンバ壁34と共に通路カバー56は、環状通路のギャップを定め、この ギャップを通して調整ガスがガス供給領域30に流れる。 図1ないし図8の実施例において、ポンプ18は、参照符号69で示す排気マ ニホールド62の底部に取付けられる。排気マニホールド62は、バッフル板4 0に開口する円形の排気出口を有する。 図3a及び図3bにおいて、チャンバ10の載置位置及び処理位置が断面で示 されている。半導体基板は、バッフル板40に取付けられた3つの支持ピン66 の上に置かれている。バッフル板40は、チャンバ10内で垂直に摺動可能であ り、図3bにその処理位置が示されている。 図示しない機横が排気マニホールド62を上下に移動し、これとともにバッフ ル板40が同時に移動する。収縮自在のベローズシール68によって、排気マニ ホールドの移動を可能にするシールが構成される。 反応性チャンバ32は、その処理位置では、より小さな容積であり、バッファ 板40によりチャンバの残りの部分から効果的に分離されているのが見える。こ のバッファ板40は、そのエッジ回りの流れ通過率がバッファ板上方の流れ通過 率よりも少なくとも1/10の大きさとなるように形作られており、ガスが、下 方のチャンバよりもバッファ板上方の排気ポートに確実に流れるようにしている 。 一般的に、ウエハは8インチ(概略200mm)であり、本実施の形態では、 チャンバ10は直径が約8.5インチ(概略216mm)である。それゆえ、ウ エハ23とチャンバ壁34の間に形成された周辺ギャップ25は、200mmの ウエハが用いられた場合には、概略1/4インチ(6.35mm)である。 このギャップは、150mmウエハを用いた場合には、大きくなってしまうが 、本発明では、同様の仕方で作業する。ウエハ23は、複数のピンで支持され、 その結果、本例では、ウエハ23とバッファ板40との間にほぼ8mmのポンプ 用ギャップ26が形成される。 発明者は、多種類の貫通穴、穴の間隔、流れ率、及びプレート38と半導体基 板すなわちウエハの表面との間の間隔について実験してきた。図4は、穴あきプ レート38とウエハ表面との間の調整ガスの拡散を決定するときの寸法及びパラ メータを概略的に示している。Sは、穴あきプレート38とウエハ表面都の間の を示している。 テストでは、厚さ1.9mmのサファイア板またはアルミニウム板において、 直径dが1mmであった。熱酸化によって、HF/IPA酸化エッチング装置に おいて、全流量が500及び2000sccmで、間隔Sが1及び6cm場合に ついてシリコンウエハをエッチングした。 この場合、サファイア板では、69穴で、gが12.7mmピッチの穴パター ンであり、また、アルミニウム板では、69穴で、gが6.3ピッチの穴パター ン、あるいは、221穴ピッチmmで、12.7の穴パターンである。また、圧 力、温度、処理時間は一定に保持された。 反応圧力は、100トル(torr) 温度は40℃、処理時間は、5分であった。 酸化のエッチング率は、処理進行の前後で分光反射率計を用いて酸化フィルム厚 さを測定することにより決定された。150mmと200mmのウエハ直径が用 いられた。テスト結果は、以下の表1に示されている。 貫通穴から入来する流れにより生じる拡散混合率における概略計算は、図4で 示すように、流れ方向に直交する各流れにより生じる二元の拡散を仮定して行わ れた。各貫通穴間の距離に対する各流れに特有の拡散長さの比Xは、 次元のない変数として拡散の混合率の程度を測定するために用いられる。 ここで、Dは窒素希釈における反応性ガスの二元の拡散度、tは貫通穴からウ エハ表面に向かう流れに対する時間(ウエハに対する穴の間隔は、ガスが貫通穴 を通過するときのガスの平均速度によって分割される。)であり、またgは穴間 の中心間距離である。 Xが、sの+0.5パワー及び質量流量の−0.5パワーになることを示して いる。このデータは、均一性とXとの間の相関関係を示す。このデータから見る と、0.10よりも大きなXにより、パターンが貫通穴の下方に現れるのを防止 し、また良好なエッチングの均一性が得られる。 100トル(ガス供給領域内の環状通路の開口で測定)に設定された入口圧力 を用いた場合、穴あきプレートの中心での圧力降下は、わずかに概略0.02〜 0.16ミリトル(mTorr)が測定され、最大圧力降下は、貫通穴を通過する時 に生じる2.9〜38ミリトルであった。また、周辺ギャップの通過して出口 ギャップ、さらに排気出口までの圧力降下は、ほぼ0.08〜0.36ミリトル であった。 それゆえ、入口から出口までの圧力降下は、入口での圧力に対してわずかであ り、したがって、粘性流体系が確保されることがわかる。 この穴あきプレートを通過するガスの圧力は、全ての他の圧力降下を左右する 。穴あきプレートを通過する際の圧力降下は、径方向に均一であり、各穴を通し てガスの均一な流れが生じる。 1994年8月18に出願された米国特許出願番号第08/292,359号 では、CIF3/CL2混合物等の光分解可能なフッ素含有ガスを用いて、ガスシ リコンニトライド(silicon nitride)の選択的エッチングのための処理を開示す る。 180〜400ナノメータ(nm)の範囲に渡って基板上に適切な活性化を達 成するのに必要な紫外線の強さが、望ましくは、少なくとも50mW/cm2, 好ましくは、少なくとも200mW/cm2である。本発明で使用する一般的な 広帯域紫外線源は、約250mW/cm2に関して180〜400nmの範囲に 渡り、また約25mW/cm2に関して254±5nmの紫外線強さを供給でき る。 発明者は、ガス供給口圧力を100トルで、貫通穴52の中心間距離を6mm と13mmの間にしたグリッドパターンの場合、各貫通穴の直径を1mmとした ときに反応性ガスがガス供給領域30に均一に満たされ、その結果、ほぼ等しい ガス流量が、高圧のガス供給口領域30から低圧の反応領域32まで各貫通穴5 2を通過して流れることを見出した。 1994年6月14日に出願された米国特許出願番号第08/259,542 号では、シリコン、ガリウム、ヒ化物、またはシリコンオキサイド等の基板の表 面から、金属または他の汚染物質と同様にシリコンオキサイドを取り除くための 洗浄方法が開示されている。 このプロセスは、CIF3等の少なくとも1つの光分解可能なフッ素含有ガス を含む調整ガスを用いており、選択的に、塩素等の促進ガス及び乾燥窒素等の不 活性ガスの両方またはいずれか一方を含めることもできる。基板は、調整ガスの 存在化に紫外線放射に晒される。 一般的な条件としては、1〜90%のCIF3と0〜0.25%のCl2及び調 整用窒素を含む調整ガスを用いる。この調整ガスは、全体のガス圧力が約100 トルであり、流れ速度が1000sccmであり、広帯域紫外線放射は中間圧力水銀 アークランプから生じるものである。 このプロセスは、シリコンオキサイドを取り除くのに用いられ、半導体基板か らの金属製汚染物質及び種々の酸化物間に非常に低い選択性を与える。上記特許 出願番号第08/259,542号に開示された、この洗浄方法は、本発明の装 置及び方法に実際に用いるときに特に有効である。 図3a,図3b及び図5において、調整ガスの流れを示した概略図が示されて いる。 調整ガスは、環状通路50から出てガス入口領域30に流入する。このガスは 、貫通穴52を介して流れるガスの流量に対して領域30に急速に充填される。 これにより、ガスは、ほぼ等しい量で貫通穴52を通って流れる。この調整ガス はウエハ23に向かって流れ、ウエハ23がガス入口領域30と反応領域32と の間の差圧によって部分的に運ばれる。 紫外線ランプ14の紫外線放射は、上述したように十分な強さを有しており、 固定サファイア層36と穴あきサファイア層38を貫通してウエハの表面に達す る。ウエハの表面でガスを活性化させ、すなわち、ウエハ表面及び全体に直接ガ スが触れる。 活性化したガス粒子は、ウエハ表面と化学的に反応する。周辺ギャップ25、 ポンプ用ギャップ26及びポンプ出口24で設定された圧力バイアスにより均一 に放射される周囲ガス流が形成され、このガスはウエハ表面に到着した後、ウエ ハのエッジから半径方向外側に流れ、さらに周辺ギャップを通ってウエハ表面の 下側から出口24に排出される。 本発明の重要な特徴は、ガスがウエハ表面のどこでも叩くことであり、これに より周辺ギャップ25、ポンプ用ギャップ26、及び出口24によって生じる流 れにより、ガスはウエハ上の位置から最も近いエッジ部分に半径方向に流れるよ うになる。 この流れによって、ガスは、反応後の残留時間が最小となり、ウエハ表面の再 汚染を最小限にする。また、ポンプ用ギャップの重要な特徴は、ウエハ上表面に 到達しなかった活性化したガスが出口24の方に流れるときに、このガスがウエ ハの底面で作用する調整ガスとなる。 図3a,図3b及び図6において、別の実施の形態として、ガス入口領域の概 略図が示されている。このガスは、固体サファイア板36と、中央部に単一の貫 通穴82が配置された第2の固体サファイア板80とによって形成される領域に 直接供給される。 そして、ガスは、貫通穴82を通ってプレート80とプレート38の間の領域 に均一に満たされる。貫通穴82の流れ通過率は、貫通穴52の流れ通過率より もより大きくなっている。このため、ガスは均一にその空間に満たされ、そのた め、貫通穴52を通るガス流量とほぼ等しい。プレート80は、第1の実施の形 態の環状通路50に置き換えられるもので、各貫通穴52を通る均一な流れを可 能にしている。 図7a及び図7bにおいて、別の実施の形態として、ウエハ23がピン76の 上に載せられ、かつ加熱板組立体78を介して伸びている、変形した基板支持体 が示されている。加熱板組立体78は、外部に赤外線放射を用いてウエハを加熱 する変形例であり、従来公知のものである。この加熱板組立体78は、ポンプ用 ギャップ26と周辺ギャップ25を形成するために、チャンバ壁34と加熱板組 立体78との間に設けたバッフル板40に取付けられる。 図7bに示す処理位置では、複数のピン76が後退して、ウエハ23が加熱板 組立体78上に直接載っている。ガスは、ウエハの表面に向かって流れ、それか らウエハの周辺に向けて放射上に流れ、さらに上述したよう、周辺ギャップ25 、ポンプ用ギャップ26、そして出口へ排出されるように流れる。 図8において、図7a及び図7bの変形例が示され、そこでは、ピン76が固 定されており、ウエハと加熱板組立体の間のギャップを与え、また、ウエハ23 の底面の調整を可能にしている。 加熱板78は、出口24の上方に配置された出口81を有しており、ガスがウ エハ23の底面を調整した後、ガスを直接出口24に排出できるようにしてい る。ウエハと加熱板組立体の間のギャップの高さを変更するために、ピン66上 に載せられたウエハを下降させながらピン76は選択的に後退できるようにして もよい。 加熱板組立体は、カバープレート79によって覆われており、このカバープレ ートは、ギャップを狭くするように伸ばすことができ、調整ガスが選択的に基板 の表面下及び出口81を通って流れるようにさせることができる。 図9において、チャンパ10の別の形態が示されており、そこに設けられた回 転フィードスルー60がパイプ62,90を連結され、これらのパイプはベロー ズ68の中で一緒に回転する。 パイプ62の終端にはバッファ板40があり、パイプ90の終端には加熱板組 立体78がある。回転フィードスルー(rotary feedthrough)60は従来公知であ り、より均一な紫外線放射を確実に受けるようにバッファ板及び加熱板組立体の 低速回転を可能にするために用いられている。 従来良く知られているように、この回転フィードスルー60は、固定部分91 と回転部分93とで構成されている。窒素等の不活性ガスが加熱板組立体に通じ るパイプ90に供給され、そしてこのガスは、加熱コイルを含む2つのプレート 間の空間に充填される。窒素は、加熱板組立体の両側から漏れ出し、排気出口2 4に運ばれる。 この不活性ガスは、処理中の加熱コイルを保護するためのシール用ガスとして 使用される。このガスはマニホールド62からチャンバに出て、パイプ90とマ ニホールド62の間の環状の間隙から1つのポートを通り真空ポンプ(図示略) に連結された出口92から排出される。真空ポンプは、10ミリトル以下となる ようにチャンバの圧力を下げることができる。さらにポンプ排気が要求される場 合、高い真空ポンプポート94を用いることができる。一般的に、ポンプポート 94は、チャンバからウエハを取り除く前に正しい状態か、処理動作の間可能と なるように使用することができる。 チャンバ10は、清浄用入口96に選択的に設けることができ、この入口96 を通って、不活性のシールガスがバッファ板の下方のチャンバ内に供給でき、そ の圧力は、調整ガスをバッファ板の下方に流れるのを防止するために、バッファ 板の下方の圧力とポンプ用ギャップ内の圧力との間にある。 加熱板を清浄するガス入口98は、パイプ90を通して加熱板組立体に窒素ガ スを供給するための回転シールである。電気作動のスリップリング組立体が参照 符号100で示され、電気作動のフィードスルーが参照符号102で示されてい る。アクセスポート104が組立体及びメインテナンス用として設けられている 。 図10〜図12において、単純化した本発明に係るチャンバの別の形態の概略 図が示されている。このチャンバは、下側に赤外線及び紫外線の両方またはいず れか一方の照射及びエッチング能力を備えている。図10〜図12には、同様の 部品が、これらの各図において、同一番号によって示されている。 図10には、この処理チャンバが参照符号105で指示されている。環状のガ ス供給通路106は、この通路106と領域107の間に設けたスロット開口1 09または一連の、好ましくは均等の間隔を置いた複数の穴を通って、固体光透 過窓108と穴あき光透過シャワヘッド110との間にあるチャンバ107のガ ス入口領域に調整ガスを供給する。 この調整ガスは、シャワヘッド110内の貫通穴を通過し、中央部の反応領域 内にあるウエハ120の上面に供給される。 環状通路112,114,116がチャンバの周辺に配置され、スロット開口 113,115,117または一連の、好ましくは均等に間隔を置いた穴を介し てチャンバと連通する。 この通路112,114,116は、反応性ガスがウエハ120の頂部側に達 した後で、このガスを均一に周辺に排出できるようにする。全ての3つの通路1 12,114,116が同時にチャンバ105に排出するために用いることがで きるが、これらの周辺通路の1つまたは2つだけを効果的にチャンバ105にガ スを排気できるようにすることも可能である。 調整ガス及び反応性生成物がウエハ120の頂部から容易に周辺通路112, 114,116に直接引き出されるが、同時に調整ガスは拡散によってウエハ1 20の下側に接近できる。 固体光透過性窓122がチャンバの底部に設けられている。好ましくは、窓 108,122及びシャワヘッド110は、赤外線及び紫外線の両方を透過する 材料で作られている。光源124,126は、それぞれチャンバ105の上方及 び下方に設けられ、この光源の出力は、調整ガスまたは基板さらにはこれらの両 方に対して光化学反応を活性化するのに効果があり、ウエハの両側に選択的に上 部側と下部側に光放射を行えるように設けられている。 好適には、光源124,126は、中圧水銀ランプ、アークランプ、フラッシ ュランプまたは誘電体放電ランプ等の紫外線発生器である。光源124または光 源126の出力はチャンバ105内に、直接またはフィルタを通過した赤外線を ダイクロイックミラー等を介して間接的に導かれる。それゆえ、紫外線の光源1 24はウエハの温度に作用させるのに特に重要ではない。温度制御は、チャンバ 105の下側に1つまたはそれ以上の赤外線ランプによって行われ、このランプ の出力は、窓122を通りウエハ120の底部側に向かう。 図11において、この処理チャンバは、参照符号135で示されており、チャ ンバ135は、光透過性窓138が設けられている以外はチャンバ105と同等 のものである。この光透過性窓138は、中央に単一の開口140を有し、周辺 通路116への開口の上方にあって、ウエハ120と底部窓122との間に設け れている。 先に説明した実施の形態のように、チャンバ135に供給されるガスは、1つ またはそれ以上の環状周辺通路112,114,116によって排気される。通 路116から排気されるガスは、最初にウエハの回りに放射状に引き出されて、 窓138の中央開口に至り、そこから窓138と窓122との間の領域を介して 通路116へと進む。 こうして、チャンバ135は、ウエハの下側に向かう調整ガスのより均一な供 給を可能にし、また、ウエハの下側での均一な反応を可能にする。さらに所望の 反応性ガスまたは不活性ガスを窓138の開口140を介してウエハの下側に供 給するために、通路116を用いることができる。 この場合、中央周辺通路112,114は、通路106と通路116の両方か らチャンバに供給されるガスを排気するために用いる。しかし、反応性ガスまた は不活性ガスをウエハの下側に供給するには、図12に示すチャンバ135に よって行うのが奸ましい。 図12のチャンバ135は、単独の穴あき窓138を有する以外は、図11の チャンバ135と同等のものであり、この窓138は、多数の均等に間隔を置い た貫通孔を有する透過性シャワヘッド158に置き換えられている。好適なシャ ワヘッド158は、シャワヘッド110と構成上は同一であり、貫通穴の寸法及 び分布も同一である。 さらに、好ましくは、各領域107,142の寸法、通路106,116、及 び中央領域111への開口等、これら全てほぼ同一であり、チャンバ155は、 ウエハ120によって形成された面の軸方向及びいずれか一方の面に関して対称 である。 しかし、この対称構造である必要はなく、ある場合において、基板の上下両面 での異なる反応または反応速度を用いることを容易にするためにその構造を非対 称にすることが有利となることもある。 図13及び図14は、チャンバ155用の複合ツールハウジング200を外側 から見た斜視図及び上面図を示している。ハウジング200は、頂部壁202及 び底部壁204を有し、これらの壁には、それぞれチャンバ155の頂部窓10 8と底部窓122が設けられている。 図14において想像線で示された側壁206は、チャンバ155の側面を形成 する。スリットバルブ組立体208が、チャンバ155内にウエハを出入りさせ るために設けられ、複合ツールを用いて図示しないウエハ処理ユニットに適合す るようになっている。 ウエハ120は、複数の支持ピン210,212,214によってチャンバ内 の適所に保持されており、これらのピンは、調整ガスの環境下でほとんど反応し ない水晶または他の物質により作られている。ピン210,212は、ハウジン グ200を貫通して供給され、かつ好ましくはウエハの温度を監視するための手 段を設けるために、ウエハ接触端部の内側にサーモカップル温度センサと、ピン 210,212を通ってハウジングの外部に伸びた電気接続線部とを備えている 。 反応性ガスは、供給ライン220,222を通りチャンバ155に設けた環状 のガス入口106,116に供給される。排気ポート224,226は、チャン バ155の制御された周辺排気を与えるために、それぞれバルブ225,227 を介して通路112,114に連通する。ウエハの搬入及び排出前にチャンバを 真空排気するために、機械式ポンプ230及びターボポンプ240が設けられて おり、これらのポンプの両方は、チャンバ155の内部領域111に連通する。 ポンプ230は、チャンバの減圧を急速に行い、約10-2トル〜約10-4トル に減圧する。さらに所望ならば、ターボポンプ240により約10-6トル以下の 圧力にチャンバ内を減圧させることができる。 図12ないし図14に示した特定の例では、選択的に、基板の下側をエッチン グできるようにし、また、基板の一面または両面を光学的活性化することも選択 的にできる。 不活性ガスは、ウエハの下側での反応を減少またはなくすように下側に供給さ れ、基板の上下両面に同一の反応を進行させるが、異なる速度であるいは異なる 反応ガスを等分に供給して、ウエハの上面と下面にそれぞれ異なる反応を同時に 行うようにする。 ここに開示の本発明の好適な形態では、頂部と底部に関して方向づけがなされ ているが、当業者にとって、このような方向付けは本発明から逸脱しない範囲で 変更することができる。さらに、チャンバ及び基板は、基板を垂直に支持する方 向であってもよく、また水平線と垂直線との間の所定角度に方向づけてもよい。 このような場合、本装置に使用することができる圧力、流れ速度、および/ま たは温度等の範囲を狭めることが、水平でない基板上での重力差またはガスの浮 力効果を最小にするのに必要となる。 さらに、基板及びチャンバは、好ましくは同心配置および円形状であるが、非 同心および/または多角形あるいは別の曲線形状であってもよい。 光源からの波長出力は、チャンバ内で使用する活性的な特に望ましい光学反応 あるいは熱的特性のある基板に効果がある場合に、赤外線及び紫外線の範囲外に 設けることもできる。 さらに、平坦なパネルディスプレイ用基板等の非ウエハ基板が、本発明のチャ ンバ内で有効に調整され得る。 本発明の好適な及び他の実施の形態がここに完全に説明されている。本発明に 係る多数の特徴及び利点は、本発明の構造及びその作用とともに上述してきたが 、これらの開示内容は、単に例示的なものである。 本発明の原理の範囲内で部品の形状、寸法、及び配置に関して詳細に説明して きたが、添付の特許請求の範囲において表現された言葉の一般的意味によって示 された程度において、変更が可能である。
【手続補正書】特許法第184条の8第1項 【提出日】1996年12月17日 【補正内容】 請求の範囲 1.反応性ガスを含んでいる調整ガスにより基板を調整するための処理装置であ って、 前記基板は、調整されるべきほぼ平坦な第1表面と、これに略平行な第2表 面とを有し、前記処理装置は、 周囲環境から隔離され、調整ガスがその内部に供給される第1のガス入口部 と前記調整ガスで基板が調整される調整部とを有するチャンバと、 このチャンバの調整部内に基板を支持するための支持手段と、 前記ガス入口部内の調整ガスが第1圧力となり、前記調整部内の調整ガスが 前記第1圧力よりも低い第2圧力となって基板に供給され、さらに前記第1,第 2圧力が粘性流の形態で供給されるように、前記チャンバ内に第1圧力バイアス を与え、第1圧力バイアス手段と、 ガス入口部と調整部の間の差圧によって調整ガスが基板の第1表面に向けて 流れるようにし、調整ガスの反応性ガス成分が基板の第1表面に化学的に反応し てこの表面を調整するように、調整ガスをチャンバのガス入口部に供給するため の入口手段と、 調整ガスが基板表面に化学的に反応した後に、このガスが、到達した基板か ら外側に流れてほぼ放射状の流れパターンでチャンバの周辺に向かうように、 前 記基板の第1表面を横切る第2圧力バイアスを作り出すための第2圧力バイアス 手段と、 チャンバからガスを排気する排気手段とを備えており、 前記第2圧力バイアス手段は、チャンバの調整部から分離するとともにこの 調整部と狭くなった通路を介して連通している少なくとも1つの円形通路を含み 前記狭くなった通路は、環状のスロット開口または基板の周辺に配置され環 状に離設した別々の一連の穴からなり、かつ前記円形通路の断面積よりも小さい 断面積を有していることを特徴とする基板処理装置 。 2.第1波長域の光であって、表面上または調整ガス内、あるいはこれらの両方 に光化学反応を活性化するのに十分な強さを有する光を調整ガスに照射するため に、チャンバの外側に設けられた第1光発生器をさらに含んでいることを特徴と する請求項1に記載の基板処理装置。 3.第1圧力バイアス手段は、調整ガスをチャンバの第1ガス入口部に制御され た圧力で供給するための手段と、前記第1ガス入口部と調整部との間の境界を形 成する第1シャワヘッドとを備えており、この第1シャワヘッドは、ほぼ均等に 間隔を置いて配置された複数の貫通穴を有し、この穴を介してガスが第1ガス入 口部から調整部へと流れ、第1ガス入口部の流れ通過率が第1シャワヘッドの貫 通穴の流れ通過率よりも大きくなっていることを特徴とする請求項2に記載の基 板処理装置。 .チャンバは、さらに、ガス入口部とチャンバ外部との間の第1固体窓を含み 、この第1窓は、第1シャワヘッドから離間して対面しており、前記第1窓と第 1シャワヘッドとは、第1波長域の光に対して透過性の材料から作られ、第1光 発生器は、チャンバの外側に配置され、そこから光を前記第1窓と第1シャワヘ ッドを介して基板の第1表面に向けられるようになっていることを特徴とする請 求項に記載の基板処理装置。 .チャンバは、第2固体窓を備えており、この第2窓は、第2波長域の光に対 して透過性を有し、この光は、第1波長域と同一かあるいは異なっており、さら に、本装置には、第2窓を介して基板の第2表面に第2波長域の光が向けられる 手段を含んでいることを特徴とする請求項に記載の基板処理装置。 .チャンバの第2のガス入口部と、 調整ガスと同一かあるいは異なる第2ガスを、制御した圧力で第2のガス入 口部に供給する手段と、 前記第2のガス入口部をチャンバの調整部から分離し、第2波長域の光に対 して透過性を有し、さらに、少なくとも1つの貫通穴を有している、分離窓とを 備えており、 前記第2ガスは、チャンバの第2のガス入口部からの調整部内に流れ、第2 のガス入口部と分離窓が、基板の第2表面に対面して配置され、前記第2ガスが 調整部内に流れる時、基板の第2表面に向けてガスが流れるようになってい ることを特徴とする請求項に記載の基板処理装置。 .分離窓は、ガスが第2のガス入口部から調整部に流れることができるほぼ均 等に間隔を置いた配置の複数の貫通穴を有する第2シャワヘッドであり、 第2のガス入口部の流れ通過率が第2シャワーへッドの貫通穴の流れ通過率 よりも大きくなっていることを特徴とする請求項に記載の基板処理装置。 8.チャンバと基板周辺部はほぼ同心配置され、さらに、第2圧力バイアス手段 の円形通路が基板の下方の中央に配置され、かつポンプ用ギャップを介して排気 されており、 前記基板の支持手段が、基板とチャンバ周辺との間に均一な周辺ギャップが 形成されるように前記基板を支持するために配置され、前記周辺ギャップは、円 形のチャンバからのガスの排気手段に連通して、前記狭くなった通路を形成する ことを特徴とする請求項1ないし7のいずれかに記載の基板処理装置 .第1ガス入口手段は、チャンバの第1ガス入口部の周辺部分の回りを取り囲 んでいる調整ガスの供給通路を含み、さらにチャンバの第1ガス入口部の周辺部 分に開口する連続したスロット、または、調整ガス供給通路とチャンバの第1ガ ス入口部の周辺部分との間にほぼ等間隔に離設した一連の開口を含んでおり、 また、第2ガス入口手段は、チャンバの第2のガス入口部の周辺部分の回り を取り囲んでいる第2のガス供給通路を含み、さらに、チャンバの第2ガス入口 部の周辺部分に開口する連続したスロット、または、第2の調整ガス供給通路と チャンバの第1ガス入口部の周辺部分との間にほぼ等間隔に離設した一連の開口 を含んでいることを特徴とする請求項3ないしのいずれかに記載の基板処理装 置。10 .基板を加熱するための加熱手段をさらに含んでいることを特徴とする請求項 1ないしのいずれかに記載の基板処理装置。11 .基板がチャンバ内に載置された後で、チャンバの調整部の容積を減じるため にチャンバ内で支持手段を鉛直上方に持ち上げる手段をさらに含んでいることを 特徴とする請求項1に記載の基板処理装置。12 .処理中の基板の温度を測定するための基板温度センサ手段と、 処理中のチャンバの調整部におけるガス圧力を測定するための圧力センサ手 段と、 チャンバの調整部内にあるガス種を監視するためのガスセンサ手段とをさら に含んでいることを特徴とする請求項1に記載の基板処理装置。13 .調整されるべきほぼ平坦な第1平面と、これに略平行な第2平面とを有する 基板がチャンバ内に置かれて周囲環境から隔離され、このチャンバ内に反応性ガ スを含む調整ガスを供給して前記基板を調整するための処理方法であって、 前記基板をチャンバ内に支持し、 前記基板がチャンバの第1ガス入口部よりも低い圧力領域の第2チャンバ部 内にあるように、前記チャンバ内に第1圧力バイアスを形成し、前記チャンバの 第1ガス入口部と第2チャンバ部に粘性流の流れ形態を生じさせ、 前記調整ガスを前記チャンバのガス入口部内に供給して、調整ガスの差圧に より、基板の第1表面に向けて調整ガスを流し、その反応性ガス成分が前記基板 の第1表面と化学的に反応し、 前記基板の表面を横切る第2圧力バイアスを作り出し、調整ガスが基板の第 1表面と化学的に反応した後で、この調整ガスが、反応した場所からチャンバの 周辺に向かって基板から離れる半径方向外側へと流れ、 このガスをチャンバから排気する、各ステップを有することを特徴とする基 板処理方法。14 .調整ガスが反応した場所からチャンバの周辺に向うガス流は、基板の第1表 面に直交する軸線に対して半径方向外側に流れることを特徴とする請求項13に 記載の基板処理方法。15 .基板の第1表面で光の放射を行うステップをさらに含んでおり、この光放射 は、第1の光波長域にあり、かつ第1表面上または調整ガス内で、あるいはこれ らの両方で、光化学反応を活性化するのに十分の強さがあることを特徴とする請 求項13または14に記載の基板処理方法。16 .基板の第2表面で光の放射を行うステップをさらに含んでおり、この光放射 は、第2波長域の光にあり、かつ第2表面上または調整ガス内で、あるいはこれ らの両方で、光化学反応を活性化するのに十分の強さがあり、さらに、前記 第2波長域の光及びその強さは、第1の光波長域及びその強さと同一であるかま たは異なっていることを特徴とする請求項15に記載の基板処理方法。 17.基板を調整するために請求項1ないし12のいずれかに記載の基板処理装置 の使用。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 フェイフィールド,ロバート ティー. アメリカ合衆国 ミネソタ 55416 セン ト ルイス パーク ハンチングトン ア ベニュー 2814 (72)発明者 シーフェリング,ケビン アメリカ合衆国 ミネソタ 55318 チャ スカ ブルフ パス エヌ.1035 (72)発明者 ヘイツィンガー,ジョン アメリカ合衆国 ミネソタ 55344 アー デン プレーリー ワイルダー ドライブ ナンバー333 11625 (72)発明者 ハイアット,シー.フレッド アメリカ合衆国 ミネソタ 55337 バー ンズビル ビマンウッド ドライブ 40

Claims (1)

  1. 【特許請求の範囲】 1.反応性ガスを含んでいる調整ガスにより基板を調整するための処理装置であ って、 前記基板は、調整されるべきほぼ平坦な第1表面と、これに略平行な第2表 面とを有し、前記処理装置は、 周囲環境から隔離され、調整ガスがその内部に供給される第1のガス入口部 と前記調整ガスで基板が調整される調整部とを有するチャンバと、 このチャンバの調整部内に基板を支持するための支持手段と、 前記ガス入口部内の調整ガスが第1圧力となり、前記調整部内の調整ガスが 前記第1圧力よりも低い第2圧力となって基板に供給され、さらに前記第1,第 2圧力が粘性流の形態で供給されるように、前記チャンバ内に第1圧力バイアス を与え、第1圧力バイアス手段と、 ガス入口部と調整部の間の差圧によって調整ガスが基板の第1表面に向けて 流れるようにし、調整ガスの反応性ガス成分が基板の第1表面に化学的に反応し てこの表面を調整するように、調整ガスをチャンバのガス入口部に供給するため の入口手段と、 調整ガスが基板表面に化学的に反応した後に、このガスが到達した基板から 外側に流れてチャンバの周辺に向かわせるように、前記基板の第1表面を横切る 第2圧力バイアスを作り出すための第2圧力バイアス手段と、 チャンバからガスを排気する排気手段とを備えていることを特徴とする基板 処理装置。 2.第1波長域の光であって、表面上または調整ガス内、あるいはこれらの両方 に光化学反応を活性化するのに十分な強さを有する光を調整ガスに照射するため に、チャンバの外側に設けられた第1光発生器をさらに含んでいることを特徴と する請求項1に記載の基板処理装置。 3.第1圧力バイアス手段は、調整ガスをチャンバの第1ガス入口部に制御され た圧力で供給するための手段と、前記第1ガス入口部と調整部との間の境界を形 成する第1シャワヘッドとを備えており、この第1シャワヘッドは、ほぼ均 等に間隔を置いて配置された複数の貫通穴を有し、この穴を介してガスが第1ガ ス入口部から調整部へと流れ、第1ガス入口部の流れ通過率が第1シャワヘッド の貫通穴の流れ通過率よりも大きくなっていることを特徴とする請求項2に記載 の基板処理装置。 4.基板は、第1シャワヘッドから距離を置いて支持されており、調整ガスが貫 通穴を通過した後のガス拡散速度が、このガスが基板上到達する前にすでに基板 上に残留しているガスと共に均一に混合され、これによって、基板の表面の方に 向う調整ガスの流れが、基板の第1表面全体に横方向に均一に流れることを特徴 とする請求項3に記載の基板処理装置。 5.チャンバは、さらに、ガス入口部とチャンバ外部との間の第1固体窓を含み 、この第1窓は、第1シャワヘッドから離間して対面しており、前記第1窓と第 1シャワヘッドとは、第1波長域の光に対して透過性の材料から作られ、第1光 発生器は、チャンバの外側に配置され、そこから光を前記第1窓と第1シャワヘ ッドを介して基板の第1表面に向けられるようになっていることを特徴とする請 求項3または4に記載の基板処理装置。 6.チャンバは、第2固体窓を備えており、この第2窓は、第2波長域の光に対 して透過性を有し、この光は、第1波長域と同一かあるいは異なっており、さら に、本装置には、第2窓を介して基板の第2表面に第2波長域の光が向けられる 手段を含んでいることを特徴とする請求項5に記載の基板処理装置。 7.チャンバの第2のガス入口部と、 調整ガスと同一かあるいは異なる第2ガスを、制御した圧力で第2のガス入 口部に供給する手段と、 前記第2のガス入口部をチャンバの調整部から分離し、第2波長域の光に対 して透過性を有し、さらに、少なくとも1つの貫通穴を有している、分離窓とを 備えており、 前記第2ガスは、チャンバの第2のガス入口部からの調整部内に流れ、第2 のガス入口部と分離窓が、基板の第2表面に対面して配置され、前記第2ガスが 調整部内に流れる時、基板の第2表面に向けてガスが流れるようになっているこ とを特徴とする請求項6に記載の基板処理装置。 8.分離窓は、ガスが第2のガス入口部から調整部に流れることができるほぼ均 等に間隔を置いた配置の複数の貫通穴を有する第2シャワヘッドであり、 第2のガス入口部の流れ通過率が第2シャワーヘッドの貫通穴の流れ通過率 よりも大きくなり、 第2シャワーヘッドを通過した後の第2ガスの拡散速度が、この第2ガスが 基板表面に到達する前にすでに基板上に在留しているガスと均一に混合できる速 度となるように、基板が、第2シャワーヘッドから距離を置いて支持されており 、これにより、前記基板の表面に向いた第2ガスが、基板の第2表面全体に横方 向に均一に流れることを特徴とする請求項7に記載の基板処理装置。 9.チャンバと基板周辺部は、ほぼ同心配置されており、さらに、第2圧力バイ アス手段が、 前記基板とチャンバ周辺との間に均一な周辺ギャップが形成されるように前 記基板を支持するために配置された基板支持手段と、 前記基板の下方の中央に配置され、かつチャンバからのガスを排気するため の排気手段に連通する出口手段とを備えており、 前記基板は、ポンプ用ギャップが基板と前記排気用の出口手段との間に支持 され、また、調整ガスが、基板の第1表面に化学的に反応した後で基板から離れ て外側に流れ、さらに周辺ギャップを通りポンプ用のギャップを介して出口手段 から排出させるように、基板の第1表面上に圧力バイアスが形成されるように、 前記出口手段における圧力が整えられていることを特徴とする請求項1ないし8 のいずれかに記載の基板処理装置。 10.第2圧力バイアス手段は、チャンバの調整部の周辺からガスを移動させる排 気手段と連通する周辺出口手段を含んでいることを特徴とする請求項1ないし8 のいずれかに記載の基板処理装置。 11.周辺出口手段は、チャンバの調整部の周辺部分をその回りに取り囲んでいる 少なくとも1つの出口通路からなり、さらに、チャンバの調整部の周辺に開口す る連続したスロット、または、出口通路とチャンバの調整部の周辺部分との間に ほぼ等間隔に離設した一連の開口を含んでいることを特徴とする請求項8に記載 の基板処理装置。 12.第1ガス入口手段は、チャンバの第1ガス入口部の周辺部分の回りを取り囲 んでいる調整ガスの供給通路を含み、さらにチャンバの第1ガス入口部の周辺部 分に開口する連続したスロット、または、調整ガス供給通路とチャンバの第1ガ ス入口部の周辺部分との間にほぼ等間隔に離設した一連の開口を含んでおり、 また、第2ガス入口手段は、チャンバの第2のガス入口部の周辺部分の回り を取り囲んでいる第2のガス供給通路を含み、さらに、チャンバの第2ガス入口 部の周辺部分に開口する連続したスロット、または、第2の調整ガス供給通路と チャンバの第1ガス入口部の周辺部分との間にほぼ等間隔に離設した一連の開口 を含んでいることを特徴とする請求項3ないし8のいずれかに記載の基板調整装 置。 13.調整ガスが反応した場所からチャンバの周辺に向うガス流は、基板の第1表 面に直交する軸線に対して半径方向外側に流れることを特徴とする請求項1ない し12のいずれかに記載の基板処理装置。 14.支持手段は、基板の底部側表面に調整ガスが到達できるように、基板の下側 にギャップを設けて、チャンバ内に前記基板を支持することを特徴とする請求項 1ないし6のいずれかに記載の基板処理装置。 15.基板を加熱するための加熱手段をさらに含んでいることを特徴とする請求項 1ないし14のいずれかに記載の基板処理装置。 16.基板がチャンバ内に載置された後で、チャンバの調整部の容積を減じるため にチャンバ内で支持手段を鉛直上方に持ち上げる手段をさらに含んでいることを 特徴とする請求項1に記載の基板処理装置。 17.処理中の基板の温度を測定するための基板温度センサ手段と、 処理中のチャンバの調整部におけるガス圧力を測定するための圧力センサ手 段と、 チャンバの調整部内にあるガス種を監視するためのガスセンサ手段とをさら に含んでいることを特徴とする請求項1に記載の基板処理装置。 18.調整されるべきほぼ平坦な第1平面と、これに略平行な第2平面とを有する 基板がチャンバ内に置かれて周囲環境から隔離され、このチャンバ内に反応性 ガスを含む調整ガスを供給して前記基板を調整するための処理方法であって、 前記基板をチャンバ内に支持し、 前記基板がチャンバの第1ガス入口部よりも低い圧力領域の第2チャンバ部 内にあるように、前記チャンバ内に第1圧力バイアスを形成し、前記チャンバの 第1ガス入口部と第2チャンバ部に粘性流の流れ形態を生じさせ、 前記調整ガスを前記チャンバのガス入口部内に供給して、調整ガスの差圧に より、基板の第1表面に向けて調整ガスを流し、その反応性ガス成分が前記基板 の第1表面と化学的に反応し、 前記基板の表面を横切る第2圧力バイアスを作り出し、調整ガスが基板の第 1表面と化学的に反応した後で、この調整ガスが、反応した場所からチャンバの 周辺に向かって基板から離れる半径方向外側へと流れ、 このガスをチャンバから排気する、各ステップを有することを特徴とする基 板処理方法。 19.調整ガスが反応した場所からチャンバの周辺に向うガス流は、基板の第1表 面に直交する軸線に対して半径方向外側に流れることを特徴とする請求項18に 記載の基板処理方法。 20.基板の第1表面で光の放射を行うステップをさらに含んでおり、この光放射 は、第1の光波長域にあり、かつ第1表面上または調整ガス内で、あるいはこれ らの両方で、光化学反応を活性化するのに十分の強さがあることを特徴とする請 求項18または19に記載の基板処理方法。 21.基板の第2表面で光の放射を行うステップをさらに含んでおり、この光放射 は、第2の光波長域にあり、かつ第2表面上または調整ガス内で、あるいはこれ らの両方で、光化学反応を活性化するのに十分の強さがあり、さらに、前記第2 の光波長域及びその強さは、第1の光波長域及びその強さと同一であるかかまた は異なっていることを特徴とする請求項20に記載の基板処理方法。 22.基板を調整するために請求項1ないし17のいずれかに記載の基板処理装置 の使用。
JP8519988A 1994-12-21 1995-12-21 表面を調整するための処理装置及びその方法 Pending JPH10512100A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/360,387 US5580421A (en) 1994-06-14 1994-12-21 Apparatus for surface conditioning
US08/360,387 1994-12-21
PCT/US1995/016649 WO1996019825A1 (en) 1994-12-21 1995-12-21 Apparatus for surface conditioning

Publications (1)

Publication Number Publication Date
JPH10512100A true JPH10512100A (ja) 1998-11-17

Family

ID=23417757

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8519988A Pending JPH10512100A (ja) 1994-12-21 1995-12-21 表面を調整するための処理装置及びその方法

Country Status (4)

Country Link
US (1) US5580421A (ja)
EP (2) EP1162650A2 (ja)
JP (1) JPH10512100A (ja)
WO (1) WO1996019825A1 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6828588B2 (en) 2000-07-12 2004-12-07 Mitsubishi Materials Corporation Protective film for FPD, vapor deposition material for protective film and its production method, FPD, and manufacturing device for FPD protective film
WO2005064663A1 (ja) * 2003-12-26 2005-07-14 Japan Storage Battery Co., Ltd. 紫外線洗浄装置および洗浄方法
KR100750828B1 (ko) * 2006-09-29 2007-08-23 피에스케이 주식회사 기판 에싱 장치
WO2013157235A1 (ja) * 2012-04-18 2013-10-24 東京エレクトロン株式会社 堆積物除去方法及びガス処理装置
JP2013541849A (ja) * 2010-10-19 2013-11-14 アプライド マテリアルズ インコーポレイテッド Nanocureuvチャンバ用の石英シャワーヘッド
KR101420896B1 (ko) * 2007-03-14 2014-07-30 램 리써치 코포레이션 플라즈마 처리 장치용 복합 샤워헤드 전극 어셈블리를 위한 세정 하드웨어 키트
JP2015529395A (ja) * 2012-08-23 2015-10-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Uvチャンバを洗浄するための方法及びハードウェア
JP2018121016A (ja) * 2017-01-27 2018-08-02 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体

Families Citing this family (180)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6015503A (en) * 1994-06-14 2000-01-18 Fsi International, Inc. Method and apparatus for surface conditioning
US7025831B1 (en) 1995-12-21 2006-04-11 Fsi International, Inc. Apparatus for surface conditioning
US6159297A (en) * 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
US5888906A (en) * 1996-09-16 1999-03-30 Micron Technology, Inc. Plasmaless dry contact cleaning method using interhalogen compounds
US5922219A (en) * 1996-10-31 1999-07-13 Fsi International, Inc. UV/halogen treatment for dry oxide etching
US5980638A (en) * 1997-01-30 1999-11-09 Fusion Systems Corporation Double window exhaust arrangement for wafer plasma processor
US5863327A (en) * 1997-02-10 1999-01-26 Micron Technology, Inc. Apparatus for forming materials
US5990006A (en) 1997-02-10 1999-11-23 Micron Technology, Inc. Method for forming materials
AT405655B (de) * 1997-03-26 1999-10-25 Sez Semiconduct Equip Zubehoer Verfahren und vorrichtung zum einseitigen bearbeiten scheibenförmiger gegenstände
US5786276A (en) * 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US6033583A (en) * 1997-05-05 2000-03-07 The Regents Of The University Of California Vapor etching of nuclear tracks in dielectric materials
US6107166A (en) * 1997-08-29 2000-08-22 Fsi International, Inc. Vapor phase cleaning of alkali and alkaline earth metals
US6165273A (en) 1997-10-21 2000-12-26 Fsi International Inc. Equipment for UV wafer heating and photochemistry
US6465374B1 (en) 1997-10-21 2002-10-15 Fsi International, Inc. Method of surface preparation
US6221168B1 (en) * 1998-06-16 2001-04-24 Fsi International, Inc. HF/IPA based process for removing undesired oxides form a substrate
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6178973B1 (en) * 1998-07-28 2001-01-30 International Business Machines Corporation Method and apparatus for ozone generation and surface treatment
KR20010107966A (ko) * 1998-11-16 2001-12-07 에프 에스 아이 인터내셔날,인코포레이티드 자외선 웨이퍼 가열처리 및 광화학적 프로세스 장비
US6740247B1 (en) 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
US6284006B1 (en) * 1999-11-15 2001-09-04 Fsi International, Inc. Processing apparatus for microelectronic devices in which polymeric bellows are used to help accomplish substrate transport inside of the apparatus
US7270724B2 (en) 2000-12-13 2007-09-18 Uvtech Systems, Inc. Scanning plasma reactor
US6773683B2 (en) 2001-01-08 2004-08-10 Uvtech Systems, Inc. Photocatalytic reactor system for treating flue effluents
US6734443B2 (en) * 2001-05-08 2004-05-11 Intel Corporation Apparatus and method for removing photomask contamination and controlling electrostatic discharge
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7204886B2 (en) 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20040016745A1 (en) * 2002-07-29 2004-01-29 Applied Materials, Inc. Method for achieving process uniformity by modifying thermal coupling between heater and substrate
US20040182315A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US7357115B2 (en) * 2003-03-31 2008-04-15 Lam Research Corporation Wafer clamping apparatus and method for operating the same
US6829056B1 (en) 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US6928748B2 (en) * 2003-10-16 2005-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Method to improve post wafer etch cleaning process
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20050279453A1 (en) 2004-06-17 2005-12-22 Uvtech Systems, Inc. System and methods for surface cleaning
US20060165904A1 (en) * 2005-01-21 2006-07-27 Asm Japan K.K. Semiconductor-manufacturing apparatus provided with ultraviolet light-emitting mechanism and method of treating semiconductor substrate using ultraviolet light emission
US20060281310A1 (en) * 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US8080282B2 (en) 2006-08-08 2011-12-20 Asm Japan K.K. Method for forming silicon carbide film containing oxygen
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US8143074B2 (en) * 2007-11-16 2012-03-27 Freescale Semiconductor, Inc. Semiconductor processing system and method of processing a semiconductor wafer
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8647446B2 (en) * 2011-12-07 2014-02-11 Intermolecular, Inc. Method and system for improving performance and preventing corrosion in multi-module cleaning chamber
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR102433558B1 (ko) * 2019-07-11 2022-08-19 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
JP2022084409A (ja) * 2020-11-26 2022-06-07 株式会社島津製作所 真空バルブおよび推定装置

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2841477A (en) * 1957-03-04 1958-07-01 Pacific Semiconductors Inc Photochemically activated gaseous etching method
US3122463A (en) * 1961-03-07 1964-02-25 Bell Telephone Labor Inc Etching technique for fabricating semiconductor or ceramic devices
US4028135A (en) * 1976-04-22 1977-06-07 The United States Of America As Represented By The Secretary Of The Army Method of cleaning surfaces by irradiation with ultraviolet light
US4158589A (en) * 1977-12-30 1979-06-19 International Business Machines Corporation Negative ion extractor for a plasma etching apparatus
US4183780A (en) * 1978-08-21 1980-01-15 International Business Machines Corporation Photon enhanced reactive ion etching
US4260649A (en) * 1979-05-07 1981-04-07 The Perkin-Elmer Corporation Laser induced dissociative chemical gas phase processing of workpieces
US4259145A (en) * 1979-06-29 1981-03-31 International Business Machines Corporation Ion source for reactive ion etching
JPS57200569A (en) * 1981-06-05 1982-12-08 Nec Corp Apparatus for treating surface with gas decomposed by light
DE3246124A1 (de) * 1982-12-13 1984-06-14 Henkel KGaA, 4000 Düsseldorf Reinigungsverfahren
JPS59135730A (ja) * 1983-01-24 1984-08-04 Hitachi Ltd 表面改質装置
JPS59207631A (ja) * 1983-05-11 1984-11-24 Semiconductor Res Found 光化学を用いたドライプロセス装置
US4508749A (en) * 1983-12-27 1985-04-02 International Business Machines Corporation Patterning of polyimide films with ultraviolet light
JPH0642456B2 (ja) * 1984-11-21 1994-06-01 株式会社日立製作所 表面光処理方法
US4643799A (en) * 1984-12-26 1987-02-17 Hitachi, Ltd. Method of dry etching
JPS61174639A (ja) * 1985-01-28 1986-08-06 Semiconductor Energy Lab Co Ltd 光エツチング方法
US4687544A (en) * 1985-05-17 1987-08-18 Emergent Technologies Corporation Method and apparatus for dry processing of substrates
GB8516984D0 (en) * 1985-07-04 1985-08-07 British Telecomm Etching method
US4749440A (en) * 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
JPH0533006Y2 (ja) * 1985-10-28 1993-08-23
US4731158A (en) * 1986-09-12 1988-03-15 International Business Machines Corporation High rate laser etching technique
JPS63297563A (ja) * 1987-05-29 1988-12-05 Tokyo Electron Ltd 被膜形成方法および処理装置
JPH0333058Y2 (ja) * 1987-06-26 1991-07-12
JPH01134932A (ja) * 1987-11-19 1989-05-26 Oki Electric Ind Co Ltd 基板清浄化方法及び基板清浄化装置
US5024968A (en) * 1988-07-08 1991-06-18 Engelsberg Audrey C Removal of surface contaminants by irradiation from a high-energy source
JPH02295116A (ja) * 1989-05-10 1990-12-06 Mitsubishi Electric Corp 半導体製造装置
US5022961B1 (en) * 1989-07-26 1997-05-27 Dainippon Screen Mfg Method for removing a film on a silicon layer surface
WO1991003075A1 (en) * 1989-08-21 1991-03-07 Fsi International, Inc. Gas substrate processing module
US5004311A (en) * 1989-10-27 1991-04-02 Sri International Beam scanning method and apparatus
JPH03277774A (ja) * 1990-03-27 1991-12-09 Semiconductor Energy Lab Co Ltd 光気相反応装置
US5236512A (en) * 1991-08-14 1993-08-17 Thiokol Corporation Method and apparatus for cleaning surfaces with plasma
US5332442A (en) * 1991-11-15 1994-07-26 Tokyo Electron Kabushiki Kaisha Surface processing apparatus
US5228206A (en) * 1992-01-15 1993-07-20 Submicron Systems, Inc. Cluster tool dry cleaning system

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6965125B2 (en) 2000-07-12 2005-11-15 Mitsusbishi Materials Corporation Protective film for FPD, vapor deposition material for protective film and its production method, FPD, and manufacturing device for FPD protective film
US6828588B2 (en) 2000-07-12 2004-12-07 Mitsubishi Materials Corporation Protective film for FPD, vapor deposition material for protective film and its production method, FPD, and manufacturing device for FPD protective film
WO2005064663A1 (ja) * 2003-12-26 2005-07-14 Japan Storage Battery Co., Ltd. 紫外線洗浄装置および洗浄方法
KR100750828B1 (ko) * 2006-09-29 2007-08-23 피에스케이 주식회사 기판 에싱 장치
KR101420896B1 (ko) * 2007-03-14 2014-07-30 램 리써치 코포레이션 플라즈마 처리 장치용 복합 샤워헤드 전극 어셈블리를 위한 세정 하드웨어 키트
KR20130129943A (ko) * 2010-10-19 2013-11-29 어플라이드 머티어리얼스, 인코포레이티드 나노큐어 자외선 챔버용 석영 샤워헤드
JP2013541849A (ja) * 2010-10-19 2013-11-14 アプライド マテリアルズ インコーポレイテッド Nanocureuvチャンバ用の石英シャワーヘッド
WO2013157235A1 (ja) * 2012-04-18 2013-10-24 東京エレクトロン株式会社 堆積物除去方法及びガス処理装置
JP2013222875A (ja) * 2012-04-18 2013-10-28 Tokyo Electron Ltd 堆積物除去方法及びガス処理装置
JP2015529395A (ja) * 2012-08-23 2015-10-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Uvチャンバを洗浄するための方法及びハードウェア
JP2018121016A (ja) * 2017-01-27 2018-08-02 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
CN108364885A (zh) * 2017-01-27 2018-08-03 东京毅力科创株式会社 基片处理装置、基片处理方法和存储介质
KR20200067240A (ko) * 2017-01-27 2020-06-12 도쿄엘렉트론가부시키가이샤 기판 처리 장치, 기판 처리 방법 및 기억 매체
US10867817B2 (en) 2017-01-27 2020-12-15 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and storage medium
TWI748042B (zh) * 2017-01-27 2021-12-01 日商東京威力科創股份有限公司 基板處理裝置、基板處理方法及記錄媒體
KR20220123366A (ko) * 2017-01-27 2022-09-06 도쿄엘렉트론가부시키가이샤 기판 처리 장치, 기판 처리 방법 및 기억 매체
TWI778845B (zh) * 2017-01-27 2022-09-21 日商東京威力科創股份有限公司 基板處理裝置、基板處理方法及記錄媒體
CN108364885B (zh) * 2017-01-27 2023-05-16 东京毅力科创株式会社 基片处理装置、基片处理方法和存储介质

Also Published As

Publication number Publication date
US5580421A (en) 1996-12-03
EP0799494A1 (en) 1997-10-08
WO1996019825A1 (en) 1996-06-27
EP1162650A2 (en) 2001-12-12

Similar Documents

Publication Publication Date Title
JPH10512100A (ja) 表面を調整するための処理装置及びその方法
US6015503A (en) Method and apparatus for surface conditioning
US7025831B1 (en) Apparatus for surface conditioning
KR100554643B1 (ko) 처리 장치 및 그것의 세정 방법
KR101081628B1 (ko) 배기 개구를 특징으로 하는 가스 분배 샤워헤드
JP4219441B2 (ja) 膜を堆積する方法及び堆積装置
KR20010014307A (ko) 오염물 증착 감소 방법 및 장치
US5677113A (en) Method for ashing a photoresist resin film on a semiconductor wafer and an asher
WO1997037059A1 (en) Showerhead for uniform distribution of process gas
JPH0373523A (ja) 半導体製造材料をエッチング処理する方法
KR100380213B1 (ko) 반도체 처리 시스템 및 기판 처리 장치
CN219302267U (zh) 用于测量处理气体的解离的设备和系统
WO2005015620A1 (ja) 熱処理装置
KR100353499B1 (ko) 급속 열처리(rtp) 시스템용 팽창성 엘라스토머 요소
JP3326538B2 (ja) コールドウォール形成膜処理装置
JP3131860B2 (ja) 成膜処理装置
JP2000286251A (ja) 紫外線処理装置
JP3915314B2 (ja) 枚葉式の処理装置
JPH0636409B2 (ja) 光照射型気相処理装置
JPH0930893A (ja) 気相成長装置
CA1330601C (en) Apparatus for semiconductor process including photo-excitation process
JP7452992B2 (ja) プラズマ処理装置およびプラズマ処理装置の運転方法
JPH0410622A (ja) ドライ洗浄装置
TWI839584B (zh) 使用紅外線吸收進行處理氣體解離之在線測量
JPS63260034A (ja) アッシング装置