JPH10340952A - Method for forming multilayer wiring in integrated circuit - Google Patents

Method for forming multilayer wiring in integrated circuit

Info

Publication number
JPH10340952A
JPH10340952A JP14554997A JP14554997A JPH10340952A JP H10340952 A JPH10340952 A JP H10340952A JP 14554997 A JP14554997 A JP 14554997A JP 14554997 A JP14554997 A JP 14554997A JP H10340952 A JPH10340952 A JP H10340952A
Authority
JP
Japan
Prior art keywords
dielectric film
forming
metal
film
shallow groove
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP14554997A
Other languages
Japanese (ja)
Inventor
Koki So
鴻輝 曾
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SHIJIE XIANJIN JITI ELECTRIC C
SHIJIE XIANJIN JITI ELECTRIC CO Ltd
Original Assignee
SHIJIE XIANJIN JITI ELECTRIC C
SHIJIE XIANJIN JITI ELECTRIC CO Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SHIJIE XIANJIN JITI ELECTRIC C, SHIJIE XIANJIN JITI ELECTRIC CO Ltd filed Critical SHIJIE XIANJIN JITI ELECTRIC C
Priority to JP14554997A priority Critical patent/JPH10340952A/en
Publication of JPH10340952A publication Critical patent/JPH10340952A/en
Pending legal-status Critical Current

Links

Landscapes

  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

PROBLEM TO BE SOLVED: To enhance mass productivity without sacrificing step coverage by forming a first wiring in a first shallow trench while a first metal plug in a first deep trench and connecting the first metal plug electrically with an electrode on a silicon semiconductor wafer through the deep trench. SOLUTION: A metal film containing copper, titanium, or the like, is deposited by CVD to fill first shallow and deep trenches 31, 35. The metal film is then removed by plasma etchback, or the like, except the first shallow and deep trenches 31, 35 to form a second wiring 38A in the first shallow trench 31 and a first metal plug 38B in the first deep trenches 35. The first metal plug 38B is connected electrically with a first wiring 24 through the first deep trenches 35. According to the structure, an ideal step coverage is realized by the deep trench having a step and mass productivity of multilayer wiring integrated circuit can be enhanced.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、集積回路の金属配
線(Metal Interconnectin)および金属プラグ(Metal Plu
g)の形成方法に係り、特に、化学的気相成長法(Chemica
l Vapor Deposition= CVD)を利用して形成される金属
膜により金属配線および金属プラグを形成する集積回路
の多層配線形成方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a metal interconnect and a metal plug of an integrated circuit.
g), especially the chemical vapor deposition method (Chemica
The present invention relates to a method for forming a multilayer wiring of an integrated circuit, in which a metal wiring and a metal plug are formed by a metal film formed by using (vapor deposition = CVD).

【0002】[0002]

【従来の技術】集積回路デバイスの絶えざる縮小化にと
もない、サブミクロン技術の時代に入ると、集積密度を
向上させるため、配線(Interconnectin)技術もそれに応
じて絶えずスケールダウンしているので、微細な金属配
線の形成がますます困難なものとなると同時に、コンタ
クトホール(Contact Hole)およびスルーホール(Via Hol
e)のステップカバレッジ問題(Step Coverage Problem)
もますます深刻なものとなってきている。例えば、フォ
トレジストの金属に対するエッチング選択比が大きすぎ
るため、フォトリソグラフィならびにプラズマエッチン
グ(Photolithography and Plasma-Etching)により0.
15μmから0.35μmの金属配線を形成することは
非常に困難なものとなっている。また、金属配線がます
ます細くなっても金属配線の厚さはそれほど減少しない
ので、幅に対する厚さの比率がますます大きくなって(H
igh Aspect Ratio) 、相当に起伏の激しい形態(Severe
Topography) となり、後続の薄膜堆積およびフォトリソ
グラフィやエッチング工程にとってたいへん不利なもの
となっていた。
2. Description of the Related Art With the continuous miniaturization of integrated circuit devices, in the era of submicron technology, interconnect technology has been continually scaled down in order to increase the integration density. The formation of complex metal wiring becomes increasingly difficult, and at the same time, contact holes and through holes (Via Hol
e) Step Coverage Problem
It is becoming more and more serious. For example, since the etching selectivity of the photoresist to the metal is too large, the photolithography and the plasma etching (Photolithography and Plasma-Etching) are used.
It is very difficult to form a metal wiring of 15 μm to 0.35 μm. Also, even if the metal wiring becomes thinner and thinner, the thickness of the metal wiring does not decrease so much, so that the ratio of the thickness to the width becomes larger (H
igh Aspect Ratio), a considerably undulating form (Severe
Topography), which is very disadvantageous for the subsequent thin film deposition and photolithography and etching processes.

【0003】そこで、金属配線の幅に対する厚さの比率
がますます大きくなるという問題を解決するために、日
本NEC社のエンジニアが1995年の『VLSI S
YMPOSIUM』第27〜28ページにおいて提案し
た化学的気相成長法により形成された埋込金属(Recesse
d Metal)を利用して金属配線および金属プラグを形成す
る方法がある。ここに、その方法を簡単に述べてみる
と、まず、シリコン半導体ウェハの表面に誘電膜ならび
にハードマスクを形成して、そのハードマスクをエッチ
ストップ(Etch Stop) 膜とする。次に、フォトリソグラ
フィによって第1フォトレジストパターンを形成してか
ら、プラズマエッチングにより前記誘電膜ならびに前記
ハードマスクを部分的にエッチアウトして、前記誘電膜
の表面に第1の浅い溝を形成する。さらに、別なフォト
リソグラフィマスクにより第2フォトレジストパターン
を形成し、この第2フォトレジストパターンで前記第1
の浅い溝を部分的に覆うとともに、前記第1の浅い溝を
部分的に露出させる。前記ハードマスクのエッチング速
度が前記誘電膜のそれよりもはるかに小さく、従って、
フォトリソグラフィ工程においてミスアライメント(Mis
alignment)が発生しても前記ハードマスクがエッチスト
ップ膜となって前記ハードマスクの下にある前記誘電膜
がエッチングされることを防止できる。そして、プラズ
マエッチング技術により露出された第1の浅い溝を引き
続きエッチングして、その下層にある金属膜を露出さ
せ、前記第1の浅い溝を第2の深い溝とする。次に、前
記第2フォトレジストパターンおよび前記ハードマスク
を除去してから、化学的気相成長法により金属膜を形成
すれば、この金属膜が第1の浅い溝ならびに第2の深い
溝に埋め込まれる。そして、プラズマエッチバック技術
(Plasma Etchback) または化学的機械的研磨(Chemical
Mechanical Polishing=CMP)技術により前記第1の浅い
溝および第2の深い溝以外の前記金属膜を除去して、前
記第1の浅い溝内部に金属配線を形成し、前記第2の深
い溝内部に金属プラグを形成するというものであった。
[0003] In order to solve the problem that the ratio of the thickness to the width of the metal wiring becomes larger, an engineer of NEC Corporation of Japan in 1995 referred to “VLSI SS”.
YMPOSIUM ”, pp. 27-28, the embedded metal formed by the chemical vapor deposition method (Recesse
d Metal) to form a metal wiring and a metal plug. Here, the method will be briefly described. First, a dielectric film and a hard mask are formed on the surface of a silicon semiconductor wafer, and the hard mask is used as an etch stop film. Next, after forming a first photoresist pattern by photolithography, the dielectric film and the hard mask are partially etched out by plasma etching to form a first shallow groove on the surface of the dielectric film. . Further, a second photoresist pattern is formed using another photolithography mask, and the first photoresist pattern is formed using the second photoresist pattern.
And partially exposing the first shallow groove. The etching rate of the hard mask is much smaller than that of the dielectric film, and
Misalignment (Mis
Even if alignment) occurs, it is possible to prevent the hard mask from acting as an etch stop film and etching the dielectric film under the hard mask. Then, the first shallow groove exposed by the plasma etching technique is continuously etched to expose a metal film thereunder, and the first shallow groove is used as a second deep groove. Next, after removing the second photoresist pattern and the hard mask, a metal film is formed by a chemical vapor deposition method, and the metal film is buried in the first shallow groove and the second deep groove. It is. And plasma etch back technology
(Plasma Etchback) or chemical mechanical polishing (Chemical
The metal film other than the first shallow groove and the second deep groove is removed by a mechanical polishing (CMP) technique, and a metal wiring is formed inside the first shallow groove. A metal plug is formed on the substrate.

【0004】[0004]

【発明が解決しようとする課題】本発明が解決しようと
する課題は、上記したNECの提案がステップカバレッ
ジならびに量産性において十分に改善されたものとなっ
ていなかった点である。そこで、化学的気相成長法を利
用して形成される金属膜によって金属配線および金属プ
ラグを形成するという目的を、良好なステップカバレッ
ジを損なうことなく、かつ量産性を向上させる形で、以
下の手段により実現するものである。
The problem to be solved by the present invention is that the above NEC proposal has not been sufficiently improved in step coverage and mass productivity. Therefore, the purpose of forming metal wirings and metal plugs with a metal film formed by using a chemical vapor deposition method without impairing good step coverage and improving mass productivity is as follows. It is realized by means.

【0005】[0005]

【課題を解決するための手段】前記課題を解決するため
に、本発明にかかる製造方法は、以下のように構成され
る。先ず、シリコン半導体ウェハの表面に第1誘電膜を
形成する。このシリコン半導体ウェハにはフィールド酸
化膜、金属酸化膜半導体電界効果トランジスタ(MOS-FE
T) またはキャパシタおよび電気抵抗などの電気素子が
含まれているとともに、この金属酸化膜半導体電界効果
トランジスタにはゲート酸化膜、ゲート電極ならびにソ
ース/ドレインが含まれている。前記第1誘電膜につい
ては、通常は、減圧CVD(Low Pressure Chemical Vap
or Deposition = LPCVD)を利用してドープされた二酸化
シリコン膜が形成され、その反応ガスとしてはモノシラ
ン(Monosilane = SiH4)またはテトラエチオキシシラン
(Tetra-Eth-Oxy-Silane = TEOS)があり、その厚さは3
000Åから8000Åの間である。多くは、化学的機
械的研磨(Chemical Mechanical Polishing=CMP)を併用
して第1誘電膜を平坦化する。
In order to solve the above-mentioned problems, a manufacturing method according to the present invention is configured as follows. First, a first dielectric film is formed on a surface of a silicon semiconductor wafer. This silicon semiconductor wafer has a field oxide film, a metal oxide film semiconductor field effect transistor (MOS-FE
T) or an electric element such as a capacitor and an electric resistance, and the metal oxide semiconductor field effect transistor includes a gate oxide film, a gate electrode and a source / drain. The first dielectric film is usually formed by low pressure chemical vapor deposition (Low Pressure Chemical Vapor).
or Deposition = LPCVD) to form a doped silicon dioxide film, the reaction gas of which is monosilane (Monosilane = SiH 4 ) or tetraethyloxysilane.
(Tetra-Eth-Oxy-Silane = TEOS) with a thickness of 3
It is between 000 and 8000. In many cases, the first dielectric film is planarized using chemical mechanical polishing (CMP) in combination.

【0006】次に、第2誘電膜を形成してから、フォト
リソグラフィにより第1フォトレジストパターンを形成
するが、この第1フォトレジストパターンをエッチマス
クとして、プラズマエッチングにより前記第2誘電膜お
よび一定厚さの第1誘電膜をエッチアウトすることによ
って、第1誘電膜の表面に第1の浅い溝を形成する。そ
して、レジスト浸食技術(Resist Erosion)によりサイド
から前記第1フォトレジストパターンを部分的に除去し
て前記第2誘電膜を部分的に露出させ、プラズマエッチ
ングにより露出した第2誘電膜をエッチアウトするが、
プラズマエッチングを第1誘電膜の表面まで行って、エ
ッチング終了とするとともに第1フォトレジストパター
ンを除去する。
Next, after a second dielectric film is formed, a first photoresist pattern is formed by photolithography. Using the first photoresist pattern as an etch mask, the second dielectric film and a predetermined pattern are formed by plasma etching. A first shallow groove is formed on the surface of the first dielectric film by etching out the first dielectric film having a thickness. Then, the first photoresist pattern is partially removed from the side by a resist erosion technique (Resist Erosion) to partially expose the second dielectric film, and the second dielectric film exposed by plasma etching is etched out. But,
Plasma etching is performed up to the surface of the first dielectric film to complete the etching and to remove the first photoresist pattern.

【0007】引き続き、フォトリソグラフィ技術によっ
て第2フォトレジストパターンを形成するが、この第2
フォトレジストパターンが第1の浅い溝の一部分ならび
に第2誘電膜の一部分を被覆し、第1の浅い溝の一部分
ならびに第2誘電膜の一部分を露出させている。そし
て、これら第2フォトレジストパターンおよび一部分が
露出した第2誘電膜をエッチマスクとして、プラズマエ
ッチングにより露出した第1誘電膜をエッチング除去す
るが、このプラズマエッチングを第1誘電膜の下層にあ
る金属膜まで行うことで、第1の浅い溝を第1の深い溝
とする。そして、第2フォトレジストパターンおよび第
2誘電膜を除去する。この時、第1誘電膜の表面には、
第1の浅い溝ならびに第1の深い溝が形成されている。
Subsequently, a second photoresist pattern is formed by a photolithography technique.
A photoresist pattern covers a portion of the first shallow groove and a portion of the second dielectric film, exposing a portion of the first shallow groove and a portion of the second dielectric film. Using the second photoresist pattern and the partially exposed second dielectric film as an etch mask, the exposed first dielectric film is etched away by plasma etching. This plasma etching is performed by the metal under the first dielectric film. By performing the process up to the film, the first shallow groove becomes a first deep groove. Then, the second photoresist pattern and the second dielectric film are removed. At this time, on the surface of the first dielectric film,
A first shallow groove and a first deep groove are formed.

【0008】次に、化学的気相成長法を利用して金属膜
を形成するが、この金属膜としては銅、チタン、タング
ステン、アルミニウムおよび窒化チタンなどの金属が含
まれ、この金属膜が前記第1の浅い溝および第1の深い
溝に埋め込まれる。最後に、プラズマエッチバックまた
は化学的機械的研磨により第1の浅い溝および第1の深
い溝以外の領域の金属膜を除去して、前記第1の浅い溝
内部に第1金属配線を形成し、第1の深い溝内部には第
1金属プラグを形成するが、第1金属プラグは第1の深
い溝(コンタクトホールに相当)を介してシリコン半導
体ウェハ上の電極と電気接続している。
Next, a metal film is formed by using a chemical vapor deposition method. The metal film includes a metal such as copper, titanium, tungsten, aluminum and titanium nitride. It is embedded in the first shallow groove and the first deep groove. Finally, the metal film in the region other than the first shallow groove and the first deep groove is removed by plasma etch back or chemical mechanical polishing to form a first metal wiring inside the first shallow groove. A first metal plug is formed inside the first deep groove, and the first metal plug is electrically connected to an electrode on the silicon semiconductor wafer via the first deep groove (corresponding to a contact hole).

【0009】さらに、第3誘電膜および第4誘電膜を形
成し、上記した手順を繰り返すと、第2の浅い溝ならび
に第2の深い溝が形成されるとともに、第2の浅い溝に
第2金属配線を形成し、第2の深い溝に第2金属プラグ
を形成できるが、第2金属プラグは第2の深い溝(スル
ーホールに相当)を介して前記第1金属配線と電気接続
することができる。
Further, by forming a third dielectric film and a fourth dielectric film and repeating the above procedure, a second shallow groove and a second deep groove are formed, and the second shallow groove is formed in the second shallow groove. A metal wiring may be formed, and a second metal plug may be formed in the second deep groove. The second metal plug is electrically connected to the first metal wiring via the second deep groove (corresponding to a through hole). Can be.

【0010】本発明は、フォトレジスト浸食技術により
誘電膜上に浅い溝ならびに段差付の深い溝を形成し、C
VD(化学的気相成長法)により浅い溝ならびに段差付
の深い溝を金属膜で埋め込むものであって、段差付の深
い溝により理想的なステップカバレッジが実現するとと
もに、プラズマエッチバックまたはCMP(化学的機械
的研磨法)により浅い溝ならびに段差付の深い溝以外の
領域にある金属膜を除去して、浅い溝の内部に金属配線
を形成し、段差付の深い溝の内部に金属プラグを形成す
る多層配線形成方法により、多層配線集積回路の大量生
産に応用することができる。
According to the present invention, a shallow groove and a deep groove having a step are formed on a dielectric film by a photoresist erosion technique.
A shallow groove and a deep groove with a step are buried by a metal film by VD (chemical vapor deposition). The deep step with a groove realizes ideal step coverage, and a plasma etch back or CMP ( The metal film in the region other than the shallow groove and the deep groove with a step is removed by a chemical mechanical polishing method), a metal wiring is formed inside the shallow groove, and a metal plug is formed inside the deep groove with a step. The method for forming a multilayer wiring can be applied to mass production of a multilayer wiring integrated circuit.

【0011】[0011]

【発明の実施の形態】以下、本発明の好適な実施の形態
を図面に基づいて説明する。また、集積回路の2層金属
配線技術(Double-Level Metal Interconnection)のスル
ーホールに基づいて、本発明の方法を説明しているが、
本発明の方法は多層金属配線(Multi-Level Metal Inter
connection) 技術による集積回路の製造にも応用できる
ものである。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS Preferred embodiments of the present invention will be described below with reference to the drawings. Further, the method of the present invention is described based on a through-hole of a double-level metal interconnect technology of an integrated circuit.
The method of the present invention employs a multi-level metal interconnect.
connection) It can also be applied to the manufacture of integrated circuits by technology.

【0012】先ず、図1において、電気抵抗値が約3.
5ohm-cmで結晶方位(100) のP型シリコン半導体ウェハ
20の表面にフィールド酸化膜(図示せず)を形成する
が、このフィールド酸化膜の厚さを3000Åから60
00Åの間として、電気素子分離用とする。そして、P
型シリコン半導体ウェハ20上に金属酸化膜半導体電界
効果トランジスタ(MOS−FET 図示せず)を形成
するが、この金属酸化膜半導体電界効果トランジスタに
はゲート酸化膜、ゲート電極およびソース/ドレインが
形成されている(いずれも図示せず)。また、フィール
ド酸化膜上にポリシリコンあるいはポリサイドが形成さ
れていて、金属酸化膜半導体電界効果トランジスタの局
部配線(Local Interconnection) となっている(いずれ
も図示せず)。
First, in FIG. 1, the electric resistance value is about 3.
A field oxide film (not shown) is formed on the surface of a P-type silicon semiconductor wafer 20 having a crystal orientation (100) of 5 ohm-cm.
The interval between 00 ° is for electric element separation. And P
A metal oxide semiconductor field effect transistor (MOS-FET, not shown) is formed on the silicon wafer 20. A gate oxide film, a gate electrode, and a source / drain are formed in the metal oxide semiconductor field effect transistor. (Neither is shown). Further, polysilicon or polycide is formed on the field oxide film, and serves as a local interconnect (Local Interconnection) of the metal oxide semiconductor field effect transistor (both are not shown).

【0013】次に、図1において、絶縁膜22を形成す
るとともに、この絶縁膜22上に第1金属配線24を形
成する。絶縁膜22は、通常、化学的気相成長法によっ
てホウ素・リン・シリケート・ガラス(Boro-Phospho-Si
licate-Glass=BPSG) 膜またはリン・シリケート・ガラ
ス(Phospho-Silicate-Glass =PSG)膜を形成し、その厚
さを3000Åから8000Åの間とする。この絶縁膜
22を堆積してから、公知の熱フロー(Thermal Flow)技
術により絶縁膜22を平坦なものとするが、この熱フロ
ー温度を850℃から950℃の間とし、その熱フロー
時間を10分から40分の間とする。なお、公知の化学
的機械的研磨技術により絶縁膜22を平坦化してもよ
い。そして、フォトリソグラフィならびにプラズマエッ
チングにより絶縁膜22を部分的にエッチアウトしてコ
ンタクトホールを形成する。このコンタクトホールの底
部が、前記P型シリコン半導体ウェハ20のソース/ド
レインまたは局部配線であり、後に形成される金属プラ
グにより電気接続されるものとなる(いずれも図示せ
ず)。第1金属配線24は、チタン、窒化チタンならび
にアルミニウム合金から構成されるものであり、チタン
金属が窒化チタンの下方に位置するとともに、前記金属
酸化膜半導体電界効果トランジスタのソース/ドレイン
領域と電気接続している(いずれも図示せず)。
Next, referring to FIG. 1, an insulating film 22 is formed, and a first metal wiring 24 is formed on the insulating film 22. The insulating film 22 is usually made of a boron-phosphorus-silicate glass (Boro-Phospho-Si
(License-Glass = BPSG) film or Phospho-Silicate-Glass (PSG) film is formed, and its thickness is set between 3000 and 8000 mm. After the insulating film 22 is deposited, the insulating film 22 is made flat by a known thermal flow technique. The heat flow temperature is set to 850 ° C. to 950 ° C., and the heat flow time is reduced. Between 10 minutes and 40 minutes. Note that the insulating film 22 may be planarized by a known chemical mechanical polishing technique. Then, the insulating film 22 is partially etched out by photolithography and plasma etching to form a contact hole. The bottom of this contact hole is the source / drain or local wiring of the P-type silicon semiconductor wafer 20, and is electrically connected by a metal plug formed later (neither is shown). The first metal wiring 24 is made of titanium, titanium nitride, and an aluminum alloy. The titanium metal is located below the titanium nitride, and is electrically connected to the source / drain region of the metal oxide semiconductor field effect transistor. (Both not shown).

【0014】図2および図3において、第1誘電膜26
に続いて、第2誘電膜28を形成するが、公知技術であ
る化学的機械的研磨により第1誘電膜26を平坦化す
る。そして、フォトリソグラフィにより第1フォトレジ
ストパターン30を形成する。第1誘電膜26は、通
常、プラズマ増強式化学的気相成長法(Plasma Enhanced
Chemical Vapor Deposition = PECVD) により二酸化シ
リコンを形成するが、その堆積温度を300℃から40
0℃の間とし、その厚さを3000Åから6000Åの
間とする。第2誘電膜28は、通常、プラズマ増強式化
学的気相成長法により窒化シリコンを堆積するもので、
その堆積温度を300℃から400℃の間とし、その厚
さを500Åから2000Åの間とする。この第2誘電
膜28として、プラズマCVDによりアモルファス・シ
リコンを堆積することもできる。
2 and 3, the first dielectric film 26
Subsequently, a second dielectric film 28 is formed, and the first dielectric film 26 is flattened by a known technique of chemical mechanical polishing. Then, a first photoresist pattern 30 is formed by photolithography. The first dielectric film 26 is usually formed by plasma enhanced chemical vapor deposition (Plasma Enhanced Chemical Vapor Deposition).
(Chemical Vapor Deposition = PECVD) to form silicon dioxide.
It is between 0 ° C. and its thickness is between 3000 ° and 6000 °. The second dielectric film 28 is usually for depositing silicon nitride by a plasma enhanced chemical vapor deposition method.
The deposition temperature is between 300 ° C. and 400 ° C. and its thickness is between 500 ° and 2000 °. As the second dielectric film 28, amorphous silicon can be deposited by plasma CVD.

【0015】図4において、第1フォトレジストパター
ン30をエッチマスクとして、プラズマエッチングによ
り一定厚さの第1誘電膜26と第2誘電膜28とをエッ
チング除去し、第1誘電膜26の表面に第1の浅い溝3
1を形成する。第1誘電膜26および第2誘電膜28に
対する異方性エッチングは、マグネトロン型反応性イオ
ンエッチングまたは電子サイクロトロン共鳴あるいは従
来の反応性イオンエッチングを利用することができる
が、サブミクロンの半導体技術においては、通常、マグ
ネトロン型反応性イオンエッチングを使用し、そのプラ
ズマ反応ガスを一般にCH4 ,CHF3 ,Arなどのガ
スとする。
In FIG. 4, using the first photoresist pattern 30 as an etch mask, the first dielectric film 26 and the second dielectric film 28 having a constant thickness are removed by plasma etching, and the surface of the first dielectric film 26 is removed. First shallow groove 3
Form one. For the anisotropic etching of the first dielectric film 26 and the second dielectric film 28, magnetron-type reactive ion etching, electron cyclotron resonance, or conventional reactive ion etching can be used. Usually, magnetron-type reactive ion etching is used, and the plasma reaction gas is generally a gas such as CH 4 , CHF 3 , or Ar.

【0016】図5と図6とにおいて、レジスト浸食技術
により第1フォトレジストパターン30を酸素プラズマ
雰囲気のもとにサイドから部分的に除去して前記第2誘
電膜28を部分的に露出させ(図示せず)、異方性プラ
ズマエッチング技術により露出した第2誘電膜28をエ
ッチアウトするが、プラズマエッチングを第1誘電膜2
6の表面まで行ってエッチング終了とする(図5を参
照)とともに、第1フォトレジストパターン30を除去
する(図6を参照)。第2誘電膜28に対する異方性エ
ッチングは、マグネトロン型反応性イオンエッチングを
使用し、そのプラズマ反応ガスをCH4 ,CHF3 ,A
rなどのガスとする。
In FIGS. 5 and 6, the first photoresist pattern 30 is partially removed from the side under an oxygen plasma atmosphere by a resist erosion technique to partially expose the second dielectric film 28 (see FIG. 5). (Not shown), the second dielectric film 28 exposed by the anisotropic plasma etching technique is etched out.
6, the etching is completed (see FIG. 5), and the first photoresist pattern 30 is removed (see FIG. 6). Anisotropic etching of the second dielectric film 28 uses magnetron-type reactive ion etching, and the plasma reaction gas is CH 4 , CHF 3 , A
gas such as r.

【0017】図7から図9において、フォトリソグラフ
ィ技術により第2フォトレジストパターン34を形成す
るが、この第2フォトレジストパターン34は、第2誘
電膜28の一部分と第1の浅い溝31の一部分とを被覆
し、第2誘電膜28の一部分と第1の浅い溝31の一部
分とを露出させている(図7を参照)。そして、一部分
が露出した第2誘電膜28および第2フォトレジストパ
ターン34をエッチマスクとして、異方性プラズマエッ
チングにより第1誘電膜26をエッチアウトするが、プ
ラズマエッチングを第1誘電膜26の下層にある第1金
属配線24でストップさせて、第1の浅い溝31を第1
の深い溝35とする(図8を参照)。この第1の深い溝
35が段差付形状(Ladder Shape)を呈して、ほぼ理想的
なステップカバレッジを提供するものとなる。次に、第
2誘電膜28および第2フォトレジストパターン34を
除去すれば、図9に示すように、第1誘電膜26の表面
に第1の浅い溝31ならびに第1の深い溝35を形成す
ることができる。露出した第2誘電膜28に対する異方
性エッチングも、やはりマグネトロン型反応性イオンエ
ッチングにより、そのプラズマ反応ガスをCH4 ,CH
3 ,Arなどのガスとすることができる。
7 to 9, a second photoresist pattern 34 is formed by photolithography. The second photoresist pattern 34 is formed by a part of the second dielectric film 28 and a part of the first shallow groove 31. To expose a part of the second dielectric film 28 and a part of the first shallow groove 31 (see FIG. 7). Then, the first dielectric film 26 is etched out by anisotropic plasma etching using the partially exposed second dielectric film 28 and the second photoresist pattern 34 as an etch mask. At the first metal wiring 24 in the first shallow groove 31 and the first shallow groove 31
(See FIG. 8). The first deep groove 35 has a stepped shape (Ladder Shape) and provides an almost ideal step coverage. Next, if the second dielectric film 28 and the second photoresist pattern 34 are removed, a first shallow groove 31 and a first deep groove 35 are formed on the surface of the first dielectric film 26 as shown in FIG. can do. The anisotropic etching of the exposed second dielectric film 28 is also performed by magnetron-type reactive ion etching, and the plasma reaction gas is changed to CH 4 or CH 4 .
A gas such as F 3 or Ar can be used.

【0018】図10と図11とにおいて、化学的気相成
長法により金属膜38を堆積するが、この金属膜には
銅、チタン、タングステン、アルミニウム、窒化チタン
などの金属が含まれるとともに、金属膜38が第1の浅
い溝31および第1の深い溝35に埋め込まれる(図1
0を参照)。最後に、プラズマエッチバックまたは化学
的機械的研磨により第1の浅い溝31および第1の深い
溝35以外の金属膜38を除去して、第1の浅い溝31
内部に第2金属配線38Aを形成し、第1の深い溝内部
35に第1金属プラグ38Bを形成する(図11を参
照)。この図11に示すように、第1金属プラグ38B
は第1の深い溝35を介して第1金属配線24と電気接
続している。この際の第1の深い溝35が一般のコンタ
クトホールに相当するものである。
In FIGS. 10 and 11, a metal film 38 is deposited by a chemical vapor deposition method. This metal film contains metals such as copper, titanium, tungsten, aluminum, and titanium nitride. The film 38 is embedded in the first shallow groove 31 and the first deep groove 35 (FIG. 1).
0). Finally, the metal film 38 other than the first shallow groove 31 and the first deep groove 35 is removed by plasma etch back or chemical mechanical polishing, and the first shallow groove 31 is removed.
The second metal wiring 38A is formed inside, and the first metal plug 38B is formed inside the first deep groove 35 (see FIG. 11). As shown in FIG. 11, the first metal plug 38B
Are electrically connected to the first metal wiring 24 via the first deep groove 35. The first deep groove 35 at this time corresponds to a general contact hole.

【0019】図11に示した構造を完成させた後、上記
した方法を繰り返すと、さらに上層の金属配線および金
属プラグを形成することができ、この際の第2の深い溝
が一般のスルーホールに相当するものである(いずれも
図示せず)。すなわち、本発明にかかる集積回路の多層
配線形成方法は、多層金属配線技術による集積回路の製
造に適用できるものである。
After the structure shown in FIG. 11 is completed, the above-described method is repeated to form an upper metal wiring and a metal plug. In this case, a second deep groove is formed in a general through hole. (Both not shown). That is, the method for forming a multilayer wiring of an integrated circuit according to the present invention can be applied to the manufacture of an integrated circuit by a multilayer metal wiring technique.

【0020】以上は、好適な実施の形態により本発明を
説明したものであって、本発明を限定しようとするもの
ではない。また、半導体技術に詳しい当業者であれば明
白であるように、いずれも適度で微細な変更ならびに調
整が可能であるから、それによって、本発明の技術思想
が有効性を喪失するものではなく、やはり、本発明の技
術思想の範囲に含まれるものとしなければならない。
The present invention has been described by way of a preferred embodiment, and is not intended to limit the present invention. Also, as will be apparent to those skilled in semiconductor technology, any of them is capable of moderate and minute changes and adjustments, thereby not losing the technical idea of the present invention. Again, it must be included in the scope of the technical concept of the present invention.

【0021】[0021]

【発明の効果】本発明は、誘電膜上に浅い溝ならびに段
差付の深い溝を形成し、浅い溝ならびに段差付の深い溝
を金属膜で埋め込むものであって、段差付の深い溝によ
り理想的なステップカバレッジが実現するとともに、浅
い溝の内部に金属配線を形成し、段差付の深い溝の内部
に金属プラグを形成する多層配線形成方法により、多層
配線集積回路の大量生産に応用することができるので、
産業上の利用価値が極めて高いものである。
According to the present invention, a shallow groove and a deep groove with a step are formed on a dielectric film, and the shallow groove and the deep groove with a step are filled with a metal film. To achieve effective step coverage and to form a metal wiring inside a shallow groove and form a metal plug inside a deep groove with a step, to apply it to mass production of multilayer wiring integrated circuits. So you can
It has extremely high industrial utility value.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の実施の形態において形成される第1金
属配線を示す断面図である。
FIG. 1 is a sectional view showing a first metal wiring formed in an embodiment of the present invention.

【図2】本発明の実施の形態において形成される2つの
誘電膜を示す断面図である。
FIG. 2 is a sectional view showing two dielectric films formed in the embodiment of the present invention.

【図3】本発明の実施の形態において形成される第1フ
ォトレジストパターンを示す断面図である。
FIG. 3 is a sectional view showing a first photoresist pattern formed in the embodiment of the present invention.

【図4】本発明の実施の形態におけるエッチング工程を
示す断面図である。
FIG. 4 is a cross-sectional view showing an etching step in the embodiment of the present invention.

【図5】本発明の実施の形態におけるエッチング工程を
示す断面図である。
FIG. 5 is a cross-sectional view showing an etching step in the embodiment of the present invention.

【図6】本発明の実施の形態における第1フォトレジス
トパターンの除去を示す断面図である。
FIG. 6 is a cross-sectional view showing the removal of the first photoresist pattern in the embodiment of the present invention.

【図7】本発明により形成される第2フォトレジストパ
ターン34を示す断面図である。
FIG. 7 is a sectional view showing a second photoresist pattern formed according to the present invention.

【図8】本発明の実施の形態におけるエッチング工程を
示す断面図である。
FIG. 8 is a cross-sectional view showing an etching step in the embodiment of the present invention.

【図9】本発明の実施の形態における第2誘電膜と第2
フォトレジストパターンとの除去を示す断面図である。
FIG. 9 shows a second dielectric film and a second dielectric film according to the embodiment of the present invention.
It is sectional drawing which shows removal with a photoresist pattern.

【図10】本発明の実施の形態において形成される金属
膜を示す断面図である。
FIG. 10 is a sectional view showing a metal film formed in the embodiment of the present invention.

【図11】本発明における金属膜のエッチング工程が完
了した状態を示す断面図である。
FIG. 11 is a cross-sectional view showing a state where a metal film etching step in the present invention is completed.

【符号の説明】[Explanation of symbols]

20 P型シリコン半導体ウェハ 22 絶縁膜 24 第1金属配線 26 第1誘電膜 28 第2誘電膜 30 第1フォトレジストパターン 31 第1の浅い溝 34 第2フォトレジストパターン 35 第1の深い溝 38 金属膜 38A 第2金属配線 38B 第1金属プラグ Reference Signs List 20 P-type silicon semiconductor wafer 22 Insulating film 24 First metal wiring 26 First dielectric film 28 Second dielectric film 30 First photoresist pattern 31 First shallow groove 34 Second photoresist pattern 35 First deep groove 38 Metal Film 38A Second metal wiring 38B First metal plug

Claims (10)

【特許請求の範囲】[Claims] 【請求項1】 シリコン半導体ウェハの表面に第1誘電
膜を形成し、かつ前記シリコン半導体ウェハの表面に第
1導電材料を設けるステップと、 第2誘電膜を形成するステップと、 リソグラフィにより第1レジストパターンを形成し、こ
の第1レジストパターンをエッチマスクとして、エッチ
ングにより前記第1誘電膜の一定厚さならびに前記第2
誘電膜をエッチアウトすることによって、前記第1誘電
膜の表面に浅い溝を形成するステップと、 前記第1レジストパターンの一部分をサイドからエッチ
アウトし、前記第2誘電膜の一部分を露出させ、さらに
エッチングにより露出された前記第2誘電膜をエッチア
ウトするとともに、エッチングを前記第1誘電膜の表面
でストップさせるステップと、 前記第1レジストパターンを除去するステップと、 リソグラフィにより第2レジストパターンを形成して、
前記浅い溝の一部分および前記第2誘電膜の一部分を被
覆するとともに、浅い溝の他部分および前記第2誘電膜
の他部分を露出させるステップと、 前記第2レジストパターンならびに前記第2誘電膜の露
出した部分をエッチマスクとして、エッチングにより前
記第1誘電膜の露出した部分をエッチアウトするととも
に、エッチングを前記第1誘電膜の下層にある第1導電
材料でストップさせて、前記浅い溝を深い溝とするステ
ップと、 前記第2レジストパターンおよび前記第2誘電膜を除去
して、前記第1誘電膜の表面に前記浅い溝ならびに深い
溝を形成するステップと、 金属膜を形成して、この金属膜により前記浅い溝および
深い溝を埋め込むステップと、 前記浅い溝および深い溝以外の領域の前記金属膜を除去
して、前記浅い溝の内部に第1金属配線を形成し、前記
深い溝の内部に第1金属プラグを形成し、この第1金属
プラグを前記深い溝を介して前記第1導電材料に電気接
続させるステップとを具備することを特徴とする集積回
路の多層配線形成方法。
A step of forming a first dielectric film on a surface of a silicon semiconductor wafer and providing a first conductive material on a surface of the silicon semiconductor wafer; a step of forming a second dielectric film; A resist pattern is formed, and using the first resist pattern as an etch mask, a predetermined thickness of the first dielectric film and the second
Forming a shallow groove in the surface of the first dielectric film by etching out the dielectric film; etching out a portion of the first resist pattern from a side to expose a portion of the second dielectric film; Etching the second dielectric film exposed by etching and stopping the etching at the surface of the first dielectric film; removing the first resist pattern; and forming the second resist pattern by lithography. Forming
Covering a part of the shallow groove and a part of the second dielectric film and exposing another part of the shallow groove and another part of the second dielectric film; Using the exposed portion as an etch mask, the exposed portion of the first dielectric film is etched out by etching, and the etching is stopped by a first conductive material under the first dielectric film, so that the shallow groove is deepened. Forming a metal film; forming a shallow groove and a deep groove on a surface of the first dielectric film by removing the second resist pattern and the second dielectric film; Filling the shallow groove and the deep groove with a metal film; and removing the metal film in a region other than the shallow groove and the deep groove to form an inside of the shallow groove. Forming a first metal wiring inside the deep groove, and electrically connecting the first metal plug to the first conductive material through the deep groove. A method for forming a multilayer wiring of an integrated circuit.
【請求項2】 シリコン半導体ウェハの表面に第1誘電
膜を形成し、前記シリコン半導体ウェハの表面にゲート
酸化膜、ゲート電極およびソース/ドレインを設けるス
テップと、 第2誘電膜を形成するステップと、 リソグラフィにより第1レジストパターンを形成し、こ
の第1レジストパターンをエッチマスクとして、エッチ
ングにより前記第1誘電膜の一定厚さならびに前記第2
誘電膜をエッチアウトすることによって、前記第1誘電
膜の表面に浅い溝を形成するステップと、 第1レジストパターンの一部分をサイドからエッチアウ
トし、前記第2誘電膜の一部分を露出させ、さらにエッ
チングにより露出された前記第2誘電膜をエッチアウト
するとともに、エッチングを前記第1誘電膜の表面でス
トップさせるステップと、 前記第1レジストパターンを除去するステップと、 リソグラフィにより第2レジストパターンを形成し、前
記浅い溝の一部分および前記第2誘電膜の一部分を被覆
するとともに、浅い溝の他部分および前記第2誘電膜の
他部分を露出させるステップと、 前記第2レジストパターンならびに前記第2誘電膜の露
出した部分をエッチマスクとして、エッチングにより前
記第1誘電膜の露出した部分をエッチアウトするととも
に、エッチングを前記第1誘電膜の下層にある前記ゲー
ト電極でストップさせて、前記浅い溝をコンタクトホー
ルとするステップと、 前記第2レジストパターンおよび前記第2誘電膜を除去
して、前記第1誘電膜の表面に前記浅い溝ならびにコン
タクトホールを形成するステップと、 金属膜を形成して、この金属膜により前記浅い溝および
コンタクトホールを埋め込むステップと、 前記浅い溝およびコンタクトホール以外の領域の前記金
属膜を除去して、前記浅い溝の内部に第1金属配線を形
成し、前記コンタクトホール内部に第1金属プラグを形
成し、この第1金属プラグを前記コンタクトホールを介
して前記ゲート電極およびソース/ドレインに電気接続
させるステップとを具備することを特徴とする集積回路
の多層配線形成方法。
2. A step of forming a first dielectric film on a surface of a silicon semiconductor wafer, providing a gate oxide film, a gate electrode, and a source / drain on the surface of the silicon semiconductor wafer; and forming a second dielectric film. Forming a first resist pattern by lithography; using the first resist pattern as an etch mask;
Forming a shallow groove in the surface of the first dielectric film by etching out the dielectric film; etching out a portion of the first resist pattern from a side to expose a portion of the second dielectric film; Etching out the second dielectric film exposed by the etching and stopping the etching at the surface of the first dielectric film; removing the first resist pattern; forming a second resist pattern by lithography Covering a part of the shallow groove and a part of the second dielectric film, and exposing another part of the shallow groove and another part of the second dielectric film; and forming the second resist pattern and the second dielectric film. The exposed portion of the first dielectric film is etched by using the exposed portion of the film as an etch mask. Etching out and stopping the etching at the gate electrode under the first dielectric film to make the shallow groove a contact hole; and removing the second resist pattern and the second dielectric film. Forming the shallow groove and the contact hole on the surface of the first dielectric film; forming a metal film and filling the shallow groove and the contact hole with the metal film; The first metal wiring is formed inside the shallow groove by removing the metal film in a region other than the above, a first metal plug is formed inside the contact hole, and the first metal plug is inserted through the contact hole. Electrically connecting the gate electrode and the source / drain to the gate electrode and the source / drain. Multilayer wiring formation method of.
【請求項3】 シリコン半導体ウェハの表面に第1誘電
膜を形成し、かつ前記シリコン半導体ウェハの表面に第
1金属配線を設けるステップと、 第2誘電膜を形成するステップと、 リソグラフィにより第1レジストパターンを形成し、こ
の第1レジストパターンをエッチマスクとして、エッチ
ングにより前記第1誘電膜の一定厚さならびに前記第2
誘電膜をエッチアウトすることによって、前記第1誘電
膜の表面に浅い溝を形成するステップと、 第1レジストパターンの一部分をサイドからエッチアウ
トし、前記第2誘電膜の一部分を露出させ、さらにエッ
チングにより露出された前記第2誘電膜をエッチアウト
するとともに、エッチングを前記第1誘電膜の表面でス
トップさせるステップと、 前記第1レジストパターンを除去するステップと、 リソグラフィにより第2レジストパターンを形成し、前
記浅い溝の一部分および前記第2誘電膜の一部分を被覆
するステップと、 前記第2レジストパターンならびに前記第2誘電膜の露
出した部分をエッチマスクとして、エッチングにより前
記第1誘電膜の露出した部分をエッチアウトするととも
に、エッチングを前記第1誘電膜の下層にある第1金属
配線でストップさせて、前記浅い溝をスルーホールとす
るステップと、 前記第2レジストパターンおよび前記第2誘電膜を除去
して、前記第1誘電膜の表面に前記浅い溝ならびにスル
ーホールを形成するステップと、 第2金属膜を形成して、この第2金属膜により前記浅い
溝およびスルーホールを埋め込むステップと、 前記浅い溝およびスルーホール以外の領域の前記第2金
属膜を除去して、前記浅い溝の内部に第2金属配線を形
成し、前記コンタクトホール内部に第1金属プラグを形
成し、この第1金属プラグを前記スルーホールを介して
前記第1金属配線に電気接続させるステップとを具備す
ることを特徴とする集積回路の多層配線形成方法。
3. A step of forming a first dielectric film on a surface of a silicon semiconductor wafer and providing a first metal wiring on a surface of the silicon semiconductor wafer; a step of forming a second dielectric film; A resist pattern is formed, and using the first resist pattern as an etch mask, a predetermined thickness of the first dielectric film and the second
Forming a shallow groove in the surface of the first dielectric film by etching out the dielectric film; etching out a portion of the first resist pattern from a side to expose a portion of the second dielectric film; Etching out the second dielectric film exposed by the etching and stopping the etching at the surface of the first dielectric film; removing the first resist pattern; forming a second resist pattern by lithography Covering a portion of the shallow groove and a portion of the second dielectric film; exposing the first dielectric film by etching using the second resist pattern and the exposed portion of the second dielectric film as an etch mask; The etched portion is etched out, and the etching is performed on the lower layer of the first dielectric film. Stopping at the first metal wiring to form the shallow groove as a through hole; removing the second resist pattern and the second dielectric film to form the shallow groove and the through hole on the surface of the first dielectric film. Forming a hole; forming a second metal film and filling the shallow groove and the through hole with the second metal film; removing the second metal film in a region other than the shallow groove and the through hole Forming a second metal wiring inside the shallow groove, forming a first metal plug inside the contact hole, and electrically connecting the first metal plug to the first metal wiring via the through hole. Forming a multilayer wiring of an integrated circuit.
【請求項4】 前記シリコン半導体ウェハが、フィール
ド酸化膜と、金属酸化膜半導体電界効果トランジスタ
と、キャパシタと、電気抵抗と、導電材料とを具備する
ことを特徴とする請求項1乃至3のいずれか1項に記載
の集積回路の多層配線形成方法。
4. The silicon semiconductor wafer according to claim 1, wherein the silicon semiconductor wafer includes a field oxide film, a metal oxide semiconductor field effect transistor, a capacitor, an electric resistance, and a conductive material. 2. The method for forming a multilayer wiring of an integrated circuit according to claim 1.
【請求項5】 前記金属酸化膜半導体電界効果トランジ
スタが、ゲート酸化膜と、ゲート電極と、ソース/ドレ
インとを具備することを特徴とする請求項4記載の集積
回路の多層配線形成方法。
5. The method according to claim 4, wherein the metal oxide semiconductor field effect transistor includes a gate oxide film, a gate electrode, and a source / drain.
【請求項6】 前記第1誘電膜が、2種類以上の誘電膜
からなることを特徴とする請求項1乃至3のいずれか1
項に記載の集積回路の多層配線形成方法。
6. The method according to claim 1, wherein the first dielectric film is made of two or more types of dielectric films.
12. The method for forming a multilayer wiring of an integrated circuit according to the above item.
【請求項7】 前記第2誘電膜が、プラズマ増強式化学
的気相成長法により形成された窒化シリコンであり、そ
の厚さを500Åから2000Åの間とすることを特徴
とする請求項1乃至3のいずれか1項に記載の集積回路
の多層配線形成方法。
7. The semiconductor device according to claim 1, wherein said second dielectric film is silicon nitride formed by a plasma enhanced chemical vapor deposition method, and has a thickness between 500 ° and 2000 °. 4. The method for forming a multilayer wiring of an integrated circuit according to claim 3.
【請求項8】 前記第2誘電膜が、プラズマ増強式化学
的気相成長法により形成されたアモルファス・シリコン
であることを特徴とする請求項1乃至3のいずれか1項
に記載の集積回路の多層配線形成方法。
8. The integrated circuit according to claim 1, wherein said second dielectric film is amorphous silicon formed by a plasma enhanced chemical vapor deposition method. Multi-layer wiring forming method.
【請求項9】 前記金属膜が、化学的気相成長法により
形成されたことを特徴とする請求項1乃至3のいずれか
1項に記載の集積回路の多層配線形成方法。
9. The method according to claim 1, wherein the metal film is formed by a chemical vapor deposition method.
【請求項10】 前記浅い溝および深い溝以外の領域の
前記金属膜の除去が、前記金属膜をプラズマエッチング
により異方性のエッチバックをするか、または、化学的
機械的研磨をすることにより実行されることを特徴とす
る請求項1乃至3のいずれか1項に記載の集積回路の多
層配線形成方法。
10. The removal of the metal film in a region other than the shallow groove and the deep groove is performed by performing anisotropic etch-back of the metal film by plasma etching or performing chemical mechanical polishing. 4. The method according to claim 1, wherein the method is performed.
JP14554997A 1997-06-03 1997-06-03 Method for forming multilayer wiring in integrated circuit Pending JPH10340952A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP14554997A JPH10340952A (en) 1997-06-03 1997-06-03 Method for forming multilayer wiring in integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP14554997A JPH10340952A (en) 1997-06-03 1997-06-03 Method for forming multilayer wiring in integrated circuit

Publications (1)

Publication Number Publication Date
JPH10340952A true JPH10340952A (en) 1998-12-22

Family

ID=15387755

Family Applications (1)

Application Number Title Priority Date Filing Date
JP14554997A Pending JPH10340952A (en) 1997-06-03 1997-06-03 Method for forming multilayer wiring in integrated circuit

Country Status (1)

Country Link
JP (1) JPH10340952A (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100363696B1 (en) * 1999-12-29 2002-12-05 주식회사 하이닉스반도체 Method for forming mutilayered metal line in semiconductor device
US6495451B2 (en) 2000-01-06 2002-12-17 Matsushita Electric Industrial Co., Ltd. Method of forming interconnect
US6627484B1 (en) * 2000-11-13 2003-09-30 Advanced Micro Devices, Inc. Method of forming a buried interconnect on a semiconductor on insulator wafer and a device including a buried interconnect
KR100459723B1 (en) * 2002-09-10 2004-12-03 삼성전자주식회사 Method for fabricating semiconductor device having metal interconnections of different thickness
CN111063655A (en) * 2018-10-17 2020-04-24 无锡华润上华科技有限公司 Method for manufacturing semiconductor device

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100363696B1 (en) * 1999-12-29 2002-12-05 주식회사 하이닉스반도체 Method for forming mutilayered metal line in semiconductor device
US6495451B2 (en) 2000-01-06 2002-12-17 Matsushita Electric Industrial Co., Ltd. Method of forming interconnect
US6627484B1 (en) * 2000-11-13 2003-09-30 Advanced Micro Devices, Inc. Method of forming a buried interconnect on a semiconductor on insulator wafer and a device including a buried interconnect
KR100459723B1 (en) * 2002-09-10 2004-12-03 삼성전자주식회사 Method for fabricating semiconductor device having metal interconnections of different thickness
US7030022B2 (en) 2002-09-10 2006-04-18 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device having metal interconnections of different thickness
CN111063655A (en) * 2018-10-17 2020-04-24 无锡华润上华科技有限公司 Method for manufacturing semiconductor device

Similar Documents

Publication Publication Date Title
US6194305B1 (en) Planarization using plasma oxidized amorphous silicon
US5614765A (en) Self aligned via dual damascene
US6083824A (en) Borderless contact
US6165899A (en) Method for manufacturing semiconductor devices having dual damascene structure
US20020155699A1 (en) Semiconductor device and method of fabricating the same
KR100277377B1 (en) Formation method of contact/through hole
JPH10116905A (en) Manufacture of semiconductor device
US6147005A (en) Method of forming dual damascene structures
US5880030A (en) Unlanded via structure and method for making same
JP2003124144A (en) Processing method for semiconductor chip
TW202145321A (en) Method of forming semiconductor structure
KR20000035246A (en) Method of fabricating a semiconductor structure
US5966632A (en) Method of forming borderless metal to contact structure
JP2573621B2 (en) Method of manufacturing electrical interconnect
JPH10340952A (en) Method for forming multilayer wiring in integrated circuit
JPH10116904A (en) Manufacture of semiconductor device
JPH10308395A (en) Flattening of semiconductor structure and filling of gaps between adjacent gate electrodes of semiconductor structure
JP3317279B2 (en) Method for manufacturing semiconductor device
JP2702007B2 (en) Method for manufacturing semiconductor device
CN100419993C (en) Method for making semiconductor device
KR20010091085A (en) Method for manufacturing self aligned contact hole
US6599825B2 (en) Method for forming wiring in semiconductor device
JP2000294776A (en) Semiconductor integrated circuit device and manufacture thereof
JPH10163216A (en) Manufacture of semiconductor device
JPH1074837A (en) Semiconductor device and its manufacture