JPH10207937A - レイアウト・クリティカルなネット用のタイミング・エラー限界値をフィルタリングすることにより、マイクロエレクトロニクス回路のレイアウト後検証を実行する方法、装置およびコンピュータ・プログラム製品 - Google Patents

レイアウト・クリティカルなネット用のタイミング・エラー限界値をフィルタリングすることにより、マイクロエレクトロニクス回路のレイアウト後検証を実行する方法、装置およびコンピュータ・プログラム製品

Info

Publication number
JPH10207937A
JPH10207937A JP9251352A JP25135297A JPH10207937A JP H10207937 A JPH10207937 A JP H10207937A JP 9251352 A JP9251352 A JP 9251352A JP 25135297 A JP25135297 A JP 25135297A JP H10207937 A JPH10207937 A JP H10207937A
Authority
JP
Japan
Prior art keywords
nets
net
timing error
determining
delay
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP9251352A
Other languages
English (en)
Inventor
Biveck Raghavan
ヴィヴェク・ラガヴァン
Bryan Alan Zimmerman
ブライアン・アラン・ジマーマン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Avant Corp
Original Assignee
Avant Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Avant Corp filed Critical Avant Corp
Publication of JPH10207937A publication Critical patent/JPH10207937A/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

(57)【要約】 【課題】 集積回路中の標準的にはすべてのネットに関
する限界的な寄生抽出を一連のレイアウト後検証動作の
一部として実行する方法、装置およびコンピュータ・プ
ログラム製品を提供する。 【解決手段】 抵抗だけの抽出および/または容量だけ
の抽出を、計算コストの低い電気的モデルを用いて初期
に実行する。抵抗抽出および容量抽出を能動素子のモデ
ルと組み合わせて、抽出されたネットの考えうる最悪の
ケースおよび最良のケースを発生させてもよい。遅延モ
デルは、抵抗だけの抽出および容量だけの抽出から決定
されたネットの寄生容量に対する上限値に基づいて決定
してもよいが、しかしながら、抵抗だけの抽出に基づい
ただけの他のモデルも使用してもよい。ユーザ指定のタ
イミング・エラー許容値を用いて、集積回路中の特定の
ネットに適用される追加の抽出詳細の適当なレベルを自
動的に決定する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、一般的にはマイク
ロエレクトロニクス回路ならびにシステムの設計、レイ
アウト、試験および製造に関し、より具体的には、製造
前のマイクロエレクトロニクス回路並びにシステムを検
証するための装置および方法に関する。
【0002】
【従来の技術】現在の超大規模集積回路は、一つの集積
回路チップ上に何十万、何百万という相互接続された能
動電子素子を含んでいる。顧客に販売する前に、大規模
集積回路を製造したり試験するのに、大規模な投資が必
要であり、計画されたように演算しない集積回路の設計
製造のやり直しや交換に関連した困難、費用、および信
用の喪失が、製造前に集積回路の電気的動作状態を正確
に特徴付ける必要性を増加させている。
【0003】さらに、サブミクロンおよびディープ・サ
ブミクロン(0.5μm以下)の技術がシリコン・チッ
プの製造を支配し始め、100MHzの周波数で作動す
る百万以上のゲートを持つチッブの可能性が現実になっ
てきたので、通常の集積回路設計の方式とそれに基づく
電子設計オートメーション(EDA)に、根本的な変化
が必要となった。これらの困難な問題に挑戦するため
に、信号遅延や電力消費などの電子設計パラメータを推
定するためのより精巧な技術が要求されている。特に、
正確な遅延の推定は、現在一般的に、チップ・パーティ
ショニングや動作の合成などのフロント・エンド・ツー
ルと、ブロック配置やレイアウトなどのバック・エンド
・ツールの間のより強いリンクを要求している。そのよ
うなリンクなしでは、ディープ・サブミクロンの回路設
計は、仕様に合致させるために、設計を複数回繰り返し
て行わなければならない。このような作業は、費用と時
間がかかるものである。図1の(A)に表示したよう
に、タイミング違反を除外するために必要とされるレイ
アウトの繰り返しの数は、一般に、ロジック密度とクロ
ック速度が増加するにつれて増加する。フロント・エン
ド設計の際のより正確な遅延推定値が、一般的により少
ない時間のかかるレイアウト繰り返しにつながること
を、図1の(A)はまた表示している。これは、費用を
節約し設計サイクルを短縮することにつながる。図1
(A)のデータは、大規模機能ブロックがチップ・ダイ
の30%を費消する0.8μmレベルの設計に基づいて
いて、J.Lipman,”Submicron ED
A to Help Tackle Tough De
signs,Electonic Design Ne
ws,pp.45−50,June 8,1995”か
ら図1を複製したものである。
【0004】不運にも、集積回路の最小特徴寸法(素子
の構成要素の最小寸法)が縮小し続けて、演算速度が増
加するにつれて、回路内の能動素子を相互接続する受動
性のネット(配線)に関係する寄生的な効果の特徴付け
もまた、より重大で、より困難になりつつある。過去に
は、相互接続ネットの影響は、集積回路の演算をシミュ
レートする場合、一般的に無視することができた。なぜ
なら、相互接続ネットに関係している遅延は通常無視し
て良いと考えられていた一方、そこに含まれている能動
素子が全体の回路遅延計算を支配していたからである。
しかしながら、集積回路の最小特徴寸法が縮小し続け
て、トランジスタ伝搬の遅延における改善が、相互接続
による遅延を超えたので、全体の遅延に対する相互接続
ネット遅延の割合は、図1の(B)に表示されているよ
うに、50%以上へと増加した。特に、最小特徴寸法が
減少するにつれて、相互接続ネットの抵抗および高さ/
幅アスペクト比は増加傾向にあり、配線レベル数も増加
傾向にある。増加した高さ/幅アスペクト比および複数
レベルでの金属配線の接近により、隣り合った配線間の
クロストーク容量をより大きくし、その容量のモデル化
を2次元の問題ではなく、3次元の問題にしている。現
在、一般的にいって、単一のレジスタやキャパシタと等
価なもの(総括的な等価回路)として、相互接続をもは
や簡単にモデル化することができない。その代わりに、
相互接続遅延を予想したり、回路へのバック・アノテー
ション用に配線の寄生的効果を抽出したりする電子設計
オートメーション(EDA)・ツールは、通常、相互接
続をモデル化するために分布RC等価ネットワークを使
用する。従って、最新技術にある集積回路の動作の完全
な分析は、能動素子に加えて、相互接続パスの抵抗や容
量効果を考慮するものでなければならない。
【0005】しかしながら、通常、ネットの抵抗と容量
の詳細な抽出には全体の相互接続遅延を正確にモデル化
することが要求されていて、また複雑な3次元容量モデ
ルには正確な容量値の抽出が要求されているので、能動
素子および相互接続ネットの完全な特徴付けは、極端に
時間がかかるものとなり、その特徴付けを実施する処理
システムの記憶能力を超過するかも知れない。従って、
抽出を実行することにより、相互接続ネットに関係して
いるタイミング遅延を考慮に入れる試みは、通常は、設
計する回路のある一部、またはあるブロックだけに限定
されていて、実行時間の向上のために、通常は簡略化さ
れた抽出モデルを使用してきた。
【0006】クロック・ネットのみを選択したり、トッ
プ・レベル・ネットや、大規模な全体容量のあるネッ
ト、または簡略化されたシミュレーション技術により決
定された事前に選択されたタイミング・クリティカル・
パスのあるネットを選択することなどの、発見的(ヒュ
ーリスティックな)アプローチを適用することによっ
て、抽出の複雑さを減少させるための試みがなされた。
たとえば、相互接続ネットに関係したタイミング遅延を
説明する伝統的な試みでは、タイミング・クリティカル
・パスが既に決定された後になって初めて、詳細抽出の
実行ステップを通常行う。図2に一番良く表示されてい
るように、そのような試みは、相互接続ネットの抵抗と
容量の無制約の寄生推定値によりクリティカルなネット
リストを補完するステップを包含し、そして、潜在的な
タイミング・クリティカル・パスを識別するために回路
のタイミング・スティミュレーションを実行するステッ
プを包含している。それから、タイミング・クリティカ
ル・パスでのそれらのネットの詳細な寄生的特徴の抽出
を、タイミング・クリティカル・パスに関係している遅
延の更新された推定値を決定するために実行することも
できる。不運にも、これらの試みや関連した試みは、タ
イミング分析ツールに「にせの」クリティカル・パスを
選択させ、「真の」クリティカル・パスを見逃させるこ
とができる簡略化したモデルに基づいた、相対的に不正
確な寄生推定値に普通依存している。これは、必要な詳
細な寄生的特徴の抽出は、いくつかのレイアウト・クリ
ティカルなネット上で実行されないかも知れないことを
意味している。
【0007】他の伝統的なアプローチは、ネット制限的
でパス制限的なアプローチを包含する。ネット制限的ア
プローチにおいて、ユーザはレイアウトを導くために、
設計作業を通じて、固定したネット限界値を指定する。
このアプローチの欠点の一つは、いくつかのネット間で
タイミング・リソースを共有することの困難さである。
タイミング・リソースは、物理レイアウトを通して分布
される全体的な遅延の一部であ。さらに、このアプロー
チは、異なったパスが通常、共通のネットを共有してい
る事実を考慮に入れていないおそれがある。したがっ
て、ネットの実際のレイアウトが実際に決定される前
に、パス遅延をネット遅延に分割する負担が設計者にの
しかかる。ネット遅延の限界値が一般的に固定され、レ
イアウト作業中に通常は変えることができないという制
限がこのアプローチにはある。あるパス中のネットの一
つが、予想された遅延よりも短い遅延を有する場合、そ
れぞれのネット遅延限界値が低く推定されていると、こ
の余分なタイミング・リソースを同じパス中の他のネッ
トに分散させることは困難かも知れない。最後に、パス
限界アプローチにおいて、すべてのパスは、目標となる
パス遅延に合致するために制約される。しかしながら、
異なったタイミング・パス間の関係は複雑でありうるの
で、レイアウト中のセルのわずかな動きでさえも、多く
のネットの遅延に影響を与えうる。これでは、順番に、
数多くのパス上に遅延の再評価することが必要である。
これらの欠点のために、設計者は、通常、最もタイミン
グ・クリティカルなパスであると思えるようなもののう
ちの2、3を規制するだけで、本物のタイミング・クリ
ティカル・パスを見逃すかも知れない。
【0008】従って、回路設計のあるブロックだけを評
価したり、簡略化したモデルを使用したり、および/ま
たは発見的アプローチを適用することで、相互接続に関
係したタイミング遅延を考慮した上述の方法にもかかわ
らず、マイクロエレクトロニクス回路をシミュレート
し、そのパフォーマンスを評価する場合、相互接続遅延
を考慮しての改善された方法や装置の必要性が継続して
ある。
【0009】
【発明が解決しようとする課題】従って、本発明の目的
は、マイクロエレクトロニクス集積回路のレイアウト後
検証を実行するための、改善された方法、装置、および
コンピュータ・プログラム製品を提供することである。
【0010】本発明の別の目的は、マイクロエレクトロ
ニクス集積回路の中の相互接続セルとパス遅延をモデル
化するための方法、装置、およびコンピュータ・プログ
ラム製品を提供することである。
【0011】本発明の別の目的は、マイクロエレクトロ
ニクス集積回路において、相互接続ネットの詳細な寄生
的特徴の抽出を実行するための方法、装置、およびコン
ピュータ・プログラム製品を提供することである。
【0012】本発明の別の目的は、レイアウト・クリテ
ィカル・ネットおよびその中のタイミング・クリティカ
ル・パスを識別することにより、高速マイクロエレクト
ロニクス回路のレイアウト後の検証を実行するための方
法、装置、およびコンピュータ・プログラム製品を提供
することである。
【0013】
【課題を解決するための手段】本発明によれば、レイア
ウト・クリティカル・ネットを抽出し、そこらかのタイ
ミング・クリティカル・パスを決定することで、マイク
ロエレクトロニクス集積回路のレイアウト後の検証を実
行するための方法、装置、およびコンピュータ・プログ
ラム製品により、これらの目的やその他の目的、機能、
利点が提供される。一般に、演算上のコストがかからな
いネットの電気的モデルを使用して、抵抗だけの抽出ま
たは容量だけの抽出、あるいはその両方を最初に実施す
ることにより、集積回路内の典型的なすべてのネットの
無制約な寄生的特徴の抽出を実行するための手段を含む
装置を、本発明は包含している。本発明の一つの好まし
い実施態様において、抵抗と容量の抽出は、各々の抽出
されたネットに対する現実的な最悪のケースと最良のケ
ースの遅延モデルを得るために結合される。たとえば、
抵抗だけの抽出と、容量だけの抽出から決定されたネッ
トの寄生容量の上限値とに基づいて、遅延モデルを作成
することもできよう。しかしながら、抵抗だけの抽出だ
けに基づいている他のモデルも、通常はあまり好ましく
ないが、使用できるかもしれない。それから、ユーザ指
定のタイミング・エラー許容値により、集積回路のそれ
ぞれの部分に適用されるべき付加的な抽出の詳細の適切
なレベルが自動的に決定することができる。たとえば、
ユーザ指定のタイミング・エラー許容値を、どのネット
がより正確な分布RC抽出を必要とするかを決定するの
に使用する。これにより、ユーザは、抽出過程のエラー
の管理ができるようになり、抽出されなネットリスト
は、ユーザ指定のタイミング・エラー許容値を満たす。
【0014】特に、本発明は高速のマイクロエレクトロ
ニクス集積回路におけるネットを抽出するための装置を
含む。この装置は、第1の電気的モデルを使用したネッ
トの抵抗と容量の第1の推定値を獲得するために、回路
内において第1の複数のネットをモデル化するための手
段を含む。その装置はまた、各々のネットを横断する電
気信号に関係する第1のネット・タイミング・エラー限
界値(net timing error bound)をそれぞれの第1の複数
のネットの個々について決定するための手段を含んでも
いる。その第1のネット・タイミング・エラー限界値
は、むしろネットの抵抗と容量の第1の推定値から決定
される。たとえば、推定値は最小遅延モデル
(Tmin )、および最大遅延モデル(Tmax )を決定す
るために使用でき、そこでは、各ネットに対する相対タ
イミング・エラー限界値(RTB)は|(Tmax −T
min )/Tmin |となる。こうする代わりに、遅延モデ
ルの代わりに、ネットの抵抗推定値に基づいて限界値を
決定しても良い。
【0015】タイミング・エラー許容値に同等かそれ以
上に大きい、対応するタイミング・エラー限界値を有す
る少なくとも一つのネットを決定するために、ユーザ指
定の第1のネット・タイミング・エラー許容値(例えば
10%)に対する第1のネット・タイミング・エラー制
限値をフィルタリングするための手段も提供される。さ
らに、この少なくとも一つのネットは、その抵抗と容量
の更新値を決定するために、計算上より高価で正確なモ
デルでモデル化される。この装置が精度レベルを二つだ
け有しているモデルを使用できる場合、その少なくとも
一つのネットは、抵抗だけおよび容量だけ抽出をもう1
回繰り返す代わりに、より詳細な分布RC抽出を実行す
ることにより、通常はモデル化される。
【0016】しかしながら、もっとも詳細で計算コスト
の高いレベルの寄生抽出を要求する相対的に少数のネッ
トを効果的に選択するために、繰り返し複数のフィルタ
リングステップが実行できるように、その装置は、むし
ろ2以上の精度レベルを有するモデルを利用できる能力
を持つのが好ましい。従って、第1のネット・タイミン
グ・エラーと同等かそれより大きい、第1のネット・タ
イミング・エラー限界値を持つそれぞれの第2の複数の
ネットを決定するために、ユーザ指定の第1のネット・
タイミング・エラー許容値に対する第1の複数のネット
のための第1のネット・タイミング・エラー限界値をフ
ィルタリングするための手段を、その好ましい装置も含
んでいる。ここでは、それぞれの第1の電気的モデルと
異なる第2の電気的モデルを使用して、第2の複数のネ
ットの抵抗と容量の第2の推定値を獲得するために、第
2の複数のネットをモデル化するための手段もまた提供
される。さらに、ネットの抵抗と容量の第2の推定値に
基づき、各ネットを横断する電気信号に関係している第
2のネット・タイミング・エラー限界値を、それぞれの
モデル化された第2の複数のネットについて決定するた
めの手段が提供される。
【0017】これらの第2のネット・タイミング・エラ
ー限界値は、第2のネット・タイミング・エラー許容値
と同等かそれより大きい第2のネット・タイミング・エ
ラー限界値を持つ、第2の複数のネットからの少なくと
も一つのネットを決定するために、第2のネット・タイ
ミング・エラー限界値に対してフィルタリングされてい
る。この第2のネット・タイミング・エラー限界値は、
それぞれ第1と第2の電気的モデルと異なる、より正確
な電気的モデルを使用してモデル化される。ここでは、
高度に正確な電気的モデルは、分布RCネットワークモ
デルを構成するかも知れない。それらの技術分野の当業
者により理解されるように、より正確なモデルが、通
常、第2の複数のネットについて使用されるので、第2
のネット・タイミング・エラー限界値は、通常、同じネ
ットの対する第1のネット・タイミング・エラー限界値
より相当程度小さいであろう。従って、もし第1と第2
のネット・タイミング・エラー許容値がそれぞれの繰り
返しについて同じであれば、そのフィルタリング手段に
より、各繰り返しにより、より詳細な抽出のためのより
少数のネットを選択できるあろう。
【0018】本発明は、その中にある複数のパスをひと
まとめに定義する能動素子と複数のネットを包含する集
積回路内のタイミング・クリティカル・パスを識別する
ために、方法、装置、およびコンピュータ・プログラム
製品も含む。特に、好ましい方法としては、ネットの抵
抗と容量の第1の推定値を獲得するために、回路内のそ
れぞれの第1の複数のネットを最初にモデル化すること
による詳細な寄生抽出を行うことを含んでおり、そし
て、各ネットについて、各ネットを横断する電気信号に
関係している第1のネット・タイミング・エラーを決定
することを含む。たとえば、ネット・タイミング・エラ
ーは、最小遅延モデル(Tmin )と最大遅延モデル(T
max )間のタイミング・エラー上の上限として、タイミ
ング・エラー限界値(RTB)を構成するかも知れな
い。その後、そのタイミング・エラー限界値は、ユーザ
選択のタイミング・エラー許容値に対して、少なくとも
一度はフィルタリングされ、ネットのどれがタイミング
・エラー限界値のところ、またはそれを超えたそれぞれ
のタイミング・エラー限界値を有しているかを決定す
る。それから、過度のタイミング・エラー限界値を有す
る選択されたネットは、むしろより(もっとも)正確な
モデルで再モデル化され、利用できるもっとも正確な遅
延モデル(例えば分布RCネットワークモデル)が使用
される場合、それぞれの選択されたネット、または遅延
の単一の推定値に対する、より小さなそれぞれのタイミ
ング・エラー性を決定する。それから、ユーザ選択のタ
イミング・エラー許容値がすべてのネットについて満足
させられるまで、モデル化およびフィルタリング・ステ
ップが、反復手段で、繰り返し実行される。
【0019】すべてのネットが、ユーザが選択した許容
範囲内のネット・タイミング・エラー制限値を確実にも
つようにするために、詳細な寄生抽出を実行するステッ
プが完了すると、抽出ネットから獲得した限界内のまた
は単一の推定遅延情報を使用して、集積回路のパスにつ
いてタイミング分析を実行する。その技術の当業者は理
解されるように、タイミング分析は、それぞれのパスに
含まれているネットの最大遅延推定値を総和することに
より、高いレベルで通常は実行される。これらの分析に
基づき、1組の潜在的なタイミング・クリティカル・パ
スは通常の方法で識別される。たとえば、前記複数のパ
スのうちのどれが、すべてのパスについての最大の遅延
に対するある範囲内(例えば%で表現される範囲)にあ
るかあるいは所定のパス遅延を越えている個々のパス遅
延値を有しているかについて、決定をすることができ
る。
【0020】当該技術の当業者には理解されるように、
識別される潜在的なタイミング・クリティカル・パスに
おけるそれぞれのネットは、ユーザ選択のエラー許容値
に合致するので、潜在的なタイミング・クリティカル・
パスに関連するエラー限界値も同じく、ユーザ選択のエ
ラー許容値に合致する。つまり、パス内のネットの最大
の相対タイミング・エラー限界値が8%の場合、このパ
スに関係している遅延(すなわち、最大ネット遅延の
和)も、その真の値の8%以内であろう。そこでは、真
の値は、すべてのネットがもっとも正確な遅延モデル
(分布RCネットワーク・モデル)を使用してモデル化
されていると仮定して、そのパスにおけるすべてのネッ
トの遅延の和に等しい。しかしながら、パスにおける多
くのネットは、ユーザ選択のエラー許容値に合致するた
めに、もっとも詳細なレベルの寄生抽出までは要求しな
いので、計算コストが相当に節約できる。しかし、もっ
ともレイアウト・クリティカルなネットについては、本
発明による方法により、必要とされる適切なレベルの抽
出が自動的に選択される。
【0021】本発明の別の態様によると、どのパスが潜
在的なタイミング・クリティカル・パスを構成するかを
決定するステップの後には、これら第1の複数のネット
の内、1以上の潜在的なタイミング・クリティカル・パ
スの内にあるネットに対するネット・タイミング・エラ
ー限界値をフィルタリングするステップが続く。ここで
は、この第1のネット・タイミング・エラー限界値は、
初期のネット・タイミング・エラー許容値(例えば10
%)より小さい新しいネット・タイミング・エラー許容
値(例えば2%)に対してフィルタリングされる。この
新しいネット・タイミング・エラー許容値は、本明細書
では「パス」タイミング・エラー許容値と呼んで、ネッ
ト・タイミング・エラー限界値をフィルタリングするス
テップが、潜在的なタイミング・クリティカル・パスで
あると識別されたパス内のネットだけに付いて実行され
ているのが望ましいことを示す。このフィルタリング・
ステップは、パス・タイミング・エラー許容値より大き
いネット・タイミング・エラー限界値を各々が持つ第3
の複数のネットを決定するのに用いられる。次に、これ
らの第3の複数のネットは、より正確なまたは最も正確
なモデルで再度モデル化される。
【0022】特に、最も正確なモデルを使用する場合、
第3のネット遅延は、これら第3の複数のネットの各々
について測定される。しかしながら、より小さなネット
・タイミング・エラー限界値が決定される結果となる他
のモデルを用いる場合、フィルタリングするステップ
は、パス・タイミング・エラー許容値(例えば2%)に
対して、再度、実行する必要がある。次に、潜在的なタ
イミング・クリティカル・パスのためのより正確なパス
遅延が、第3のネット遅延(第3の複数のネットについ
ての)と、第2のネット遅延(第2の複数のネットにつ
いての)と、第1のネット遅延(第2でも第3の場合で
もない残余のネットについての)と、に基づいて決定さ
れる。次に、潜在的なタイミング・クリティカル・パス
は更新されたパス遅延に基づいて再評価され、どのネッ
トが実際にタイミング・クリティカル・パスを構成する
かが、より小さいパス・タイミング・エラー許容値(例
えば2%)に基づいて決定される。したがって、ユーザ
選択されたネット・タイミング・エラー許容値より通常
はかなり低いユーザ選択のパス・タイミング・エラー許
容値以内のタイミング・エラー限界値を達成するため
に、不必要な高レベルのの精度ですべてのネットを最初
に抽出することによって計算コストを無駄にすることを
やめて、潜在的なタイミング・クリティカル・パスを最
初に識別して、その中にある、利用可能なより正確な
(または最も正確な)モデルを用いてさらなる抽出を必
要としかねないネットの数を制限する。
【0023】したがって、本発明の方法、装置およびコ
ンピュータ・プログラムのプロダクトはフル・チップの
「スマート」な抽出を利用するが、これによってユーザ
指定のタイミング・エラー許容値を用いて、回路レイア
ウトの各々のネットに適用される抽出の詳細の適切なレ
ベルが自動的に決定される。さらに、最も詳細なレベル
の抽出を、あるパスがタイミング・クリティカル・パス
を構成するか否かに対して影響を及ぼすことが可能なネ
ットだけに制限すれば、計算コストはさらに減少する。
【0024】
【発明の実施の形態】本発明の好ましい実施態様が示さ
れる添付図面を参照にして以下により詳細に本発明を説
明する。しかしながら、本発明は、さまざまな形態で実
施可能であり、以下に示す実施態様に制限されるものと
見なすべきではなく、本実施態様は、本開示があまねく
そして完全に、さらにより詳細に本発明の範囲を当業者
に伝わるように提供されているものである。本明細書を
通じて類似の参照符号は類似の部品を示す。
【0025】
【実施例】以下に、好適な実施例が記載された添付図面
を参照して本発明をより詳細に説明するが、本発明は多
くの態様により具体化でき、ここに記載した実施例また
は実施態様に本発明を限定することはできない。実施例
は、本明細書の開示内容を完全なものとし、当業者に発
明の範囲を完全に伝えるためのものである。同様の要素
・部位には同様の符号を付与してある。図3を参照する
と、コンピュータ支援マイクロエレクトロニクス集積回
路の合成、レイアウトおよび製造システム10によって
実行される動作が一般的に説明されている。このシステ
ムには、4つの一般的サブシステムが含まれる、すなわ
ち、集積回路機能仕様・論理合成システム12、集積回
路レイアウト・システム14、集積回路検証システム1
6,および集積回路製造システム18である。マイクロ
エレクトロニクス集積回路機能仕様・論理合成システム
12は、論理ゲート、フリップフロップ、レジスタ、メ
モリ素子、ドライバ、バッファなどの素子のための回路
ライブラリやマクロを含むことがある。機能仕様・論理
合成システム12は通常は、集積回路の電気結線図を発
生、表示し、さらに、コンピュータの読み出し可能型の
結線図を設計ネットリストとして作成するためのビヒク
ル(手段)を提供する。以下に詳細に説明するように、
集積回路の電気結線図は、それ自体に包含される複数の
ネットおよび能動素子によって集合的に規定される複数
のタイミング・パスを含みうる。マイクロエレクトロニ
クス集積回路レイアウト・システム14はまた、通常、
機能仕様・論理合成システム12が発生した電気回路結
線図を位置付けしてルーティングすることによって物理
的レイアウトを発生させるためのビヒクルを提供する。
配線プログラムもまた、マイクロエレクトロニクス集積
回路の能動素子同士間の配線相互接続ネットの位置付け
を自動的に決定するために、レイアウト・システム14
によって提供される。
【0026】検証システム16はまた、物理的レイアウ
トを独立に検証して、製造システム18と共に機能仕様
・論理合成システム12の要件に対する適合を保証する
ために提供することが望ましい。したがって、検証シス
テム16は通常は、「レイアウト後」検証システムと呼
ばれ、通常は設計プロセスの終了間近で用いられる。検
証システム16は、回路設計の作動性と正確性の独立検
証体として動作するだけでなく、変更および最適化を実
行可能な手段を提供し得る。当業者には自明なように、
タイミング分析および回路/論理シミュレーションなど
のさまざまなタイプの分析を実行して、最初の2つのサ
ブシステム12および14の仕様および要件が満足され
るか否かチェックすることができる。検証後は、物理的
レイアウトを製造システム18に出力して集積回路を生
産する。マイクロエレクトロニクス回路製造システム1
8は必要なマスクを発生させ、さらに、例えば、半導体
ウエハ上で集積回路を製造するために必要な製造用ツー
ルを制御し得る。
【0027】当業者には自明なように、集積回路機能仕
様・論理合成システム12、マイクロエレクトロニクス
回路レイアウト・システム14および、マイクロエレク
トロニクス集積回路製造システム18のさまざまな部品
は全体的または部分的に、コンピュータ・システム上で
実行されるソフトウエア・モジュールとして実現しても
よい。こうする代わりに、上記の機能を実行させるため
のアプリケーション別の集積回路を持つ専用のスタンド
アローン型システムを提供してもよい。機能仕様・論理
合成システム12、レイアウト・システム14および製
造システム18の一般的設計および動作は当業者には周
知であり、本明細書中では説明する必要はない。
【0028】次に図4を参照すると、とりわけ図3の集
積回路レイアウト後検証システムを含むコンピュータ・
ワークステーションの一般的なハードウエア構成が説明
されている。図4に示すように、ワークステーション2
0は、自身上で走行する階層レイアウト後検証ソフトウ
エア・サブシステム16を包含するコンピュータ15を
具備することが望ましい。このレイアウト後検証システ
ム16には、ファイル19、ディスク入力媒体23また
はデータ・バス21を介して、集積回路の電気結線図お
よびレイアウトのコンピュータ表現を入力してもよい。
ディスプレイ13およびプリンタ17もまた、集積回路
のレイアウトおよび設計の検証の支援のために提供して
もよい。上記のコンポーネント13、17、19、21
および23のハードウエア設計は当業者には周知であ
り、ここでは詳述する必要はない。1例として、レイア
ウト後検証システム16は、UNIX系ワークステーシ
ョンなどのコンピュータ・システム上で走行させてもよ
い。
【0029】図5を次に参照すると、本発明のレイアウ
ト後検証システム16によって実行される動作の一般図
が示されている。特に、レイアウト後検証システム16
は、16Aから16Eの5つの動作を実行するところが
示されている。明確にするために、これらの動作は分離
した動作として図示され説明されているが、これらの動
作を組み合わせて同時に実行してもよい。第1の動作1
6Aは、検証される集積回路の物理的レイアウトを包含
する形状データベース上で包括的階層設計ルール・チェ
ックを実行する設計ルール・チェック(DRC)ツール
によって実行される。設計ルール・チェック・ツールに
よって実行される動作には、とりわけ、領域、格子、長
さ、寸法、間隔、角、囲み、交差およびオーバラップに
関するエラーなどのチェックが含まれる。第2の動作1
6Bは、形状レイアウト・データベースから階層ネット
リストを抽出し、それを電気結線図ネットリストと比較
する階層レイアウト対結線図(LVS)ツールによって
実行される。すると、階層整合点でレイアウトと結線図
論理が一致するか否か検証される。検証システム16に
よるこれらの第1および第2の動作は、1995年10
月に、VeriCheck(R)2.5.1というトレ
ードマークで、本発明の譲受け人であるノース・カロラ
イナ州Avant社から市販されているマニュアル中に
詳述されている(出典を明記することによりその開示内
容を本願明細書の一部となす)。
【0030】第3および第4の動作16Cおよび16D
は、レイアウト・パラメータ抽出(LPE)ツールおよ
びクリティカル・パス・タイミング分析ツールによって
それぞれ実行される。特に、これらのツールは、以下に
詳述するが、好ましくは、形状レイアウト・データベー
ス中の通常はすべての相互接続ネットの多重レイアウト
・パラメータ抽出を実行し、さらに、これらのネットに
対する遅延限界値を決定することによってレイアウト・
クリティカルなネットを抽出するという機能を実行す
る。ここでは、ユーザ指定のタイミング・エラー許容値
を、遅延限界値をフィルタリングすることを通じて、集
積回路の物理的レイアウトの個々の部分に適用される抽
出詳細の適切なレベルを自動的に決定するために提出す
る。一度このレイアウト・パラメータ抽出動作16Cが
完了すると、集積回路中のどのパスがタイミング・クリ
ティカル・パスを形成するかを決定するための動作が実
行される。この動作には、パラメータ抽出動作16Cか
ら得られた抽出済みのネット情報が必要である。図3の
上向き矢印のシーケンスで示すように、タイミング・ク
リティカル・パスおよびこれと関連するパス遅延の決定
には、機能仕様・論理合成システム12による集積回路
の再設計および/またはレイアウト・システム14によ
る物理的レイアウトの修正を必要とするかもしれない。
最後に、集積回路が設計ルールに適合するか検証して、
結線図に対するレイアウトの比較さらに抽出およびクリ
ティカル・パスの決定の結果、合格との結果が出たら、
物理的レイアウトは製造システム18に送られて、集積
回路が生産される。
【0031】本発明の動作は、以下に詳述するが、まっ
たくハードウエアで実現しても、まったくソフトウエア
で実現しても、さらにソフトウエアとハードウエアを組
み合わせた態様で実現してもよい。さらに、本発明は、
それ自体の中に実現されたコンピュータ読み出しが可能
なプログラム作成手段を持つコンピュータ読み出し可能
記憶媒体上のコンピュータ・プログラムのプロダクトと
いう形態を取ってもよい。ハード・ディスク、CD−R
OMや他の光学的または磁気的記憶装置を含むどの適切
なコンピュータ読み出し可能媒体を使用してもよい。
【0032】次に図6を参照して、図5のレイアウト・
パラメータ抽出ツール16Cによって実行される動作を
詳述する。図示されるように、抽出ツール16Cは、集
積回路レイアウト中の第1の複数の相互接続ネットの個
々をモデル化するという初期動作を実行して、その第1
の電気的モデルを用いて、ネットの寄生抵抗および寄生
容量の第1の推定値を得る(ブロック16C1)。この
電気的モデルは、最も計算上安価な抽出ルールから最初
に派生されるのが望ましい。図5に示すように、集積回
路レイアウトは、集積回路レイアウト・システム14に
よって物理的結線図として提供される。上述したよう
に、レイアウト・システム14は、機能仕様・論理合成
システム12によって発生された電気回路結線図を位置
付けしルーティングすることによって物理的レイアウト
を発生するためのビヒクルを提供する。
【0033】相互接続ネットの寄生抵抗および寄生容量
の推定値を発生させるという初期モデル化動作(ブロッ
ク16C1)は、形状抽出用のルールまたはモデルを用
いてネットの寄生抵抗および寄生容量を抽出するための
形状抽出エンジンを用いて実行してもよい。例証的な抽
出エンジンは、前記のVeriCheck(R)の操作
マニュアル中に詳述されている。さらに、形状抽出ルー
ルを発生させ、抽出エンジンにインタフェースを提供す
るための好ましいツールの1例は、本出願の譲受人であ
る、ノース・カロライナ州Avan!社からソフトウエ
ア・プロダクトとして市販されている。このソフトウエ
ア・プロダクトは、TCADIterfaceTMとい
うトレードネームで市場に出されているが、1996年
4月の2.5.1版のユーザ・マニュアル中に同じ名称
でさらに詳述されている(出典を明記することによりそ
の開示内容を本願明細書の一部となす)。TCAD I
nterfaceTMのソフトウエアは、ディープ・サ
ブミクロン・プロセスの特徴付けやランセットの発生を
含む多くの動作を実行する。このインタフェースには、
プロセス特徴付け用の3次元シミュレーション・ツール
が必要である。このツールはTCAD Interfa
ceTMソフトエウアによってコールされて、異なった
レベルの抽出精度に対応する複数セットの抽出ルールを
発生させるに必要なシミュレーションを実行する。
【0034】TCAD InterfaceTMソフト
ウエアは現在、RaphaelTMというトレードネー
ムで、カリフォルニア州サニービルのテクノロジー・モ
デリング・アソーシエーツ社から市販されている3次元
シミュレーション・ツールを用いている。この3次元シ
ミュレーション・ツールの特徴は、ユーザ定義のプロセ
ス・パラメータに基づいた特殊な構造を構築し、この特
殊構造に対して3次元抽出を実行するプロセスにある。
これらの構造は特定の種類の容量性相互作用、オーバラ
ップ、クロスカップリングなどを強調するように設計さ
れている。これらの相互作用は、特にディプ・サブミク
ロン・プロセスのアスペクト比が増加するにつれて、金
属相互接続配線重要な3次元コンポーネントを持っても
よい。例えば、TCAD InterfaceTMソフ
トウエアによれば、ユーザはプロセス・ファイル中に電
気的および物理的なプロセス情報を指定し、つぎに、そ
のデータは抽出定義ファイル中に記憶されている関連層
相互作用のリストと組み合わされる。TCAD Int
erfaceTMソフトウエアは3次元抽出の結果を分
析し、それを2次元抽出環境にマッピングする。これら
の動作の結果は次に、実際の抽出ランセットを発生させ
る際に用いられるように回帰データベース・ファイル中
に記憶される。全体の特徴付けプロセスは完了に数日か
かることがあるが、一つの製造プロセス毎に実行するだ
けでよい。
【0035】再度図6を参照すると、初期のモデル化動
作(ブロック16C1)の後に、ネットの各々に対し
て、各々のネットを横断する電気信号を関連する初期ネ
ット・タイミング・エラー限界値を決定する動作が続く
(ブロック16C2)。これらの初期ネット・タイミン
グ・エラー限界値は、ネットの抵抗および容量の各々の
推定値から決定することが望ましい。本発明の1実施態
様によれば、これらの推定値を用いて、最小遅延モデル
(Tmin )および最大遅延モデル(Tmax )を決定する
ことができる(ここで、個々のネットに対する相対タイ
ミング・エラー限界値は|(Tmax −Tmin )/Tmin
|に等しい)。特定のネットを単一の集中型の抵抗およ
び容量としてモデル化する場合、最大遅延モデル(T
max )は、すべての集中型容量は、能動素子への入力部
であるネットの端部で発生するという仮定に基づいて計
算することが望ましく、また、最小遅延モデル
(Tmin )は、すべての容量は、能動素子の出力部であ
るネットの始まりで発生するという仮定に基づいて計算
することが望ましい。言い換えれば、最小遅延モデルお
よび最大遅延モデルは、遅延をモデル化する際の究極の
ケースを表しており、それから決定された相対限界値
は、より正確で計算上高価なモデルのネットが使用され
ない場合には、遅延の考えられ得る最大のエラーを表
す。
【0036】個々のネットに対する最小遅延モデルおよ
び最大遅延モデルもまた、従来の遅延モデルを用いて、
ネットを駆動する能動素子の影響を考慮して決定するこ
とが望ましいが、ネット遅延の合計値を推定する他の技
法を用いてもよいことは当業者には明かであろう。例え
ば、図8に示すように、第1の能動素子11および第2
の能動素子12との間に接続されている仮定のネットに
関連する最小遅延モデル(Tmin )によって、ネット
は、単一の集中型抵抗、およびすべての容量がネットの
フロントエンド、すなわち第1の能動素子11の出力に
存在するキャパシタに近似させてもよい。この仮定に基
づいて、最小遅延モデル(例えば300ps)を、第1
の能動素子11の入力から第2の能動素子12の入力ま
での遅延の推定値と決定してもよい。こうする代わり
に、第1と第2の能動素子11と12との間に接続され
たネットに関連する最大遅延モデル(Tmax )によっ
て、ネットを、単一集中型抵抗および、すべての容量が
ネットのバックエンド、すなわち第2の能動素子12の
入力に存在するキャパシタとして近似させてもよい。こ
の仮定に基づいて、最大遅延モデル(例えば325p
s)を、第1の能動素子11の入力から第2の能動素子
12の入力までの遅延の推定値と決定してもよい。する
と、タイミング・エラー限界値(RTB=(325−3
00)/300)は8.3%と決定される。ここで、こ
のネットと関連する実遅延は、個別限界値(すなわち、
min ≦Tactual≦Tmax )によって決定される。本発
明のこの特徴は、正確な答(すなわち実遅延)を求める
よりも上限/下限の抽出結果を求めた方が通常は計算上
かなり安価であるという事実を利用したものである。
【0037】ネット個々の初期タイミング・エラー限界
値が決定されると(ブロック16C2)、ユーザ指定の
ネット・タイミング・エラー許容値(ET)に対して第
1のネット・タイミング・エラー限界値をフィルタリン
グする動作が実行される。この動作は、ユーザ指定のネ
ット・タイミング・エラー許容値(例えば10%)以上
の対応するタイミング・エラー限界値を持つネットを少
なくとも一つ決定するために実行される。こうする代わ
りに、この動作は、ユーザ指定のエラー許容値以内のよ
り狭いタイミング・エラー限界値(すなわち、パーセン
テージで表すことができるより小さな相対タイミング・
エラー限界値)を達成するために、より正確でより計算
上安価なモデル化を必要とするネットを識別するために
実行してもよい。さらにこうする代わりに、時間に基づ
いた限界値以外の限界値もまた、遅延モデルの替わりに
ネットの抵抗の推定値に基づいて設定してもよいが、こ
などの限界値の使用は好ましくない。ここでは、ネット
の抵抗の推定値は、予め定められたしきい抵抗値に対し
てフィルタリングして、どのネットが、対応するしきい
値より大きい推定抵抗値を持つか判断してもよい。
【0038】このフィルタリング動作(ブロック16C
3)の後に、より複雑でより厳密な抽出ルールから派生
されたより計算上高価で正確なモデルを持つ過度のタイ
ミング・エラー限界値を有するネットをモデル化する動
作が実行される(ブロック16C1および/または16
C5)。これらのモデルから、ネットの抵抗および容量
の更新された推定値が得られる。特に、決定ブロック1
6C4に示すように、抽出ツール16Cは、複数のフィ
ルタリング用ステップを反復的に実行して最も詳細で計
算上高価なレベルの寄生抽出を必要とする相対的に少な
いネットだけを効率的に選択できるように、3レベル以
上の精度を持つモデル(すなわち、低く、中間の、高レ
ベルのモデル)を利用する能力を持つことが望ましい。
したがって、好ましい抽出ツール16Cは、ユーザ指定
の第1のネット・タイミング・エラー許容値に対して第
1の複数のネットい対する第1のネット・タイミング・
エラー限界値をフィルタリングする動作を実行して、第
1のネット・タイミング・エラー許容値以上の第1のネ
ット・タイミング・エラー限界値を個々が持つ第2の複
数のネットを決定する(ブロック16C3)。次に、よ
り正確な中間モデルが利用可能か否か決定する動作が実
行され(ブロック16C4)、もしあれば、次のレベル
のモデル(すなわち、中間モデル)で第2の複数のネッ
トをモデル化して、第2の複数のネットの抵抗および容
量の第2の推定値を得る(ブロック16C1)。
【0039】次に、第2の複数のネットに対する第2の
ネット・タイミング・エラー限界値を、ネットの抵抗お
よび容量の第2の推定値に基づいて決定する動作が実行
される(ブロック16C2)。当業者には自明のよう
に、より正確なモデルは第2の複数のネットに対して用
いられるので、第2のネット・タイミング・エラー限界
値は通常は、ネット個々に対して最初に決定された対応
する第1のネット・タイミング・エラー限界値よりかな
り低い。これらの第2のネット・タイミング・エラー限
界値は次に、ネット・タイミング・エラー許容値に対し
てフィルタリングされ、第2の複数のネットの内から、
ネット・タイミング・エラー許容値以上の第2のネット
・タイミング・エラー限界値を持つネットを少なくとも
一つ決定する。次に、この少なくとも一つのネットは、
次により正確なその電気的モデルを用いてモデル化され
る。ここで、この少なくとも一つのネットの次に正確な
電気的モデルは、次に高いレベルの精度を持つ中間モデ
ルを形成するかもしれないし(ブロック16C1)、好
ましくは分布RCネットワーク・モデルである最も正確
なモデルを形成するかもしれない(ブロック16C4−
16C5)。
【0040】しかしながら、最も正確なモデルを、より
正確な抽出を必要とするネットに用いる場合、絶対遅延
限界値や相対遅延限界値(すなわち、|Tmax −Tmin
|、|(Tmax −Tmin )/Tmin )の代わりに、実遅
延(TACTUAL)を、これらのネットに対して決定
する(ブロック16C6)。実遅延を決定するためにモ
デル化されたこれらのネットに対しては、さらなる抽出
は不必要である。図6をさらに参照すると、レイアウト
・パラメータの抽出ツールの動作は、抽出された物理的
レイアウトをマージしたネットリストを形成することに
よって完了される(ブロック16C7)。このマージさ
れたネットリストには、エラー許容値以内の遅延限界値
を持つようなフィルタによって選択されたネットおよ
び、単一遅延推定値(「実遅延」)が決定された他の残
余のネットが含まれる(ブロック16C3および16C
6)。したがって、マージされたネットリストの精度
は、ユーザが選択したネット・タイミング・エラー許容
値(例えば10%)以内に収まることが保証される。
【0041】次に図7を参照すると、タイミング・クリ
ティカル・パスを識別するためにクリティカル・パス・
タイミング分析ツール16Dによって実行される動作を
より詳細に説明する。これらの動作は、レイアウト抽出
パラメータ・ツール16Cによって実行される動作と関
連して実行される。特に、クリティカル・パス・タイミ
ング分析ツール16Dによって実行される動作は、直前
に抽出された物理的レイアウトおよび、レイアウト抽出
パラ、エータ・ツール16Cによって発生されたマージ
済みのネットリストによって異なる。例えば、一度、詳
述された寄生抽出を実行するための動作が完了して、す
べてのネットのネット・タイミング・エラー限界値がユ
ーザ選択されたネット・タイミング・エラー許容値以内
に収まることが保証されると、タイミング分析動作が、
抽出されたネットから得られた限界されたまたは単一の
推定遅延値(「実遅延」)情報を用いる集積回路のパス
に対して実行される。タイミング分析は、各々のパスに
含まれるネット(およびネットをドライブする能動素
子)の各々に対する最大遅延推定値(Tmax )または実
遅延値(Tactual)を単に総和することによって高いレ
ベルで実行して、パスの各々に対してパス遅延値を決定
してもよい(ブロック16D1)。タイミング分析に基
づいて、1セットの潜在的なタイミング・クリティカル
・パスを、これら複数のパスの内のどれが、予め定めら
れたパス遅延を超える個別のパス遅延を持つか決定する
ことによって識別することが可能である(16D2)。
予め定められたパス遅延値はユーザ選択されたパス遅延
値であってもよい。こうする代わりに、潜在的なタイミ
ング・クリティカル・パスを、どのパスが、すべてにの
パスと関連する最大の遅延のある範囲(例えば、10
%)以内の個別のパス遅延を持つか決定することによっ
て発生させてもよい。
【0042】当業者には明かなように、識別された潜在
的なタイミング・クリティカル・パス内のネットの各々
はユーザ選択されたネット・タイミング・エラー許容値
を満足するので、潜在的なタイミング・クリティカル・
パスの各々と関連する相対エラー限界値もまたユーザ選
択されたエラー許容値を満足する。言い換えれば、パス
内にあるネットの最大の相対タイミング・エラー限界値
が8%であれば、このパスに関連する遅延(すなわち、
最大ネット遅延値の総和)もまたその真値の8%位であ
り、この場合、真値は、すべてのネットがもっとも正確
な遅延のモデル(例えば分布RCネットワーク・モデ
ル)を用いてモデル化されたと仮定したときのパス内の
ネットの全ての遅延の総和に等しい。しかしながら、パ
ス内にあるネットの多くが標準的には、ユーザ選択され
たエラー許容値を満足させるためには最も詳述されたレ
ベルの寄生抽出を必要としないので、かなりの計算費用
が節約できる。それでも、「レイアウト・クリティカ
ル」なすべてのネットは、適当なレベルの抽出によって
正確にモデル化できる。
【0043】また図7を参照すると、潜在的なタイミン
グ・クリティカル・パスが決定された(ブロック16D
2)後では、クリティカル・パス・タイミング分析ツー
ル16Dは、一つまたは複数の潜在的なタイミング・ク
リティカル・パス内部のネットのネット・タイミング・
エラー限界値のフィルタリング動作を実行する(ブロッ
ク16D3)。この時、レイアウト・パラメータ抽出ツ
ール16Cによって決定され、マージされたネットリス
ト中に提供された(ブロック16C7)最終的なネット
・タイミング・エラー限界値は、ネット・タイミング・
エラー許容値(例えば10%)未満のパス・タイミング
・エラー許容値(例えば2%)に対してフィルタリング
される。このフィルタリング動作は、潜在的なタイミン
グ・クリティカル・パス中に存在する比較的限られた数
のネットの内のどれがより詳細な抽出を必要とするかを
決定するために実行される。したがって、より詳細な抽
出を必要とするネットとは、そのネット・タイミング・
エラー限界値がパス・タイミング・エラー許容値より大
きいようなネットである。しかしながら、最も詳細なレ
ベルの抽出をすでに経過し、さらに実遅延が決定されて
いる(ブロック16D3)ネットに対しては、フィルタ
リング動作(ブロック16D3)は適用されない。
【0044】一度、フィルタリング動作が実行され、よ
り詳細な抽出を必要とするネットが識別されると(ブロ
ック16D3)、より正確な中間抽出ルールおよびモデ
ルが利用可能であるか否かを決定するためにチェックが
実行される(ブロック16D4)。その場合、より正確
で計算上高価な抽出を必要とする残余のネットがモデル
化して、個別のネットの抵抗値および容量値の更新され
た推定値を得る(ブロック16D5)。図6を参照して
すでに詳述したように、次に遅延限界値が、この更新さ
れた推定値に基づいて決定され(ブロック16D6)、
さらに、別のフィルタリング動作を実行して、さらに詳
細な抽出を必要とするネットの選択動作を反復する(ブ
ロック16D3)。こうする代わりに、フィルタリング
動作の後で正確な中間モデルがもはや存在しない場合、
最も正確な抽出ルールを用いて残余のネットをモデル化
して、この残余のネットの各々に関連する実遅延を決定
してもよい。
【0045】次に、潜在的なタイミング・クリティカル
・パスを含む抽出された物理的レイアウトのマージされ
たネットリストを形成してクリティカル・タイミング分
析ツール16Dの動作が完了する(ブロック16D
9)。このマージされたネットリストには、(1)遅延
限界値がネットエラー許容値(例えば10%)以内であ
るが、いかなる潜在的なタイミング・クリティカル・パ
ス内部にもないネットと、(2)遅延限界値がパス・タ
イミング・エラー許容値(例えば2%)以内である潜在
的なタイミング・クリティカル・パス内のネットと、
(3)単一遅延推定値(「実遅延」)が決定された他の
すべての抽出済みネットとが含まれる。次に、潜在的な
タイミング・クリティカル・パスを決定する動作を繰り
返して、パス・タイミング・エラー許容値を満足させる
ために、自身の内に含まれる一つまたは複数のネットが
より正確な寄生抽出を受けたところのパスの内のどれが
実際にタイミング・クリティカル・パスを構成するか決
定する(ブロック16D10)。言い換えれば、潜在的
なタイミング・クリティカル・パスは更新されたパス遅
延に基づいて再評価され、それによって、より小さなパ
ス・タイミング・エラー許容値(例えば2%)に基づい
て、どのネットが実際にタイミング・クリティカル・パ
スを構成するか決定することが望ましい。したがって、
通常はユーザが選択したネット・タイミング・エラー許
容値よりかなり低いユーザ選択のパス・タイミング・エ
ラー許容値以内のタイミング・エラー限界値を達成する
ために不必要な高いレベルですべてのネットを最初に抽
出することによって計算経費を無駄にする代わりに、潜
在的なタイミング・クリティカル・パスを最初に識別し
て、手にはいるより(または最も)正確なモデルを用い
てのさらなる抽出を必要しかねない、含まれるネットの
数を制限する。
【0046】したがって、本発明はフル・チップの「ス
マート」な抽出を用いるが、この抽出によって、ユーザ
指定のタイミング・エラー許容値を用いて、回路のレイ
アウトの各々の部分に適用される抽出の詳細の適切なレ
ベルを自動的に決定する。さらに、最も詳細なレベルの
抽出を、パスがタイミング・クリティカル・パスを構成
するか否かに対して影響を与えることが可能なネットに
対してだけ限ることによって、計算コストがさらに低く
なる。
【0047】次に図9を参照しながら、図5に示すレイ
アウト・パラメータ抽出ツール16Cによって実行され
る動作の一つの例証的な実施態様を、標準的なセルを含
む集積回路100の小規模のブロックを参照して説明す
る。この動作の前に、図5のブロック16Bに示すよう
な、レイアウト対結線図(LVS)比較の実行、さらに
回路中のすべてのネットの決定という初期動作が実行さ
れる。次に、一度すべてのネット(A1−2,B1−
2,C1−2,D,E,F,G1−2)が準備できる
と、抵抗だけの抽出がすべてのネットに対して実行され
る。この抽出動作には、ネットに関連するすべての金属
層およびコンタクト(接点)のための抵抗値の測定動作
および、これらから寄生SPICEファイルを作成する
動作が含まれる。当業者には明かなように、寄生抵抗の
データは、継いでフィルタリング動作中に用いられる標
準寄生フォーマット(SPF)ファイル中に変換される
のが望ましい。抵抗の他にも、容量の推定値もまた、計
算的に高価な容量モデルを用いる初期の容量だけの抽出
を実行し、さらにそれから寄生SPICEファイルを発
生させることによって、設計の際のすべてのネットにつ
いて決定される。この寄生容量データは次に、標準寄生
フォーマット中にも変換することが望ましい。
【0048】次に、レイアウト・クリティカルなネット
が、より計算的に高価な分布RCネットワークを該当の
ネットに対して使用しない場合に個々のネットに発生し
かねない最大のタイミング・エラーを限界するために、
抵抗だけの抽出および容量だけの抽出を用いて決定され
る。例えば、これらの抽出結果を用いて、各々のネット
に対する最小遅延モデル(Tmin )および最大遅延モデ
ル(Tmax )を決定してもよいが、ここで各々のネット
に対する相対タイミング・エラー限界値(RTB)は|
(Tmax −Tmin )/Tmin |に等しい。図示目的のた
めだけに、表1に、図9のネットに対して決定され得た
相対タイミング・エラー限界値(RTB)をリストアッ
プする。
【表1】 ネット RTB(%) E 10.1 F 6.9 C2 4.3 G2 3.5 C1 2.5 D 2.0 A2 1.9 G1 1.4 A1 1.1 B2 0.92 B1 0.46
【0049】表1の結果から、ネットE及びFについて
10.1%と6.9%という最大エラーが、もしこれら
のネットが、その分布RCモデルを用いて抽出されなけ
れば、招来されることがわかる。このように、もし5%
というユーザ選択のネット・タイミング・エラー許容値
を用いるのであれば、ネットEおよびFがフィルタによ
るより正確なモデル化のために選択されることになる
(図6のブロック16C3)。したがって、もしユーザ
が、どのネットがレイアウト・クリティカルなネットを
構成するかを最初に決定する際にある程度のタイミング
・エラーを許容する用意があるのであれば、最も正確な
レベルや最も詳細なレベルの抽出ルールおよびこれらに
基づくモデルを用いてすべてのネットを抽出する必要は
ない。この結果は図10に最もよく示されているが、同
図中、タイミング・エラー許容値を用いて抽出動作をフ
ィルタリングする場合には詳細抽出を必要とするネット
の数がかなり減少することが示されている。
【0050】図面および明細書中、本発明の標準的な好
ましい実施態様が開示されており、特定の用語が用いら
れているが、これは総称的、叙述的意味だけで用いられ
ており、本請求の範囲に明示されている本発明の範囲を
制限する意図はない。
【図面の簡単な説明】
【図1】図1の(A)は、先行技術による、レイアウト
前遅延推定値とレイアウト後遅延計算値間のパーセンテ
ージエラーに対するタイミング違反を解消するに必要と
されるレイアウト反復の回数のグラフ図である。また、
図1(B)は、集積回路のクリティカルな特徴寸法に対
する相互接続対合計遅延の比のグラフ図である。
【図2】先行技術によるレイアウト後タイミング検証シ
ステムによって実行される動作の図である。
【図3】本発明によるマイクロエレクトロニクス集積回
路合成、レイアウトおよび製造方法、システムならびに
コンピュータ・プログラム製品の機能ブロック図であ
る。
【図4】本発明による集積回路レイアウト後検証システ
ム、方法およびコンピュータ・プログラム製品の一般的
ハードウエアの説明図である。
【図5】本発明によるレイアウト後タイミング検証シス
テム、方法およびコンピュータ・プログラム製品によっ
て実行される動作の図である。
【図6】本発明によるレイアウト・パラメータ抽出ツー
ルによって実行される動作の図である。
【図7】本発明によるクリティカル・パス・タイミング
分析ツールによって実行される動作の図である。
【図8】仮説相互接続ネットのための最小遅延モデルお
よび最大遅延モデルの図である。
【図9】標準セルを包含する例証的な集積回路の電気結
線図である。
【図10】図6の動作にしたがった最も詳細なレベルの
抽出を必要とする相互接続ネットのパーセンテージとユ
ーザ選択されたエラー許容値間の関係を示すヒストグラ
ムである。
【符号の説明】
12 マイクロエレクトロニクス集積回路機能仕様・
論理合成システム 14 マイクロエレクトロニクス集積回路レイアウト
・システム(位置およびルーティング) 16 マイクロエレクトロニクス集積回路レイアウト
後検証システム 18 マイクロエレクトロニクス集積回路製造システ
ム 15 コンピュータ・システム 16 レイアウト後検証システム 17 プリンタ 19 ファイル 23 ディスク 14 マイクロエレクトロニクス集積回路レイアウト
・システム(位置およびルート) 16A 設計ルール・チェック(DRC) 16B レイアウト対結線図比較(LVS) 16C レイアウト・パラメータ抽出(LPE) 16D クリティカル・パス・タイミング分析 16E 集積回路シミュレーション 18 マイクロエレクトロニクス回路製造システム 16C レイアウト・パラメータ抽出ツール 16C1 次に高いレベルの精度を持つ抽出ルールを
用いるモデル相互接続ネット 16C2 遅延限界値または抵抗限界値を決定する 16C3 抽出結果をフィルタリングする 16C4 より正確な中間モデルがあるか? 16C5 最も正確なモデルで残余の相互接続ネット
をモデル化する 16C6 レイアウト・クリティカルなネットの実遅
延を決定する 16C7 ネットリストをマージする(エラー許容値
以内の精度) 16D クリティカル・パス・タイミング分析ツール 16D クリティカル・パス・タイミング分析ツール 16D1 パス遅延を決定するためにタイミング分析
を実行する 16D2 潜在的なタイミング・クリティカル・パス
を識別する 16D3 フィルタリングする 16D4 より正確な中間モデルがあるか? 16D5 次に高いレベルの精度を持つ抽出ルールを
用いて潜在的なタイミング・クリティカル・パス内にあ
る残余のネットをモデル化する 16D6 更新された遅延限界値を決定する 16D7 最も正確なモデルで残余のネットをモデル
化する 16D8 実遅延を決定する 16D9 クリティカル・パスのネットリストをマー
ジする 16D10 クリティカル・パスのパス遅延を決定す
る 16E 集積回路シミュレーション・ツール
───────────────────────────────────────────────────── フロントページの続き (54)【発明の名称】 レイアウト・クリティカルなネット用のタイミング・エラー限界値をフィルタリングすることに より、マイクロエレクトロニクス回路のレイアウト後検証を実行する方法、装置およびコンピュ ータ・プログラム製品

Claims (24)

    【特許請求の範囲】
  1. 【請求項1】 集積回路中の能動素子を相互接続するネ
    ットを抽出する方法であって、 集積回路中の第1の複数のネットの抵抗および容量の第
    1の推定値をその第1の電気的モデルを使用して得るた
    めに、該第1の複数のネットの各々をモデル化するステ
    ップと、 該第1の複数のネットの各々について、該各々のネット
    を横断する電気信号に関連する第1のネット・タイミン
    グ・エラー限界値を、該各々のネットの該抵抗および容
    量の該第1の推定値に基づいて決定するステップと、 該第1の複数のネットの該第1のネット・タイミング・
    エラー限界値を第1のネット・タイミング・エラー許容
    値に対してフィルタリングして、該第1のネット・タイ
    ミング・エラー許容値より大きな第1のネット・タイミ
    ング・エラー限界値を持つ少なくとも一つのネットを該
    第1の複数のネットから決定するステップと、 そのネットの該各々の第1の電気的モデルと異なるその
    ネット電気モデルを用いて少なくとも一つのネットの該
    抵抗および容量の更新された推定値を得るために、該少
    なくとも一つのネットをモデル化するステップと、を含
    む方法。
  2. 【請求項2】 前記フィルタリングするステップが、該
    第1のネット・タイミング・エラー許容値に対して決定
    された該第1のネット・タイミング・エラー限界値をフ
    ィルタリングして、該第1のネット・タイミング・エラ
    ー許容値より大きな第1のネット・タイミング・エラー
    限界値を各々が持つ第2の複数のネットを決定するステ
    ップを具備し、 更新された推定値を得るための前記モデル化するステッ
    プが、該第2の複数のネットをモデル化して、その該各
    々の第1の電気的モデルとは異なったその該抵抗および
    容量の第2の推定値を得るために該第2の複数のネット
    をモデル化するステップを含むこと、を特徴とする請求
    項1記載の方法。
  3. 【請求項3】 前記第2の複数のネットをモデル化する
    前記ステップに続いて、 該第2の複数のネットの各々に対して、該各々のネット
    を横断する電気信号を関連する第2のネット・タイミン
    グ・エラー限界値を、該各々のネットの該抵抗および容
    量の該第2の推定値に基づいて決定するステップと、 第2のネット・タイミング・エラー許容値に対して、該
    第2の複数のネットについての該第2のネット・タイミ
    ング・エラー限界値をフィルタリングして、該第2のネ
    ット・タイミング・エラー許容値より大きい第2のネッ
    ト・タイミング・エラー限界値を持つ少なくとも一つの
    ネットを該第2の複数のネットの内から決定するステッ
    プと、 該第2の複数のネットの内から該少なくとも一つのネッ
    トをモデル化して、該第2の複数のネットから、該少な
    くとも一つのネットの該抵抗および容量の更新された推
    定値を、そのネットの該各々の第1および第2の電気的
    モデルとは異なったそのネットの電気モデルを用いて得
    るステップと、を含むことを特徴とする請求項2記載の
    方法。
  4. 【請求項4】 第1の複数のネットおよび該第1の複数
    のネットに接続された能動素子によって集合的に規定さ
    れる複数のパスを含む集積回路中のタイミング・クリテ
    ィカル・パスを識別する方法であって、 該回路中の該第1の複数のネットの各々をモデル化し
    て、自身の第1の電気的モデルを用いて該第1の複数の
    ネットの該抵抗および容量の第1の推定値を得るステッ
    プと、 該複数のネットの各々ならびに、該各々のネットを横断
    する電気信号に関連する第1のネット遅延およびネット
    ・タイミング・エラー限界値を、該各々のネットの該抵
    抗および容量の該第1の推定値に基づいて決定するステ
    ップと、 ネット・タイミング・エラー許容値に対して該第1の複
    数のネットのための該ネット・タイミング・エラー限界
    値をフィルタリングして、該ネット・タイミング・エラ
    ー許容値より大きなネット・タイミング・エラー限界値
    を各々が持つ第2の複数のネットを決定するステップ
    と、 該第2の複数のネットをモデル化して、該第2の複数の
    ネットの該抵抗および容量の第2の推定値を、その第2
    の電気的モデルを用いて得るステップと、 該第2の複数のネットの各々および、該各々のネットを
    横断する電気信号と関連する第2の遅延とを、該各々の
    ネットの該抵抗および容量の該第2の推定値に基づいて
    決定するステップと、 該複数のパスの各々にたいする第1のパス遅延を、該第
    1の複数のネットの内、該第2の複数のネット内にはな
    いネットについての該第2のネット遅延および該第1の
    ネット遅延に基づいて決定するステップと、を含む方
    法。
  5. 【請求項5】 該複数のパスから潜在的なタイミング・
    クリティカル・パスを、該複数のパスの内のいずれが過
    度の第1のパス遅延を持っているかを決定することによ
    って識別するステップをさらに含む請求項4記載の方
    法。
  6. 【請求項6】 少なくとも一つの潜在的なタイミング・
    クリティカル・パスにある該第1の複数のネットの該ネ
    ット・タイミング・エラー限界値を、該ネット・タイミ
    ング・エラー許容値未満のパス・タイミング・エラー許
    容値に対してフィルタリングして、該パス・タイミング
    ・エラー許容値より大きいネット・タイミング・エラー
    限界値を各々が持つ第3の複数のネットを決定するステ
    ップをさらに含むことを特徴とする請求項5記載の方
    法。
  7. 【請求項7】 該第3の複数のネットの該抵抗および容
    量の第3の推定値を得るために、該第3の複数のネット
    を、そのネットの第3の電気的モデルを使用してモデル
    化するステップと、 該第3の複数のネットの各々に対して、該各々のネット
    を横断する電気信号に関連する第3のネット遅延を、該
    各々のネットの該抵抗および容量の該第3の推定値に基
    づいて決定するステップと、をさらに含むことを特徴と
    する請求項6記載の方法。
  8. 【請求項8】 該複数のパスの各々に対する第2のパス
    遅延を、該第3のネット遅延と該第2のネット遅延と、
    第第2または第3の複数のネットの中にはない第1の複
    数のネットについての該第1のネット遅延とに基づいて
    決定するステップをさらに含むことを特徴とする請求項
    7記載の方法。
  9. 【請求項9】 内部の能動素子を相互接続するネットを
    抽出することによって集積回路のレイアウト後検証を実
    行する方法であって、 該回路中の第1の複数のネットの各々をモデル化して、
    そのネットの第1の電気的モデルを用いて、該ネットの
    該抵抗および容量の第1の推定値を得るステップと、 該第1の複数のネットおよびこれらに接続される能動素
    子の動作を該第1の推定値を用いた時間の関数として決
    定して、該第1の複数のネットの各々と関連した最小遅
    延モデル(Tmin )および最大遅延モデル(Tmax )を
    得るステップと、 該第1の複数のネットの各々について|(Tmax −T
    min )|/Tmin に等しい第1の相対タイミング・エラ
    ー限界値を決定するステップと、 第1のタイミング・エラー許容値に対して該第1の複数
    のネットの各々について該第1の相対タイミング・エラ
    ー限界値をフィルタリングして、該第1の複数のネット
    の内から、該第1のタイミング・エラー許容値より大き
    な第1の相対タイミング・エラー限界値を持つネットを
    少なくとも一つ決定するステップと、 該少なくとも一つのネットをモデル化して、そのネット
    の該各々の第1の電気的モデルとは異なったそのネット
    の電気的モデルを用いて、該少なくとも一つのネットの
    該抵抗および容量の更新された推定値を得るステップ
    と、を含む方法。
  10. 【請求項10】 前記フィルタリング・ステップが、該
    第1のネット・タイミング・エラー許容値に対して該第
    1の複数のネットについての該第1の相対タイミング・
    エラー限界値をフィルタリングして、該第1のネット・
    タイミング・エラー許容値より大きな第1の相対タイミ
    ング・エラー限界値を各々が持つ第2の複数のネットを
    決定することと、 更新された推定値を得るための前記モデル化ステップ
    が、該第2の複数のネットをモデル化して、そのネット
    の該各々の第1の電気的モデルとは異なったそのネット
    の第2の電気的モデルを用いて、該第2の複数のネット
    の該抵抗および容量の第2の推定値を得ることと、を含
    むことを特徴とする請求項9記載の方法。
  11. 【請求項11】 該第2の複数のネットをモデル化する
    前記ステップの後に、 該第2の複数のネットおよびこれらに接続される能動素
    子の動作を、該第2の推定値を用いた時間の関数として
    決定して、該第2の複数のネットの各々に関連する更新
    された最小遅延モデル(Tmin )および更新された最大
    遅延モデル(Tmax )を得るステップと、 該第2の複数のネットの各々に対する第2の相対タイミ
    ング・エラー限界値をその該更新された最小遅延モデル
    および最大遅延モデルに基づいて決定するステップと、 第2のネット・タイミング・エラー許容値に対して該第
    2の複数のネットについての該第2の相対タイミング・
    エラー限界値をフィルタリングして、該第2の複数のネ
    ットの内から、該第2の複数のネット・タイミング・エ
    ラー許容値より大きな第2の相対タイミング・エラー限
    界値を持つネットを少なくとも一つ決定するステップ
    と、 該第2の複数のネットの内の該少なくとも一つのネット
    をモデル化して、そのネットの該各々の第1および第2
    の電気的モデルとは異なったそのネットの電気的モデル
    を用いて、該第2の複数のネットからの該少なくとも一
    つのネットの該抵抗および容量の更新された推定値を得
    るステップと、が続くことを特徴とする請求項10記載
    の方法。
  12. 【請求項12】 集積回路中の能動素子を相互接続する
    ネットを抽出する方法であって、 該回路中の第1の複数のネットの各々をモデル化して、
    該ネットの抵抗および容量の第1の推定値を、その第1
    の電気的モデルを用いて得るステップと、 該第1の複数のネットの各々に対して、該各々のネット
    を横断する電気信号に関連する第1のネット・タイミン
    グ・エラー限界値を、該各々のネットの該抵抗および容
    量の該第1の推定値に基づいて決定するステップと、 第1のネット・タイミング・エラー許容値に対して該第
    1の複数のネットについての該第1のネット・タイミン
    グ・エラー限界値をフィルタリングして、該第1の複数
    のネットの内から、該第1のネット・タイミング・エラ
    ー許容値より大きな第1のネット・タイミング・エラー
    限界値を持つネットを少なくとも一つ決定するステップ
    と、 該少なくとも一つのネットを分布RCネットワークとし
    てモデル化するステップと、 該少なくとも一つのネットに関連する遅延を、その該分
    布RCネットワーク・モデルに基づいて決定するステッ
    プと、を有することを特徴とする方法。
  13. 【請求項13】 集積回路のレイアウト後検証を、その
    中にある能動素子を相互接続するネットを抽出すること
    によって実行する方法において、 該回路中の第1の複数のネットの各々をモデル化して、
    該ネットの抵抗の推定値を、その非分布RCネットワー
    クを用いて得るステップと、 予め定められたしきい抵抗値に対して該第1の複数のネ
    ットの該抵抗の該推定値をフィルタリングして、該第1
    の複数のネットの内から、該予め定められたしきい抵抗
    値より大きい推定抵抗値を持つネットを少なくとも一つ
    決定するステップと、 該少なくとも一つのネットを分布RCネットワークとし
    てモデル化するステップと、 該少なくとも一つのネットに関連する遅延を、その該分
    布RCネットワーク・モデルに基づいて決定するステッ
    プと、を含む方法。
  14. 【請求項14】 集積回路中の能動素子を相互接続する
    ネットを抽出する方法であって、 第1の複数のネットおよびこれらに接続される能動素子
    の動作をそれらの第1の電気的モデルに基づいた時間の
    関数としてシミュレートして、該第1の複数のネットの
    各々と関連する潜在的タイミング遅延の推定範囲を得る
    ステップと、 該第1の複数のネットの各々と関連する潜在的タイミン
    グ遅延の該推定範囲を、予め定められた許容範囲に対し
    てフィルタリングして、該第1の複数のネットの内か
    ら、該予め定められた範囲を超える推定範囲を持つネッ
    トを少なくとも一つ決定するステップと、 該少なくとも一つのネットおよびこれに接続された能動
    素子の動作を、該少なくとも一つのネットの、その各々
    の第1の電気的モデルとは異なった電気的モデルに基づ
    いた時間の関数としてシミュレートして、該各々の推定
    範囲内の該少なくとも一つのネットに関連するタイミン
    グ遅延の推定値を得るステップと、を含む方法。
  15. 【請求項15】 集積回路中の能動素子を相互接続する
    ネットを抽出する装置であって、 該回路中の第1の複数のネットの各々をモデル化して、
    該ネットの抵抗および容量の推定値を、その第1の電気
    的モデルを用いて得る手段と、 該第1の複数のネットをモデル化するための前記手段に
    反応して、該第1の複数のネットの各々について、該各
    々のネットを横断する電気信号と関連する第1のネット
    ・タイミング・エラー限界値を、該各々のネットの該抵
    抗および容量の該第1の推定値に基づいて決定する手段
    と、 第1のネット・タイミング・エラー限界値を決定するた
    めの前記手段に反応して、該第1の複数のネットに対す
    る該第1のネット・タイミング・エラー限界値を、第1
    のネット・タイミング・エラー許容値に対してフィルタ
    リングして、該第1の複数のネットの内から、該第1の
    ネット・タイミング・エラー許容値より大きな第1のネ
    ット・タイミング・エラー限界値を持つネットを少なく
    とも一つ決定する手段と、 該第1のネット・タイミング・エラー限界値をフィルタ
    リングするための前記手段に反応して、該少なくとも一
    つのネットをモデル化して、該少なくとも一つのネット
    の該抵抗および容量の更新された推定値を、その該各々
    の第1の電気的モデルとは異なったその電気的モデルを
    用いて得る手段と、を具備することを特徴とする装置。
  16. 【請求項16】 該第1のネット・タイミング・エラー
    限界値をフィルタリングするための前記手段が、該第1
    の複数のネットに対する該第1のネット・タイミング・
    エラー限界値を、該第1のネット・タイミング・エラー
    許容値に対してフィルタリングして、該第1のネット・
    タイミング・エラー許容値より大きな第1のネット・タ
    イミング・エラー限界値を各々が持つ第2の複数のネッ
    トを決定する手段を具備し、 該少なくとも一つのネットをモデル化するための前記手
    段が、該第2の複数のネットをモデル化して、該第2の
    複数のネットの該抵抗および容量の第2の推定値を、そ
    の該各々の第1の電気的モデルとは異なったその第2の
    電気的モデルを用いて得る手段を具備する、ことを特徴
    とする請求項15記載の装置。
  17. 【請求項17】 該第2の複数のネットをモデル化する
    ための前記手段に反応して、該第2の複数のネットの各
    々について、該各々のネットを横断する電気信号と関連
    する第2のネット・タイミング・エラー限界値を、該各
    々のネットの該抵抗および容量の該第2の推定値に基づ
    いて決定する手段と、 該第2のネット・タイミング・エラー限界値を決定する
    ための前記手段に反応して、該第2の複数のネットにつ
    いての該第2のネット・タイミング・エラー限界値を、
    第2のネット・タイミング・エラー許容値に対してフィ
    ルタリングして、該第2の複数のネットの内から、該第
    2のネット・タイミング・エラー許容値より大きい第2
    のネット・タイミング・エラー限界値を持つネットを少
    なくとも一つ決定する手段と、 該第2のネット・タイミング・エラー限界値をフィルタ
    リングするための前記手段に反応して、該第2の複数の
    ネットの内の該少なくとも一つのネットをモデル化し
    て、該第2の複数のネットの内の該少なくとも一つのネ
    ットの該抵抗および容量の更新された推定値を、その各
    々の第1および第2の電気的モデルとは異なったその電
    気的モデルを用いて得る手段と、をさらに具備すること
    を特徴とする請求項16記載の装置。
  18. 【請求項18】 第1の複数のネットおよび該第1の複
    数のネットに接続された能動素子によって規定される複
    数のパスを包含する集積回路中のタイミング・クリティ
    カル・パスを識別する装置において、該装置が、 該回路中の該第1の複数のネットの各々をモデル化し
    て、該第1の複数のネットの抵抗および容量の第1の推
    定値を、その第1の電気的モデルを用いて得る手段と、 該第1の複数のネットをモデル化するための前記手段に
    反応して、該第1の複数のネットの各々について、該各
    々のネットを横断する電気信号と関連する第1のネット
    遅延およびネット・タイミング・エラー限界値を、該各
    々のネットの該抵抗および容量の該第1の推定値に基づ
    いて決定する手段と、 第1のネット遅延およびネット・タイミング・エラー限
    界値を決定するための前記手段に反応して、該第1の複
    数のネットに対する該ネット・タイミング・エラー限界
    値を、ネット・タイミング・エラー許容値に対してフィ
    ルタリングして、該ネット・タイミング・エラー許容値
    より大きいネット・タイミング・エラー限界値を各々が
    持つ第2の複数のネットを決定する手段と、 該ネット・タイミング・エラー限界値をフィルタリング
    するための前記手段に反応して、該第2の複数のネット
    をモデル化して、該第2の複数のネットの該抵抗および
    容量の第2の推定値を、その第2の電気的モデルを用い
    て得る手段と、 該第2の複数の音とをモデル化するための前記手段に反
    応して、該第2の複数のネットの各々について、該各々
    のネットを横断する電気信号に関連する第2のネット遅
    延を、該各々のネットの該抵抗および容量の該第2の推
    定値に基づいて決定する手段と、 第2のネット遅延を決定するための前記手段に反応し
    て、該複数のパスの各々に対する第1のパス遅延を、該
    第2の複数のネットにない第1の複数のネット内のネッ
    トについての該第1のネット遅延および該第2のネット
    遅延に基づいて決定する手段と、を具備することを特徴
    とする装置。
  19. 【請求項19】 第1のパス遅延を決定するための前記
    手段に反応して、該複数のパスの内から潜在的なタイミ
    ング・クリティカル・パスを、該複数のパスの内のいず
    れが予め定められたパス遅延を超えた各々の第1のパス
    遅延を持つか決定することによって識別する手段をさら
    に具備することを特徴とする請求項18記載の装置。
  20. 【請求項20】 該第1の複数のネットの内、少なくと
    も一つの潜在的なタイミング・クリティカル・パスにあ
    るネットに対する該ネット・タイミング・エラー限界値
    を、該ネット・タイミング・エラー許容値未満のパス・
    タイミング・エラー許容値に対してフィルタリングし
    て、該パス・タイミング・エラー許容値より大きなネッ
    ト・タイミング・エラー限界値を各々が持つ第3の複数
    のネットを決定する手段をさらに具備することを特徴と
    する請求項19記載の装置。
  21. 【請求項21】 該第3の複数のネットをモデル化し
    て、該第3の複数のネットの抵抗および容量の第3の推
    定値を、その第3の電気的モデルを用いて得る手段と、 該第3の複数のネットをモデル化する前記手段に反応し
    て、該第3の複数のネットの各々について該各々のネッ
    トを横断する電気信号を関連する第3のネット遅延を、
    該各々のネットの該抵抗および容量の該第3の推定値に
    基づいて決定する手段と、をさらに具備することを特徴
    とする請求項20記載の装置。
  22. 【請求項22】 該複数のパスの各々に対する第2のパ
    ス遅延を、該第2または第3の複数のネットにない該第
    1の複数のネットの中のネットについての該第1ネット
    遅延と、該第3のネット遅延と、該第2のネット遅延と
    に基づいて決定する手段をさらに具備することを特徴と
    する請求項21記載の装置。
  23. 【請求項23】 集積回路中の能動素子を相互接続する
    ネットを抽出する装置であって、 第1の複数のネットおよびこれらに接続された能動素子
    の動作を、その第1の電気的モデルに基づいた時間の関
    数としてシミュレートして、該第1の複数のネットの各
    々と関連する潜在的なタイミング遅延の推定範囲を得る
    手段と、 前記シミュレーション手段に反応して、該第1の複数の
    ネットの各々と関連した潜在的なタイミング遅延の該推
    定範囲を、予め定められた許容範囲に対してフィルタリ
    ングして、該第1の複数のネットの内から、該予め定め
    られた範囲を超える推定範囲を持つネットを少なくとも
    一つ決定する手段と、 前記フィルタリング手段に反応して、該少なくとも一つ
    のネットおよびこれと接続されている能動素子の動作
    を、その該各々の第1の電気的モデルとは異なった該少
    なくとも一つのネットの電気的モデルに基づいた時間の
    関数としてシミュレートして、該各々の推定範囲内の該
    少なくとも一つのネットを関連するタイミング遅延の推
    定値を得る手段と、を具備することを特徴とする装置。
  24. 【請求項24】 請求項1から14までのいずれかに記
    載の方法を実行するコンピュータ・プログラムを記憶す
    るコンピュータ用読み出し可能記憶媒体。
JP9251352A 1996-08-30 1997-09-01 レイアウト・クリティカルなネット用のタイミング・エラー限界値をフィルタリングすることにより、マイクロエレクトロニクス回路のレイアウト後検証を実行する方法、装置およびコンピュータ・プログラム製品 Pending JPH10207937A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/706,182 US5896300A (en) 1996-08-30 1996-08-30 Methods, apparatus and computer program products for performing post-layout verification of microelectronic circuits by filtering timing error bounds for layout critical nets
US08/706,182 1996-08-30

Publications (1)

Publication Number Publication Date
JPH10207937A true JPH10207937A (ja) 1998-08-07

Family

ID=24836538

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9251352A Pending JPH10207937A (ja) 1996-08-30 1997-09-01 レイアウト・クリティカルなネット用のタイミング・エラー限界値をフィルタリングすることにより、マイクロエレクトロニクス回路のレイアウト後検証を実行する方法、装置およびコンピュータ・プログラム製品

Country Status (2)

Country Link
US (2) US5896300A (ja)
JP (1) JPH10207937A (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6317865B1 (en) 1998-10-23 2001-11-13 Mitsubishi Denki Kabushiki Kaisha Wiring-capacitance improvement aid device aiding in improvement of points having wiring-capacitance attributable error only with layout modification, method thereof, and medium having a program therefor recorded therein
US6654939B2 (en) 2001-06-20 2003-11-25 Mitsubishi Denki Kabushiki Kaisha Method of designing logic circuit, and computer product
US6907394B1 (en) 1999-05-17 2005-06-14 Elpida Memory, Inc. Device for simulating circuits, method for simulating the same, and recording medium
US7735053B2 (en) 2006-06-29 2010-06-08 Sharp Kabushiki Kaisha Correction method and correction system for design data or mask data, validation method and validation system for design data or mask data, yield estimation method for semiconductor integrated circuit, method for improving design rule, mask production method, and semiconductor integrated circuit production method

Families Citing this family (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1092942A (ja) * 1996-09-18 1998-04-10 Toshiba Corp 半導体集積回路の最適化装置とその最適化方法
US6185722B1 (en) * 1997-03-20 2001-02-06 International Business Machines Corporation Three dimensional track-based parasitic extraction
JPH10313058A (ja) * 1997-05-13 1998-11-24 Toshiba Corp 半導体集積回路設計装置、半導体集積回路設計方法、半導体集積回路設計プログラムを記録したコンピュータ読み取り可能な記録媒体、及び、半導体集積回路製造方法
US6182269B1 (en) * 1997-08-06 2001-01-30 Lsi Logic Corporation Method and device for fast and accurate parasitic extraction
US6360350B1 (en) * 1997-10-07 2002-03-19 International Business Corporation Method and system for performing circuit analysis on an integrated-circuit design having design data available in different forms
US6249903B1 (en) * 1998-03-31 2001-06-19 Mcsherry Michael C. Method and apparatus for generating and maintaining electrical modeling data for a deep sub-micron integrated circuit design
US6230299B1 (en) * 1998-03-31 2001-05-08 Mentor Graphics Corporation Method and apparatus for extracting and storing connectivity and geometrical data for a deep sub-micron integrated circuit design
US6230302B1 (en) * 1998-07-20 2001-05-08 International Business Machines Corporation Method and system for performing timing analysis on an integrated circuit design
US6339836B1 (en) 1998-08-24 2002-01-15 Mentor Graphics Corporation Automated design partitioning
US6108494A (en) * 1998-08-24 2000-08-22 Mentor Graphics Corporation Optimizing runtime communication processing between simulators
JP4515544B2 (ja) * 1998-09-25 2010-08-04 株式会社日立製作所 半導体集積回路の配線条件処理方法
US6330704B1 (en) * 1999-02-09 2001-12-11 Coyote Systems, Inc. Method and apparatus for three dimensional interconnect analysis
US6553550B1 (en) * 1999-03-05 2003-04-22 Peter Menegay Method and apparatus for computing delay correlation effects in digital circuits
US6269470B1 (en) 1999-05-27 2001-07-31 Sun Microsystems, Inc. Efficient routing of conductors between datapaths
US6507807B1 (en) * 1999-08-13 2003-01-14 Hewlett-Packard Company Method and apparatus for determining which branch of a network of an integrated circuit has the largest total effective RC delay
US20040111247A1 (en) * 1999-08-31 2004-06-10 Synopsys, Inc. Optimization of timing models using bus compression
US20020144230A1 (en) * 1999-09-22 2002-10-03 Dupont Photomasks, Inc. System and method for correcting design rule violations in a mask layout file
JP2001093982A (ja) * 1999-09-22 2001-04-06 Hitachi Ltd 配線容量計算方法、クロストークディレイ計算方法、およびそれらのデータを記憶したコンピュータ読み取り可能な記憶媒体
US6704697B1 (en) * 1999-11-18 2004-03-09 Synopsys, Inc. Unified timing analysis for model interface layout parasitics
US6542834B1 (en) * 1999-11-24 2003-04-01 Lsi Logic Corporation Capacitance estimation
US6281736B1 (en) 1999-12-02 2001-08-28 Sun Microsystems, Inc. Method and circuitry for soft fuse row redundancy with simple fuse programming
US6845346B1 (en) * 1999-12-09 2005-01-18 Intel Corporation Iterative method of parasitics estimation for integrated circuit designs
US6804810B1 (en) * 2000-02-21 2004-10-12 Hewlett-Packard Development Company, L.P. Resistance and capacitance estimation
US6714902B1 (en) * 2000-03-02 2004-03-30 Cadence Design Systems, Inc. Method and apparatus for critical and false path verification
US6449754B1 (en) * 2000-03-24 2002-09-10 Sun Microsystems, Inc. Method of measuring the accuracy of parasitic capacitance extraction
US6434729B1 (en) * 2000-04-04 2002-08-13 International Business Machines Corporation Two moment RC delay metric for performance optimization
DE10025583A1 (de) * 2000-05-24 2001-12-06 Infineon Technologies Ag Verfahren zur Optimierung integrierter Schaltungen, Vorrichtung zum Entwurf von Halbleitern und Programmobjekt zum Entwerfen integrierter Schaltungen
US6581018B1 (en) 2000-07-26 2003-06-17 Sun Microsystems, Inc. Multiplexer select line exclusivity check method and apparatus
JP4493173B2 (ja) * 2000-07-27 2010-06-30 株式会社ルネサステクノロジ バックアノテーション方法
US6418551B1 (en) 2000-08-03 2002-07-09 Avant! Corporation Design rule checking tools and methods that use waiver layout patterns to waive unwanted dimensional check violations
KR100652258B1 (ko) * 2000-09-22 2006-11-30 시티즌 워치 콤파니, 리미티드 자동 선반
US6553390B1 (en) * 2000-11-14 2003-04-22 Advanced Micro Devices, Inc. Method and apparatus for simultaneous online access of volume-managed data storage
DE10103300B4 (de) * 2001-01-25 2009-01-22 Qimonda Ag Verfahren zur Bestimmung von Widerständen und Kapazitäten eines Schaltplans, der eine elektrische Schaltung repräsentiert
US6598213B1 (en) * 2001-04-16 2003-07-22 Lsi Logic Corporation Static timing analysis validation tool for ASIC cores
US6519747B2 (en) * 2001-04-18 2003-02-11 Sun Microsystems, Inc. Method and apparatus for defining signal timing for an integrated circuit device
US20030009318A1 (en) * 2001-06-25 2003-01-09 International Business Machines Corp. Method of utilizing timing models to provide data for static timing analysis of electronic circuits
US6532577B1 (en) * 2001-06-27 2003-03-11 Lsi Logic Corporation Timing driven interconnect analysis
JP2003099495A (ja) * 2001-09-25 2003-04-04 Fujitsu Ltd 集積回路の設計システム、集積回路の設計方法およびプログラム
US20030106030A1 (en) * 2001-12-03 2003-06-05 Keller S. Brandon Method and program product for compressing an electronic circuit model
US7082587B2 (en) * 2001-12-18 2006-07-25 Cadence Design Systems, Inc. Method of estimating path delays in an IC
US6901570B2 (en) 2002-03-12 2005-05-31 International Business Machines Corporation Method of generating optimum skew corners for a compact device model
US20030182640A1 (en) * 2002-03-20 2003-09-25 Alani Alaa F. Signal integrity analysis system
US7840803B2 (en) * 2002-04-16 2010-11-23 Massachusetts Institute Of Technology Authentication of integrated circuits
US6711730B2 (en) * 2002-05-13 2004-03-23 Hewlett-Packard Development Company, L.P. Synthesizing signal net information from multiple integrated circuit package models
US7073154B1 (en) * 2002-05-21 2006-07-04 Altera Corporation Apparatus and methods for interconnect zones and associated cells in integrated circuits
US6887791B2 (en) * 2002-06-06 2005-05-03 Cadence Design Systems, Inc. Optimization methods for on-chip interconnect geometries suitable for ultra deep sub-micron processes
US7043711B2 (en) * 2002-06-26 2006-05-09 Polar Semiconductor, Inc. System and method for defining semiconductor device layout parameters
US6810505B2 (en) * 2002-07-10 2004-10-26 Lsi Logic Corporation Integrated circuit design flow with capacitive margin
US6757876B2 (en) * 2002-07-15 2004-06-29 International Business Machines Corporation Method for use of hierarchy in extraction
US6807657B2 (en) 2002-07-19 2004-10-19 Hewlett-Packard Development Company, L.P. Inter-signal proximity verification in an integrated circuit
US6769102B2 (en) * 2002-07-19 2004-07-27 Hewlett-Packard Development Company Verifying proximity of ground metal to signal traces in an integrated circuit
US6922822B2 (en) * 2002-07-19 2005-07-26 Hewlett-Packard Development Company, L.P. Verifying proximity of ground vias to signal vias in an integrated circuit
US6766498B2 (en) 2002-08-28 2004-07-20 Advanced Micro Devices, Inc. Extracting wiring parasitics for filtered interconnections in an integrated circuit
US6981238B1 (en) 2002-10-22 2005-12-27 Cypress Semiconductor Corporation Verification of integrated circuit designs using buffer control
JP2004165572A (ja) * 2002-11-15 2004-06-10 Sanyo Electric Co Ltd 半導体集積回路のレイアウト設計装置、レイアウト設計方法及びレイアウト設計プログラム
JP2004171076A (ja) * 2002-11-18 2004-06-17 Sanyo Electric Co Ltd 半導体集積回路のレイアウト設計装置、レイアウト設計方法及びレイアウト設計プログラム
US7630873B2 (en) * 2003-02-26 2009-12-08 Tokyo Electron Limited Approximating eigensolutions for use in determining the profile of a structure formed on a semiconductor wafer
JP2004326654A (ja) * 2003-04-28 2004-11-18 Renesas Technology Corp 配線構造決定方法
US6925625B2 (en) * 2003-06-12 2005-08-02 Sun Microsystems, Inc. Method and apparatus for grouping flip-flops by timing
US7093219B1 (en) * 2003-11-20 2006-08-15 Altera Corporation Techniques for using edge masks to perform timing analysis
US7243313B1 (en) * 2003-11-24 2007-07-10 Cadence Design Systems, Inc. System and method for reducing the size of RC circuits
US7735048B1 (en) * 2003-11-24 2010-06-08 Cadence Design Systems, Inc. Achieving fast parasitic closure in a radio frequency integrated circuit synthesis flow
US7080340B2 (en) * 2003-11-26 2006-07-18 International Business Machines Corporation Interconnect-aware integrated circuit design
US7308664B1 (en) 2004-02-09 2007-12-11 Altera Corporation Method and apparatus for utilizing long-path and short-path timing constraints in an electronic-design-automation tool for routing
US20050210428A1 (en) * 2004-03-18 2005-09-22 Keller S B System and method for flattening hierarchical designs in VLSI circuit analysis tools
US7124380B2 (en) * 2004-03-18 2006-10-17 Hewlett-Packard Development Company, L.P. System and method for controlling analysis of multiple instantiations of circuits in hierarchical VLSI circuit designs
US20050210430A1 (en) * 2004-03-18 2005-09-22 Keller S B System and method to optimize logical configuration relationships in VLSI circuit analysis tools
US20050210429A1 (en) * 2004-03-18 2005-09-22 Keller S B System and method to limit runtime of VLSI circuit analysis tools for complex electronic circuits
US20050210427A1 (en) * 2004-03-18 2005-09-22 Keller S B System and method for facilitating efficient application of logical configuration information in VLSI circuit analysis tools
US20050210426A1 (en) * 2004-03-18 2005-09-22 Keller S B System and method to prioritize and selectively apply configuration information for VLSI circuit analysis tools
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
US20050249479A1 (en) * 2004-05-04 2005-11-10 Frank Mark D System and method for determining signal coupling coefficients for vias
US7137088B2 (en) * 2004-05-04 2006-11-14 Hewlett-Packard Development Company, L.P. System and method for determining signal coupling coefficients for lines
US20050251769A1 (en) * 2004-05-04 2005-11-10 Frank Mark D System and method for determining signal coupling in a circuit design
US7640154B1 (en) * 2004-09-20 2009-12-29 The Math Works, Inc. Modeling feedback loops using a discrete event execution modeling environment
US8620629B1 (en) 2004-09-20 2013-12-31 The Mathworks, Inc. Identification and simulation of multiple subgraphs in multi-domain graphical modeling environment
US7318208B2 (en) * 2004-10-18 2008-01-08 Texas Instruments Incorporated Method for circuit sensitivity driven parasitic extraction
WO2006071380A2 (en) * 2004-11-12 2006-07-06 Pufco, Inc. Securely field configurable device
DE102004055457A1 (de) * 2004-11-17 2006-05-24 Infineon Technologies Ag Verfahren, Computerprogrammprodukt und Vorrichtung zum Überprüfen eines Schaltungslayouts für eine Halbleitervorrichtung
JP2006184938A (ja) * 2004-12-24 2006-07-13 Matsushita Electric Ind Co Ltd 物理情報抽出反映方法、これを用いた物理情報付階層回路情報および、回路設計方法
US20070008004A1 (en) * 2005-07-11 2007-01-11 Vikram Santurkar Apparatus and methods for low-power routing circuitry in programmable logic devices
US20080028353A1 (en) * 2006-07-18 2008-01-31 Ning Lu Method for treating parasitic resistance, capacitance, and inductance in the design flow of integrated circuit extraction, simulations, and analyses
KR100831271B1 (ko) * 2006-08-16 2008-05-22 동부일렉트로닉스 주식회사 물리적 레이어의 프로그램적 생성을 통한 물리적 레이아웃 데이터를 변경하는 방법
KR100874918B1 (ko) * 2007-03-02 2008-12-19 삼성전자주식회사 응력 영향을 고려한 집적회로 시뮬레이션 방법
US7739095B2 (en) * 2007-03-13 2010-06-15 Synopsys, Inc. Method for determining best and worst cases for interconnects in timing analysis
US8224637B1 (en) * 2007-04-02 2012-07-17 Xilinx, Inc. Method and apparatus for modeling transistors in an integrated circuit design
US8661385B1 (en) * 2007-09-07 2014-02-25 Altera Corporation Method and apparatus for performing delay annotation
US7900174B2 (en) * 2008-05-19 2011-03-01 Interra Systems Inc Method and system for characterizing an integrated circuit design
US20110078649A1 (en) * 2009-09-30 2011-03-31 Ssu-Pin Ma Wafer layout assisting method and system
US8479131B2 (en) 2011-03-02 2013-07-02 International Business Machines Corporation Method of determining FET source/drain wire, contact, and diffusion resistances in the presence of multiple contacts
JP5747734B2 (ja) * 2011-08-17 2015-07-15 富士通株式会社 遅延時間計算プログラム、装置及び方法
US8589846B2 (en) * 2011-12-02 2013-11-19 Synopsys, Inc. Modeling transition effects for circuit optimization
KR20140133123A (ko) * 2013-05-09 2014-11-19 삼성디스플레이 주식회사 지연 파라미터 추출 장치 및 방법
US9753798B1 (en) * 2015-02-11 2017-09-05 Microsemi Solutions (U.S.), Inc. Method and apparatus for electronic design automation
US10169514B2 (en) * 2017-01-18 2019-01-01 International Business Machines Corporation Approximation of resistor-capacitor circuit extraction for thread-safe design changes
US10289786B1 (en) * 2017-06-27 2019-05-14 Xilinx, Inc. Circuit design transformation for automatic latency reduction

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5095454A (en) * 1989-05-25 1992-03-10 Gateway Design Automation Corporation Method and apparatus for verifying timing during simulation of digital circuits
JP2854733B2 (ja) * 1991-08-23 1999-02-03 三菱電機株式会社 遅延時間計算装置及び遅延時間計算方法
US5467291A (en) * 1991-09-09 1995-11-14 Hewlett-Packard Company Measurement-based system for modeling and simulation of active semiconductor devices over an extended operating frequency range
JPH05198593A (ja) * 1992-01-22 1993-08-06 Hitachi Ltd パラメータ抽出方法
US5349659A (en) * 1992-01-23 1994-09-20 Cadence Design Systems, Inc. Hierarchical ordering of logical elements in the canonical mapping of net lists
JP2776120B2 (ja) * 1992-03-10 1998-07-16 日本電気株式会社 集積回路の電源配線布設方法
US5281558A (en) * 1992-11-02 1994-01-25 Cadence Design Systems, Inc. Cloning method and system for hierarchical compaction
AU6774894A (en) * 1993-04-26 1994-11-21 Comdisco Systems, Inc. Method for scheduling synchronous data flow graphs
US5550750A (en) * 1993-05-28 1996-08-27 Mentor Graphics Corporation Method and system for integrating component analysis with multiple component placement
US5455928A (en) * 1993-06-14 1995-10-03 Cadence Design Systems, Inc. Method for modeling bidirectional or multiplicatively driven signal paths in a system to achieve a general purpose statically scheduled simulator
US5461576A (en) * 1993-09-01 1995-10-24 Arcsys, Inc. Electronic design automation tool for the design of a semiconductor integrated circuit chip
US5469366A (en) * 1993-09-20 1995-11-21 Lsi Logic Corporation Method and apparatus for determining the performance of nets of an integrated circuit design on a semiconductor design automation system
EP0660268A3 (en) * 1993-10-15 1996-01-31 Cadence Design Systems Process for displaying an electronic model.
US5568396A (en) * 1994-01-21 1996-10-22 Cadence Design Systems, Inc. Identifying overconstraints using port abstraction graphs
US5548524A (en) * 1994-04-06 1996-08-20 Cadence Design Systems, Inc. Expression promotion for hierarchical netlisting
US5623419A (en) * 1994-04-28 1997-04-22 Cadence Design Systems, Inc. Modeling of multi-disciplinary signals
US5559718A (en) * 1994-04-28 1996-09-24 Cadence Design Systems, Inc. System and method for model-based verification of local design rules
US5475605A (en) * 1994-05-26 1995-12-12 Cadence Design Systems, Inc. Timing analysis for logic optimization using target library delay values
US5568395A (en) * 1994-06-29 1996-10-22 Lsi Logic Corporation Modeling and estimating crosstalk noise and detecting false logic
US5604680A (en) * 1994-08-15 1997-02-18 Cadence Design Systems, Inc. Virtual interface representation of hierarchical symbolic layouts
US5590049A (en) * 1994-09-07 1996-12-31 Cadence Design Systems, Inc. Method and system for user programmable design verification for printed circuit boards and multichip modules
US5625565A (en) * 1994-09-09 1997-04-29 Cadence Design Systems, Inc. System and method for generating a template for functional logic symbols
US5903469A (en) * 1994-11-08 1999-05-11 Synopsys, Inc. Method of extracting layout parasitics for nets of an integrated circuit using a connectivity-based approach
US5636132A (en) * 1994-11-22 1997-06-03 Mentor Graphics Corporation Method and apparatus for constraining the compaction of components of a circuit layout
US5610832A (en) * 1994-11-22 1997-03-11 Mentor Graphics Corporation Integrated circuit design apparatus with multiple connection modes
US5592392A (en) * 1994-11-22 1997-01-07 Mentor Graphics Corporation Integrated circuit design apparatus with extensible circuit elements
US5625564A (en) * 1995-01-13 1997-04-29 Cadence Design Systems, Inc. System and method for hierarchical device extraction
US5689432A (en) * 1995-01-17 1997-11-18 Motorola, Inc. Integrated circuit design and manufacturing method and an apparatus for designing an integrated circuit in accordance with the method
US5649166A (en) * 1995-04-24 1997-07-15 Cadence Design Systems, Inc. Dominator selection method for reducing power consumption in a circuit
US5574388A (en) * 1995-10-13 1996-11-12 Mentor Graphics Corporation Emulation system having a scalable multi-level multi-stage programmable interconnect network
US5790415A (en) * 1996-04-10 1998-08-04 Pullela; Satyamurthy Complementary network reduction for load modeling
US5691910A (en) * 1996-06-10 1997-11-25 Lsi Logic Corporation Generic gate level model for characterization of glitch power in logic cells
US6110219A (en) * 1997-03-28 2000-08-29 Advanced Micro Devices, Inc. Model for taking into account gate resistance induced propagation delay
US5963729A (en) * 1997-06-26 1999-10-05 Sun Microsystems Inc. Method for automated electromigration verification
US6038383A (en) * 1997-10-13 2000-03-14 Texas Instruments Incorporated Method and apparatus for determining signal line interconnect widths to ensure electromigration reliability
US6028989A (en) * 1998-04-13 2000-02-22 International Business Machines Corporation Calculating crosstalk voltage from IC craftsman routing data

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6317865B1 (en) 1998-10-23 2001-11-13 Mitsubishi Denki Kabushiki Kaisha Wiring-capacitance improvement aid device aiding in improvement of points having wiring-capacitance attributable error only with layout modification, method thereof, and medium having a program therefor recorded therein
US6907394B1 (en) 1999-05-17 2005-06-14 Elpida Memory, Inc. Device for simulating circuits, method for simulating the same, and recording medium
US6654939B2 (en) 2001-06-20 2003-11-25 Mitsubishi Denki Kabushiki Kaisha Method of designing logic circuit, and computer product
US7735053B2 (en) 2006-06-29 2010-06-08 Sharp Kabushiki Kaisha Correction method and correction system for design data or mask data, validation method and validation system for design data or mask data, yield estimation method for semiconductor integrated circuit, method for improving design rule, mask production method, and semiconductor integrated circuit production method

Also Published As

Publication number Publication date
US6286126B1 (en) 2001-09-04
US5896300A (en) 1999-04-20

Similar Documents

Publication Publication Date Title
JPH10207937A (ja) レイアウト・クリティカルなネット用のタイミング・エラー限界値をフィルタリングすることにより、マイクロエレクトロニクス回路のレイアウト後検証を実行する方法、装置およびコンピュータ・プログラム製品
US7761828B2 (en) Partitioning electronic circuit designs into simulation-ready blocks
US20070276645A1 (en) Power modelling in circuit designs
US6026228A (en) Integrated circuit design method, database apparatus for designing integrated circuit and integrated circuit design support apparatus
US6499131B1 (en) Method for verification of crosstalk noise in a CMOS design
US6272668B1 (en) Method for cell swapping to improve pre-layout to post-layout timing
US5933356A (en) Method and system for creating and verifying structural logic model of electronic design from behavioral description, including generation of logic and timing models
US6080201A (en) Integrated placement and synthesis for timing closure of microprocessors
US8051397B2 (en) Method and system for conducting design explorations of an integrated circuit
US10558780B1 (en) Methods, systems, and computer program product for implementing schematic driven extracted views for an electronic design
KR20040034613A (ko) 계층적 집적회로 디자인 시스템에서 변조하기 위한 디자인제약을 생성하는 방법
US10467370B1 (en) Methods, systems, and computer program product for implementing a net as a transmission line model in a schematic driven extracted view for an electronic design
JP3005538B1 (ja) 機能ブロックのモデル作成によるlsi設計システム及びそのlsi設計方法
TWI789911B (zh) 用於電容值提取的系統、方法及儲存媒體
JP2005158075A (ja) 相互接続対応の集積回路設計
US8091052B2 (en) Optimization of post-layout arrays of cells for accelerated transistor level simulation
US10346573B1 (en) Method and system for performing incremental post layout simulation with layout edits
US7117465B2 (en) Application of the retimed normal form to the formal equivalence verification of abstract RTL descriptions for pipelined designs
US20120304135A1 (en) Method and apparatus for precision tunable macro-model power analysis
US20090150138A1 (en) Apparatus and method for analyzing circuit
Benkoski et al. The role of timing verification in layout synthesis
US6701496B1 (en) Synthesis with automated placement information feedback
US7689953B1 (en) Method and apparatus for determining cell-based timing elements from a transistor-level design
US7191412B1 (en) Method and apparatus for processing a circuit description for logic simulation
Lienig et al. Methodologies for Physical Design: Models, Styles, Tasks, and Flows