JPH10189467A - ドープ酸化シリコン膜を用いて超薄ドープ領域を形成する方法及び装置 - Google Patents

ドープ酸化シリコン膜を用いて超薄ドープ領域を形成する方法及び装置

Info

Publication number
JPH10189467A
JPH10189467A JP9325496A JP32549697A JPH10189467A JP H10189467 A JPH10189467 A JP H10189467A JP 9325496 A JP9325496 A JP 9325496A JP 32549697 A JP32549697 A JP 32549697A JP H10189467 A JPH10189467 A JP H10189467A
Authority
JP
Japan
Prior art keywords
chamber
gas
film
heater
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP9325496A
Other languages
English (en)
Other versions
JP4365459B2 (ja
Inventor
Ii Elli
イー エリー
Shia Riichun
シア リ−チュン
G Paul
ジー ポール
Newen Bang
ニューエン バング
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH10189467A publication Critical patent/JPH10189467A/ja
Application granted granted Critical
Publication of JP4365459B2 publication Critical patent/JP4365459B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2255Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer comprising oxides only, e.g. P2O5, PSG, H3BO3, doped oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31625Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76237Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials introducing impurities in trench side or bottom walls, e.g. for forming channel stoppers or alter isolation behavior
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】 【課題】 厚さの均一性、良好なギャップフィル性能、
高密度、低水分を有する誘電膜を形成する高温堆積、加
熱及び効率のよい洗浄のシステム、方法及び装置の提
供。 【解決手段】 約100〜760torrの圧力のチャンバ
内でシリコン、酸素及びドーパントの反応から少なくと
も500℃の温度のヒータ上の基板上に、ドーパント原
子を含むドープ酸化シリコン膜を堆積させる工程;及び
前記ドーパント原子を前記基板内に拡散させるために前
記ドープ酸化シリコン膜を加熱して前記超薄ドープ領域
を形成する工程を含むチャンバ内で基板の超薄ドープ領
域を形成する方法を用いる。

Description

【発明の詳細な説明】
【0001】関連出願のクロス・リファレンス 本出願は、共同発明者としてJonathan Frankel, Hari P
onnekanti, Inna Shmurun & Visweswaren Sivaramakris
hnanが挙げられた『高温処理チャンバ用ヒータ/リフト
アセンブリ』と称する同時出願及び共同譲渡された特許
出願;共同発明者として Jonathan Frankel & Visweswa
ren Sivaramakrishnanが挙げられた『高温処理チャンバ
用チャンバライナー』と称する同時出願及び共同譲渡さ
れた特許出願;Gary Fong & Irwin Silvestre が挙げら
れた『リモートプラズマ系を底に取り付けた基板処理装
置』と称する同時出願及び共同譲渡された特許出願;発
明者としてJonathan Frankelが挙げられた『高温処理チ
ャンバ用リフトアセンブリ』と称する同時出願及び共同
譲渡された特許出願;共同発明者としてVisweswaren Si
varamakrishnan & Gary Fongが挙げられた『熱(非プラ
ズマ)処理においてチャンバ洗浄の終わりを検出するシ
ステム及び方法』と称する同時出願及び共同譲渡された
特許出願;共同発明者としてJonathan Frankel, Inna S
hmurun, Visweswaren Sivaramakrishnan & Eugene Fuks
hanskiが挙げられた『高温処理チャンバ用リッドアセン
ブリ』と称する同時出願及び共同譲渡された特許出願;
共同発明者として Gary Fong, Li-Qun Xia, Srinivas N
emani & Ellie Yiehが挙げられた『基板処理システムに
おける表面の洗浄方法及び装置』と称する同時出願及び
共同譲渡された特許出願;共同発明者として Li-Qun Xi
a, Visweswaren Sivaramakrishnan, Srinivas Nemani,
Ellie Yieh & Gary Fongが挙げられた『チャンバ材料表
面からフッ素をゲッタリングする方法及び装置』と称す
る同時出願及び共同譲渡された特許出願;共同発明者と
してLi-Qun Xia, Ellie Yieh & SrinivasNemaniが挙げ
られた『大気圧未満及び高温条件で含金属誘電層を堆積
する方法及び装置』と称する同時出願及び共同譲渡され
た特許出願;共同発明者としてEllie Yieh, Li-Qun Xia
& Srinivas Nemaniが挙げられた『浅いトレンチ分離の
ための方法及び装置』と称する同時出願及び共同譲渡さ
れた特許出願;発明者としてJonathan Frankelが挙げら
れた『気相成長装置の温度を制御するシステム及び方
法』と称する同時出願及び共同譲渡された特許出願;共
同発明者として Gary Fong, Fong Chang & Long Nguyen
が挙げられた『マイクロ波洗浄用前安定化プラズマ生成
のための方法及び装置』と称する同時出願及び共同譲渡
された特許出願;共同発明者としてVisweswaren Sivara
makrishnan, Ellie Yieh, Jonathan Frankel, Li-Qun X
ia, Gary Fong, Srinivas Nemani, Irwin Silvestre, I
nna Shmurun & Tim Levine挙げられた『半導体ウェハの
高温処理システム及び方法』と称する同時出願及び共同
譲渡された特許出願に関する。上記の参考出願の各々は
本発明の譲受人の Applied Materials社に譲渡され、そ
れらの明細書の記載は本願明細書に含まれるものとす
る。
【0002】発明の背景 本発明は、半導体処理に関する。更に詳細には、本発明
は、約500℃より高い温度で高アスペクト比の特徴に
わたって含水量が少なくかつ縮みの小さい誘電膜を形成
する方法及び装置に関する。本発明の実施例は、ホウリ
ンケイ酸塩ガラス(BPSG)膜、ホウケイ酸塩(BS
G)膜又はリンケイ酸塩ガラス(PSG)膜のようなド
ープ誘電膜を堆積しかつ例えば、ソース/ドレーン接合
部分又は浅いトレンチ分離のチャネルストップ拡散部分
として用いられる超薄ドープ領域を形成するのに特に有
用である。更に、本発明の実施例は、含金属誘電(PM
D)層、金属間誘電(IMD)層又は他の誘電層として
用いられるドープ誘電膜を堆積させるために用いられ
る。本発明の他の実施例は、浅いトレンチ分離充填酸化
物として用いられる非ドープケイ酸塩ガラス(USG)
膜、絶縁層、キャッピング層又は他の層の非ドープ誘電
膜を堆積するために用いられる。
【0003】最新の半導体デバイスを製造するのに主要
なステップの1つは、半導体基板上に誘電層を形成する
ステップである。周知のように、かかる誘電層は化学気
相成長(CVD)によって堆積される。従来のCVD熱
処理においては、反応性ガスが基板表面に供給され、熱
誘導化学反応(均一又は不均一)が起こって所望の膜を
生成する。従来のプラズマ処理においては、所望の膜を
生成する反応性物質を分解及び/又はエネルギーを与え
るために制御されたプラズマが生成される。通常、熱及
びプラズマ処理における反応速度は次の温度、圧力及び
反応ガスの流速の1種以上を制御することにより制御さ
れる。
【0004】半導体デバイスの形は、かかるデバイスが
数十年前に始めて導入されて以来サイズが劇的に小さく
なった。それ以来、集積回路は、一般的には、チップに
取り付けるデバイスの数が2年毎に2倍になることを意
味する2年/半サイズ方式(たいていムーアの法則と呼
ばれる)に従ってきた。今日のウェハ製造プラントは、
通常、0.5μm 及び0.35μm さえの特徴サイズデバ
イスを製造しており、明日のプラントは、まもなく、更
に小さな特徴サイズをもつデバイスを製造するであろ
う。デバイスの特徴サイズが小さくなり集積密度が高く
なるにつれて、以前には製造業で重要と見なされなかっ
た問題点が関係するようになっている。特に、集積密度
が著しく高いデバイスは高(例えば、約3:1又は4:
1より大きい)アスペクト比をもつ特徴がある。(アス
ペクト比は2つの段差部の間隔に対する高さの比として
定義される。) 質の高いデバイスを製造するためにそれらの高集積デバ
イスを製造するのにますます厳重な処理が要求され、従
来の基板処理システムはそれらの要求を満たすのに不十
分になっている。1つは、かかるデバイスの製造方法に
おいて形成された誘電膜が実質的にギャップ又はボイド
を残さずにそれらの高アスペクト比の特徴にわたって均
一に堆積されなければならないことが要求される。もう
1つは、続いての加熱及び/又はウェットエッチングス
テップの堆積膜にボイドが見えてこないようにそれらの
膜の縮みを小さくしなければならないことが要求され
る。しかしながら、典型的には約450℃未満の温度で
誘電膜を堆積する従来の基板処理システムは、後続の加
熱及び/又はウェットエッチングステップにおいて実質
的にボイドを開けずにギャップ充填能力の良好な低水分
膜を製造することが不可能である。周知のように、それ
らのギャップ又はボイドはデバイス性能の信頼性がない
こと及び他の問題の原因になるものである。かかるデバ
イスにおいて、例えば、PMD又はIMD層として用い
られる誘電膜は、それらのギャップ又はボイドによる問
題を避けるために良好な高アスペクトギャップ充填能力
を必要とする。更に、デバイスにおける短絡及び他の問
題を避けるために処理ステップでのウェハへの金属混入
を最少にすることが要求される。周知のように、処理中
にその場プラズマを用いる従来の基板処理システムは、
アルミニウム壁のようなチャンバ表面を攻撃するイオン
の物理的スパッタリングを経験し、基板の金属混入を生
じる。従って、その場プラズマの使用は望ましくない。
含水量が少ない、密度が高い、収縮が小さい、高アスペ
クト比ギャップ充填能力が良好な望ましい特性をもつ誘
電膜を得るためにその場プラズマを使用しない改良され
た基板処理システムが求められている。
【0005】それらの厳重な要求を満たすほかに、基板
処理システムは、デバイスの形を縮めつつ高集積デバイ
スに必要である超薄ドープ領域を形成する高い要求を満
たすことができなければならない。小さな形のデバイス
の出現で、半導体の超薄ドープ領域は、ソース/ドレー
ン接合部分、浅いトレンチ分離のチャネルストップ拡散
部分等を含む種々の用途に求められている。例えば、長
さが0.8μm 未満のチャネルを含むMOSデバイスは、
たいてい、十分なデバイス性能に対して深さが約250
ナノメートル(nm)のソース/ドレーン接合部分が必要
である。深さ約0.35μm のトレンチ分離構造によって
分けられるトランジスタについては、深さが数百nm程度
の超薄チャネルストップ領域が常に必要とされる。超薄
ドープ領域が必要である用途については、ドープ領域の
ドーパント分布が均一であり接合部分の深さの制御が良
好であることが重要である。
【0006】イオン注入及びガス拡散のような超薄ドー
プ領域を形成する現在の方法は、ある用途には不十分で
ある。それらの現在の方法において、ドーパント分布及
び接合の深さを制御する能力は、特にドープ領域が薄く
なるにつれて制限される。イオン注入のような方法にお
いては、ドーパン分布を制御することは半導体材料の表
面の密集濃度のイオンのために困難である。また、イオ
ン注入は半導体表面に損傷を引き起し、その基板損傷を
修復する方法はたいていドーパント分布及び超薄ドープ
領域の接合の深さを制御することを困難にする。例え
ば、相対的に高エネルギーレベルで衝撃したイオンは半
導体材料にトンネル又はチャネルを掘る傾向があり、点
欠陥のような損傷を引き起こす。不規則な及び不均一な
接合の深さをまねくそれらの点欠陥は、注入した半導体
材料を高温(約900℃より高い温度)でアニールを行
うことにより固定される。しかしながら、注入した半導
体材料のアニールを行うと接合の深さが所望のものを超
えることがある。ガス拡散のような方法においては、ド
ーパント分布及び接合の深さを制御すると超薄ドープ領
域を形成する点での制御が困難になる。技術がより小さ
な形のデバイスに進行するにつれて、ドーパントの均一
性及び超薄ドープ領域の接合の深さを制御することがで
きる代替的方法が求められている。
【0007】超薄ドープ領域を形成するにあたり、イオ
ン注入及びガス拡散の現在の方法の代替的方法はドーパ
ント拡散源としてドープ誘電膜の使用がある。その代替
的方法では、ドープ誘電膜は基板上に堆積し、超薄ドー
プ領域を形成するために基板に拡散されるドーパント源
として用いられる。例えば、ドープ誘電膜は成長チャン
バ内で500℃未満の温度で堆積し、引き続き、ドーパ
ント拡散を行うアニール電気炉のような別のチャンバ内
で500℃を超える温度で加熱されてドープ領域を形成
する。ドープ誘電膜の厚さ、均一性及び含水量の制御
は、半導体材料で超薄ドープ接合部分を効率よく形成す
るのに重要である。特に、堆積したドープ誘電膜の厚さ
及び均一性を制御すると拡散に利用できるドーパントの
量について制御される。拡散源として用いられるドープ
誘電膜の厚さを制限すると堆積(及び後続のエッチン
グ)時間を節約することによりウェハのスループットを
高めるのに役立つ。更に、ドーパントの均一性さえある
均一に堆積した膜は膜から基板へのドーパントの制御さ
れた拡散を与えることができる。周知のように、ドープ
誘電膜中の含水量は結晶構造で結合するドーパントと反
応し、ドープ領域を形成する基板への拡散に利用できる
ドーパントがわずかになる。それらの膜は拡散での使用
に利用できるドーパントが多いので含水量の少ないドー
プ誘電膜を用いることが望ましい。
【0008】ドーパント拡散源としてドープ誘電膜を用
いる場合、従来の基板処理システムはいくつかの問題に
直面する。1つの問題は、従来のシステムを用いてドー
プ誘電膜を堆積させる場合に膜の厚さ及び均一性につい
て高程度の制御を得ることが困難であることである。他
の問題は、ドープ誘電膜中のドーパントの十分な量が超
薄ドープ領域を形成する基板への拡散に利用できること
を行わせることがたいてい困難であることである。更
に、問題は未変性酸化物の存在であり、超薄ドープ領域
が形成される基板表面上でドーパントがドープ誘電膜か
ら基板へ拡散することを防止するバリヤ層として作用す
る。それらの問題は、下記に詳細に述べられる。
【0009】超薄ドープ領域を形成するドーパント拡散
源としてドープ誘電膜を使用するという利点にもかかわ
らず、従来の堆積システムを用いる場合に堆積ドープ誘
電膜の厚さ及び均一性を制御することが不可能であると
いう問題は、特に2つの主要な理由にかかわるものであ
る。第1に、従来の方法及び装置を用いて堆積ドープ誘
電膜の厚さ及び均一性を十分に制御できないと形成され
る超薄ドープ領域のドーパントの均一性及び接合の深さ
の制御能力が減少することになる。例えば、従来の連続
CVDチャンバでは、基板がベルト上に残り、チャンバ
の各部分を通って進む。チャンバの各部分ではある種の
厚さを有する層が堆積される。堆積膜の厚さはベルト速
度を変えることにより制御され、制御が限定される。更
に、異なるウェハ上に堆積した膜の厚さ及びドーパント
均一性についての制御は、ベルト速度を用いて膜の厚さ
及びドーパント濃度を制御することを試みる場合に困難
である。即ち、異なるウェハ上に堆積した膜の厚さが異
なりかつ予想できず、ウェハ間の信頼性がなくなる。第
2に、非常に薄い膜に対してさえ堆積ドーパント誘電膜
の厚さを制御することは全体の効率及び高ウェハスルー
プットに望ましいことである。しかしながら、従来の方
法は、数千オングストロームの程度の厚さでドープ誘電
膜を形成できるにすぎなかった。また、堆積膜の厚さを
制御するベルト速度による系を用いてできるだけ薄い堆
積膜の厚さを維持することは困難である。慣用的に堆積
した厚い膜においては、半導体材料に達する前に移る距
離が大きいのでいくらかのドーパントはもはや基板に拡
散するのに用いられない。また、エッチング又は他の手
法でドーパント拡散源として用いられたそのような厚い
膜を除去するとウェハを処理する合計時間がたいてい増
加する。効率を改善する製造業者の圧力を成長させつ
つ、堆積して除去するのに要する時間を短縮するために
できる限り薄いドープ誘電膜を形成することが望まし
い。ドーパント拡散源として用いられるドープ誘電膜の
厚さ及びドーパント均一性(ウェハ前後のドーパント変
化±0.2重量%で厚さ約500オングストローム未満)
を容易に制御できる方法及び装置があることが望まし
い。
【0010】超薄ドープ領域のドーパント拡散源として
ドープ誘電膜を用いることに伴う他の問題は、十分な量
のドーパントが基板への拡散に利用できなければならな
いことである。膜及び高濃度ドーパントは、たいてい、
超薄接合部分を形成する基板への均一な拡散に十分な量
のドーパントを与えることが求められる。しかしなが
ら、水分吸収及びガス抜きが十分なドーパント利用可能
性に関して2つの問題がある。ドープ誘電膜、特にドー
パント濃度の高いものは、ウェハがクリーンルームで周
囲水分に曝露されたすぐ後に水分を吸収する傾向がある
(例えば、多段プロセスにおいてドープ誘電膜の堆積後
の成長チャンバから次の処理ステップの異なる処理チャ
ンバへウェハが移される場合)。次に、吸収した水分は
誘電膜中のドーパントと反応し、膜を結晶化させる。膜
内にドーパントを結合する結晶構造のために、それらの
ドーパントは他のチャンバ内で急速熱処理又はアニール
を行うことによるウェハを続いて加熱した後でさえ基板
への拡散に利用できなくなる。従って、水分吸収は基板
への拡散に対するドーパントの量を減少する。水分吸収
の問題のほかに、ドープ誘電膜からのドーパントのガス
抜きも後続の加熱ステップで起こることがある。それら
のドーパントは、基板から離れて膜の外へ拡散し、超薄
ドープ領域を形成する基板へ拡散されるのに利用できる
ドーパントがわずかになる。
【0011】十分なドーパントが拡散に利用できる場合
でさえ、拡散源としてドープ誘電膜を用いる場合に未変
性酸化物が依然として重要な問題である。超薄ドープ領
域が形成される基板表面上に存在する未変性酸化物は、
シリコンへの有効な及び均一なドーパント拡散を妨げ
る。従って、ドーパントに対する拡散バリヤ層として作
用する未変性酸化物は除去されなければならない。未変
性酸化物の除去は、液体エッチング剤を用いるウェット
エッチング及びその場プラズマを用いるドライエッチン
グのような従来の手法を用いて行われてきた。しかしな
がら、液体エッチング剤を用いると、たいてい、制御が
困難でありかつ基板をオーバーエッチングすることがあ
る。ウェットエッチングのような従来の方法で未変性酸
化物が洗浄された基板は未変性酸化物が再び形成し始め
る約約1週間前未満の自己寿命があり、未変性酸化物が
除去されたすぐ後にウェハを処理することが望ましい。
ドライエッチングを用いて未変性酸化物をその場プラズ
マで除去すると基板の表面にプラズマ損傷が生じる。表
面プラズマ損傷を引き起こすほかに、その場プラズマド
ライエッチングは前に述べたように望ましくないことに
金属混入を生じることがある。従って、ドーパントが超
薄ドープ領域に対して均一に基板へ拡散することができ
るように基板表面を損傷せずに未変性酸化物を効率よく
除去することが重要である。
【0012】均一な厚さ及び金属混入の少ない高アスペ
クト比ギャップ充填能力をもつ密度の高い低水分誘電膜
のほかに、集積回路デバイスを製造するのに品質及び総
合効率の改善が重要である。デバイスを製造するのに品
質及び総合効率を改善する重要な方法は、効果的に及び
経済的にチャンバを洗浄することである。処理品質及び
総合効率を改善する製造業者の圧力を成長させつつ、ウ
ェハの品質を妥協することなく多段プロセスにおける全
ダウン時間を削除することは時間及び記憶双方を節約す
るのにますます重要になってきた。CVD処理中、処理
チャンバ内部に遊離した反応性ガスは、処理される基板
の表面上に酸化シリコン又は窒化シリコンのような層を
形成する。望ましくない酸化物の堆積は、ガス混合ボッ
クスとガス分配マニホールド間の領域のようなCVD装
置にも生じる。望まれていない酸化物残留物もかかるC
VDプロセス中に排気チャネル及び処理チャンバの壁の
中又は周りに堆積される。時間がたつにつれて、CVD
装置から残留物を洗浄することができなくなると、たい
てい、分解した信頼性のないプロセス及び欠陥基板が得
られる。CVD装置に蓄積した残留物からの不純物は、
基板上を移動することができる。基板上のデバイスに対
して損傷を引き起こす不純物の問題は、今日のますます
小さくなるデバイス寸法については特にかかわりがあ
る。従って、CVDシステムの保守は、処理する基板の
滑らかな操作に重要であり、デバイス歩留りの改良及び
良好な製品の性能を生じる。
【0013】高品質デバイスを生産するのにCVD系の
性能を改善するためにあらゆるNウェハの処理の間に定
期的なチャンバ洗浄がしばしば求められている。チャン
バ及び/又は基板を効率よく損傷させずに洗浄すると、
たいてい、生産されたデバイスの性能及び品質を高める
ことができる。上記のチャンバ洗浄の品質を改善するほ
かに(真空密閉を破壊することなく行われる)、予防保
守チャンバ洗浄(真空密閉はチャンバリッドを開けてチ
ャンバを物理的に拭き取ることにより破壊される)は多
数の定期的チャンバ洗浄の間に行われる。たいてい、必
要な予防保守チャンバ洗浄を行うには、チャンバリッド
及びリッドを塞ぐことができる他のチャンバ部分を開放
することが必要であり、これは通常の生産処理を妨害す
る時間を要する手順である。
【0014】上記の観点から、改良された方法、システ
ム及び装置は、均一な厚さ及び高アスペクト比ギャップ
充填能力を有する密度の高い低水分誘電膜を堆積するこ
とが求められている。最適には、それらの改良された方
法及び装置は金属混入の少ないチャンバ清浄を備えてい
る。改良された方法及び装置は、また、超薄接合部分の
ドーパント拡散源としてドープ誘電膜を形成することが
求められている。それらの方法及び装置は、シリコンウ
ェハに有意な表面損傷を引き起こすことなくドープ誘電
層から有効な及び均一なドーパント拡散を行わせるため
に未変性酸化物を効率よく除去することができなければ
ならない。更に、ある用途については、異なる種類の洗
浄に要する時間を最少にするために簡便化した設計によ
る単一チャンバにおいて多段の堆積及び洗浄能力を備え
ることが望ましい。従って、求められることは、質の高
い効率のよい高温堆積及び効率のよい穏やかな洗浄が可
能なシステム及び方法である。特に、それらのシステム
及び方法は、高アスペクト比の特徴をもつデバイスを形
成するために要求される処理及び超薄ドープ領域を形成
するために要求される処理と適合するように設計されな
ければならない。
【0015】発明の要約 本発明は、半導体ウェハの高温(少なくとも約500〜
800℃)処理用システム、方法及び装置を提供する。
本発明の実施例としては、総合処理時間を短縮しかつ高
アスペクト比の特徴をもつ高集積デバイスを生産する高
品質処理を行わせるために多段処理ステップを同一チャ
ンバ内でその場で行うことを可能にするシステム、方法
及び装置が含まれる。多段処理ステップを同一チャンバ
内で行うと、処理パラメーターについての制御が高めら
れ、堆積膜中の含水量がかなり減少し、金属混入又は処
理残留物混入のためにデバイス損傷が最小になる。
【0016】特に、本発明は相対的に薄い膜厚を有する
誘電膜を形成する高温堆積、加熱及び効率のよい洗浄を
提供する。本発明の実施例は、ホウリンケイ酸塩ガラス
(BPSG)膜、ホウケイ酸塩ガラス(BSG)膜又は
リンケイ酸塩ガラス(PSG)膜のようなドープ誘電膜
を堆積しかつ、例えば、ソース/ドレーン接合部分又は
浅いトレンチ分離のチャネルストップ拡散部分として用
いられる超薄ドープ領域を形成するのに特に有効であ
る。更に、本発明の実施例は、含金属誘電(PMD)
層、金属間誘電(IMD)層又は他の誘電層として用い
られるドープ誘電膜を堆積するために用いられる。本発
明の他の実施例は、更に、浅いトレンチ分離充填酸化
物、絶縁層、キャッピング層又は他の層として用いられ
る非ドープ誘電膜を堆積するために用いられる。
【0017】本発明の方法は、圧力が約10torr〜76
0torrの真空チャンバ内で基板上にCVDによる誘電膜
を堆積させる工程、及び該基板を約500℃より高い温
度まで加熱する工程が含まれる。基板は、平坦化のため
に堆積誘電層のリフローを行うか又は堆積ドープ誘電層
からドーパントを入れるような種々の目的のために加熱
される。プロセスは、一段ステップ(例えば、500℃
より高い温度でウェハ上に膜を堆積及びリフローする)
又は多段ステップ(500℃未満の温度でウェハ上に膜
を堆積し、膜が堆積した後にウェハ上の膜を加熱する)
で行われる。いずれの場合も、高温処理が真空チャンバ
からウェハを除去することなく行われ、誘電膜中の水分
吸収を減少させることが有利である。高温処理は、ま
た、キャッピング層を有するドープ誘電膜のその場堆積
を可能にしてドープ膜からドーパントのガス抜きを減少
しかつ含水量を低下させることが有利である。個々の実
施例においては、反応性ガスが基板表面に送られ、熱誘
導化学反応が起こり誘電膜を生成する。更に又はもしく
は、反応性ガスの分解を促進するために制御されたプラ
ズマが生成される。
【0018】具体的な実施例においては、誘電膜は超薄
接合部分のドーパント拡散源として用いられるドープ薄
膜である。該膜は、基板上に約500℃より高い温度で
堆積し、更に高温、通常600℃より高い温度、好まし
くは約700℃より高い温度まで加熱されて誘電層から
下にある基板へ拡散する。同一チャンバ内で堆積及び加
熱ステップを行うとドープ誘電膜の厚さ、均一性及び含
水量の良好な制御が得られる。膜の含水量を改善すると
膜中の有効ドーパントの量が増加し、高集積デバイスの
超薄接合部分を形成するのに特に有利である。
【0019】本発明の他の態様においては、リモートプ
ラズマ系は真空チャンバ及び装置の構成成分の内壁の望
まれていない堆積物をエッチングし、処理前の半導体ウ
ェハから未変性酸化物及び他の残留物を洗浄する。リモ
ートエネルギー源を用いる穏やかな洗浄法は、金属混入
を低下させるために従来のその場プラズマ工程の代わり
に用いられることが好ましい。例えば、リモートプラズ
マ系はリモートプラズマを供給し、好ましくはプラズマ
からのフッ素基が高温のチャンバに入ることができ、チ
ャンバを穏やかに熱洗浄する。リモートプラズマ系にお
いては、化学反応のみ用いられ、物理的スパッタリング
作用の問題は排除される。対照的に、その場プラズマ系
の使用においては、スパッタリング作用はアルミニウム
チャンバ壁を攻撃し、処理したウェハ中の金属混入をま
ねく。リモートプラズマ系を用いる熱洗浄工程において
は、チャンバ内に送られる遊離基はプラズマがチャンバ
と離れたままチャンバ内の表面からの望ましくない堆積
物及び残留物を効果的に清浄することができる。リモー
トプラズマ系の他の利点は、シリコンウェハに有意な表
面損傷を起こさずにドープ誘電層から有効かつ均一なド
ーパント拡散を効果的に行わせるために未変性酸化物が
ウェハから効率よく除去されることである。リモートプ
ラズマ系の利点は、更に、必要とされる異なる導入ガス
を用いることにより膜を堆積させるための使用に系が配
置されることである。
【0020】好適実施例においては、リモートプラズマ
洗浄系は選定化学種(例えば、フッ素、塩素又は他の
基)を生成し処理チャンバに送るために配置されたマイ
クロ波プラズマ系である。リモートプラズマ系は、マイ
クロ波放射によってガスにエネルギーを与えてエッチン
グ基を有するプラズマを発生させる。詳しくは、マイク
ロ波はマグネトロン又は他の適切なエネルギー源によっ
て生じ、プラズマが生成されるアプリケータ管へ導波管
を介して送られる。次に、反応性ガスがアプリケータ管
に送り込まれ、マイクロ波エネルギーによってエネルギ
ーを与え、処理チャンバへの遊離基の流れをつくるため
に発火プラズマのイオン化を維持する。例えば、遊離基
はチャンバ壁上につくられた残留物と相互作用して排気
系によってチャンバから適切に排出される反応ガスを形
成する。マイクロ波プラズマ系は、また、堆積反応性ガ
スを処理チャンバへ送ることによりプラズマ強化CVD
膜を堆積させるために用いられる。
【0021】本発明の他の態様においては、リモートプ
ラズマ系は、チャンバ洗浄が終了したときを示す終点検
出系が含まれる。チャンバ内にプラズマがないと従来の
終点検出系を用いて洗浄が完了したとき(即ち、チャン
バ内の最後のプロセスガスが洗浄エッチング剤と反応し
てチャンバから排出されるとき)を正確に示すことは困
難である。これは、従来の終点検出系が典型的にはその
場プラズマからの放射をチェックして洗浄工程の終わり
を求めるためにチャンバ内のプラズマの使用によるため
である。本発明では、終点検出アセンブリは、SiF4
のような排気清浄ガス反応成分による光の吸光度のため
に生じる光の強さの変化を検出することにより洗浄工程
の終点を求めるために処理チャンバのガス排出口に連結
される。
【0022】本発明の他の態様においては、チャンバ壁
の表面からフッ素のような吸着した清浄ガスをゲッタリ
ングする方法が示される。本発明によれば、フッ素を含
む第1洗浄ガスは堆積残留物の処理チャンバを清浄する
ために処理チャンバに導入される。次に、残留物が第1
洗浄ガスで除去された後に第2洗浄ガスが処理チャンバ
に導入される。第2洗浄ガスは、第1洗浄ガスと処理チ
ャンバの内部表面間の反応により生成された洗浄残留物
を除去する。洗浄残留物をチャンバから除去又はゲッタ
リングすると多数の利点が生じる。例えば、本発明の好
適実施例においては、フッ素基が処理チャンバに送ら
れ、チャンバから排気されるシリコン−フッ素ガス生成
物を形成することにより酸化シリコンのような残留物を
除去する。フッ素によるチャンバ洗浄手順であるチャン
バ壁の表面上の吸着フッ素が相互作用されるか又は取込
まれた後、処理されるべき次のウェハ上の堆積膜がゲッ
タリングされる。別の実施例においては、ゲッタリング
はマイクロ波生成原子酸素とシリコン源を用いてチャン
バをシーズニングすることにより行われ、チャンバに酸
化物の薄膜を堆積して吸着フッ素を捕捉しかつ続いて堆
積した膜の汚染を防止する。
【0023】本発明は、また、高温処理用の種々の耐熱
性及びプロセス適合性成分を提供する。本発明の系は処
理チャンバを収容する封入アセンブリを有する蒸着装置
が含まれる。装置は、ウェハを約500℃〜800℃ま
での温度に加熱するペデスタル/ヒータを有する加熱ア
センブリが含まれる。ペデスタルは、プロセスガスとの
反応及び少なくとも約400℃、好ましくは約500℃
〜800℃までの温度のプロセスガスによる堆積にかな
り耐性のある材料を含んでいることが好ましい。更に、
ペデスタルは、洗浄中にチャンバに導入されたフッ素基
による高温(即ち、500℃〜800℃)でのエッチン
グにかなり耐性のある材料を含むことが好ましい。具体
的な実施例においては、ペデスタル/ヒータは、酸化ア
ルミニウム又は好ましくは窒化アルミニウムのようなセ
ラミック材料に埋込まれた抵抗加熱要素を含む。
【0024】本発明の加熱アセンブリは、更に、チャン
バ内のペデスタル/ヒータを支持しかつ必要な電気接続
部分を収容する支持シャフトが含まれる。支持シャフト
は、ペデスタル/ヒータに拡散結合されるセラミック材
料を含みシャフト内を真空密閉することが好ましい。そ
の真空密閉は高温処理中に周囲温度及び周囲圧力でシャ
フトの中空内部を維持することを可能にし、チャンバ内
のプロセスガス及びクリーンガスからの腐食から電極及
び他の電気接続部分を保護する。更に、シャフト内の周
囲圧力は、電源からシャフトの中空コアを介して電力リ
ード又はシャフトの外壁へのアークを最少にする。
【0025】本発明の他の態様においては、チャンバ壁
をヒータから絶縁するためにペデスタル/ヒータの周り
にチャンバライナーが設けられる。好ましくは、チャン
バライナーは、高温及び堆積/清浄反応に耐性のあるセ
ラミックのような材料を含む内部及びクラッキングに耐
性のある材料を含む外部が含まれる。ライナーの内部
は、チャンバ壁を絶縁して堆積膜の均一性に悪影響を及
ぼすウェハ端部冷却作用を減じる。チャンバライナーの
外部は、内部よりかなり厚くてウェハと壁間のギャップ
を塞ぎ、単一の相対的に厚いセラミックライナーで生じ
るクラッキングを最少にする。具体的な実施例において
は、ライナーの外部はライナーによって設けられた絶縁
を高めるエアギャップが含まれる。
【0026】本発明の更なる態様においては、封入アセ
ンブリとしてリッドアセンブリが設けられる。リッドア
センブリは、プロセスガス及びクリーンガスを入れかつ
それらのガスをチャンバへ送る1以上のクリーンガス通
路及び1以上のプロセスガス通路に連結されたガス混合
ブロック(又はボックス)が含まれる。ガスをガス混合
ブロックまで選択的に流動させるためにクリーンガス通
路にもプロセスガス通路にも1以上のバルブが設けられ
る。その実施例は、装置の処理と洗浄間を速やかに及び
効率よくスイッチすることができることにより本発明の
その場洗浄法を促進し、系のスループットが高められ
る。
【0027】具体的な実施例においては、リッドアセン
ブリは、1種以上のガスを入れるガス導入口を有するベ
ースプレート及びガスを処理チャンバへ分散させる複数
の孔を含むガス分配プレートが含まれる。リッドアセン
ブリは、ガス分配孔より流体フローに抵抗の少ないベー
スプレートに1以上のバイパス通路が含まれる。洗浄
中、例えば、洗浄ガスの少なくとも一部はバイパス通路
を介して直接チャンバへ通過して洗浄プロセスの速度を
上げ、チャンバのダウン時間を短縮する。装置は、ガス
分配孔を介してガスフローを制御するためにバイパス通
路を部分的に又は完全に密閉するバルブ及びコントロー
ラのような制御系が含まれる。
【0028】本発明のこれらの及び他の実施例及びその
利点及び特徴は、下記の本文及び添付の図面と共に詳細
に述べられる。
【0029】個々の実施例の詳細な説明 I.CVDリアクタ系 A.CVDリアクタの概要 本発明の実施例は、約500℃より高い温度で誘電膜を
堆積するために用いられるシステム、方法及び装置であ
る。特に、かかるシステム、方法及び装置は、非ドープ
誘電膜及びドープ誘電膜を堆積するために用いられる。
かかる膜は、超薄ドープ領域、含金属誘電層、金属間誘
電層、キャッピング層、酸化物充填層又は他の層を形成
するために用いられる。図1は、個々の実施例のCVD
装置10の1実施例の縦断面図である。誘電層を堆積す
ることができるほかに、本発明の装置は、平坦化のため
に堆積ドープ誘電層のリフローを行うか又は超薄ドープ
領域を形成する場合に堆積ドープ誘電層からのドーパン
トを入れるのに有用な高温加熱能力を有する。更に、該
装置は種々のCVDチャンバ成分を効率のよく洗浄しか
つウェハ表面を洗浄することができる。CVD装置10
は、単一真空チャンバ15において多数の能力をその場
で備えている。従って、多段工程は、そのチャンバから
他の外部のチャンバへ移すことなく単一チャンバで行わ
れる。これにより、周囲空気から水分を吸収する機会を
排除してウェハ上の低含水量が得られ、有利には堆積誘
電層でのドーパント保持が高められる。更に、単一チャ
ンバ内で多段工程を行うと時間が節約され、工程のスル
ープット全体が高められる。
【0030】図1に関して、CVD装置10は、ガス反
応領域16を有する真空チャンバ15を収容する封入ア
センブリ200が含まれる。ガス分配プレート20は、
縦可動ヒータ25(ウェハ支持ペデスタル又はサスセプ
タとも呼ばれる)に載っているプレート20を貫通した
孔を通ってウェハ(図示されていない)に反応性ガスを
分散するガス反応領域16の上に設けられる。CVD装
置10は、ヒータ25に支持されたウェハを加熱するヒ
ータ/リフトアセンブリ30が含まれる。ヒータ/リフ
トアセンブリ30は、図1に示されるように下のローデ
ィング/オフローディング位置とプレート20に密接に
隣接する点線13によって示された上の処理位置間で制
御可能に移動させることができる。センタボード(図示
されていない)は、ウェハの位置の情報を与えるセンサ
が含まれる。下で詳細に述べられるヒータ25は、セラ
ミック、好ましくは窒化アルミニウムに封入された抵抗
加熱成分が含まれる。具体的な実施例においては、真空
チャンバに曝露されるヒータ25の全表面が酸化アルミ
ニウム(Al2 O3 又はアルミナ) 又は窒化アルミニウ
ムのようなセラミック材料でできている。ヒータ25と
ウェハが処理位置13にある場合には、装置10の内壁
17に沿ったチャンバライナー35、及びチャンバライ
ナー35とチャンバ15の上部によって形成される環状
ポンプチャネル40によって囲まれている。下で詳細に
述べられるチャンバライナー35の表面は、アルミナ又
は窒化アルミニウムのようなセラミック材料を含み、抵
抗加熱ヒータ25(高温)と、ヒータ25に対して非常
に低い温度であるチャンバ壁17間の温度勾配を低くす
るために働く。
【0031】反応性及びキャリヤガスは、供給ライン4
3を介してガス混合ボックス(又はガス混合ブロック)
273(図9)に供給され、好ましくは一緒に混合され
てプレート20に送られる。ガス混合ボックス273
は、プロセスガス供給ライン43及び洗浄ガス導管47
に連結されたデュアルインプット混合ブロックであるこ
とが好ましい。下で詳細に述べられるように、プロセッ
サ50は、ゲートバルブ280(図9)を制御可能に操
作して2つの交互ガス源のどちらをチャンバ15へ分散
するプレート20に送るかを選ぶことが好ましい。導管
47は、入力ガスが入る入口57を有する積分リモート
マイクロ波プラズマ系55からのガスが入る。堆積処理
中、プレート20に供給されたガスはウェハ表面(矢印
21で示されている)に送られ、ウェハ表面に放射状
に、典型的には層流で均一に分配される。パージガス
は、封入アセンブリ200の下壁を介して入口又は管
(図示されていない)からチャンバ15へ送られる。パ
ージガスは、ヒータ25を通って上方に及び環状ポンプ
チャネル40へ流れる。次に、排気系は、ガス(矢印2
2で示されている)を環状ポンプチャネル40へ真空ポ
ンプ系(図示されていない)により排気ライン60まで
排気する。排気ガス及び残留物は、環状ポンプチャネル
40から排気ライン60までスロットルバルブ系63に
より制御された速度で放出されることが好ましい。
【0032】代表的な実施例においては、CVD装置1
0で行われる化学気相成長プロセスは、たいてい大気圧
未満CVD(SACVD)とも言われる大気圧未満の熱
プロセスである。前に述べたように、熱CVDプロセス
は基板表面に反応性ガスを供給し、そこで熱誘導化学反
応(均一又は不均一)が起こって所望の膜を製造する。
CVD装置10では、熱は下で詳細に述べられる抵抗加
熱ヒータ25によって分配され、約400〜800℃程
度の温度に達することができる。かかる熱分配は、チャ
ンバ15内でのその場多段工程の堆積、リフロー及び又
はドライブイン、洗浄、及び/又はシーズニング/ゲッ
タリングステップを行うウェハの均一な急速熱加熱を与
える。また、制御プラズマは、RF電源(図示されてい
ない)からガス分配プレート20に印加されたRFエネ
ルギーによってウェハに隣接して生成される。低RF電
極の実施例においては、RF電源は、プロセスチャンバ
15に導入された反応性化学種の分解を高めるために単
一周波数のRF電力をプレート20に供給するか或いは
混合周波数のRF電力をプレート20と低RF電極に供
給することができる。プラズマ処理では、蒸着装置10
の成分は後述されるようにRFエネルギーを収容するた
めに修正されなければならないものがある。
【0033】CVD装置10に積分して供給されるリモ
ートマイクロ波プラズマ系55は、壁17及び他の成分
を含むチャンバ15の種々の成分から望まれていない堆
積残留物の定期的洗浄を行うために用いられることが好
ましい。更に、リモートマイクロ波プラズマ系は、所望
の用途に基づいてウェハの表面から未変性酸化物又は残
留物を洗浄又はエッチングすることができる。ライン5
7を介してプラズマ系55へ導入するガスはフッ素、塩
素又は他の基を供給するためにプラズマを生成する洗浄
反応性ガスであるが、リモートマイクロ波プラズマ系5
5は堆積反応性ガスを入力ライン57を介して系55に
導入することによりプラズマ強化CVD膜を堆積するた
めに用いられる。通常、リモートマイクロ波プラズマ系
55は入力ライン57を介してガスを入れ、マイクロ波
放射によりエネルギーを与えてエッチング基を含むプラ
ズマを生成し、プレート20を通って分散する導管47
を介してチャンバ15に送られる。プラズマ系55の個
々の詳細は後述される。装置10のある実施例は、その
場プラズマ能力を与える無線周波数(RF)プラズマ系
が含まれる。
【0034】スロットルバルブ系63及びヒータ25の
ような機械的可動アセンブリの位置を移動及び決定する
ためにモータ及び光学センサ(図示されていない)が用
いられる。ヒータ/リフトアセンブリ30、モータ、ゲ
ートバルブ280、スロットルバルブ系63、リモート
マイクロ波プラズマ系55及び他のシステム成分は、制
御ライン65の上のプロセッサ50により制御され、少
しだけ図示されている。
【0035】プロセッサ50は、CVDマシンのアクテ
ィビティの全てを制御する。システムコントローラとし
て作用するとプロセッサ50が、プロセッサ50に連結
されたメモリ70に記憶されたコンピュータプログラム
であるシステムコントローラソフトウェアを実行する。
好ましくは、メモリ70はハードディスクドライブであ
るが他の種類のメモリであってもよいことは当然のこと
である。ハードディスクドライブ(例えば、メモリ7
0)のほかに、好適実施例におけるCVD装置はフロッ
ピーディスクドライブ及びカードラックが含まれる。プ
ロセッサ50は、システム制御ソフトウェアの制御下で
作動させ、時間、ガスの混合、チャンバ圧、チャンバ温
度、マイクロ波電力レベル、サスセプタ配置及び個々の
プロセスの他のパラメーターを指示する命令セットが含
まれる。フロッピーディスク又はディスクドライブ又は
他の適切なドライブに挿入された他のコンピュータプロ
グラム製品等を含む他のメモリに記憶されたもののよう
な他のコンピュータプログラムもプロセッサ50を作動
させるために用いられる。システム制御ソフトウェア
は、下で詳細に述べられる。カードラックは、シングル
ボードコンピュータ、アナログ及びデジタル入力/出力
ボード、インターフェースボード及びステッパモータコ
ントローラボードを含む。CVD装置10の種々の部分
は、 Versa Modular European(VME)標準に適合し、
ボード、カードケージ、及びコネクタディメンション及
びタイプが規格されている。VME標準は、また、16
ビットデータバス及び24ビットアドレスバスを有する
バス構造を規格している。
【0036】ユーザとプロセッサ50間のインターフェ
ースは、マルチチャンバ系のチャンバの1つとして示さ
れるシステムモニタ及びCVD装置10の簡易線図であ
る図2に示されるCRTモニタ73a及びライトペン7
3bを経由する。CVD装置10は、装置10の電気的
配管及び他の支持機能を含み備えるメーンフレームユニ
ット75に取り付けられることが好ましい。CVD装置
10の例示実施例と適合する具体的なメーンフレームユ
ニットは、カリフォルニア州サンタクララの Applied M
aterials社から Precision 5000(登録商標) 及び Centu
ra 5200(登録商標) 系として現在市販されている。マル
チチャンバ系は、真空を破壊することなく及びウェハを
マルチチャンバ系の外部で水分又は他の不純物に曝露し
なければならないことなくチャンバ間のウェハを移す能
力がある。マルチチャンバ系の利点は、マルチチャンバ
系の異なるチャンバが全プロセスにおいて異なる目的に
用いられることである。例えば、1つのチャンバは酸化
物の堆積に用いられ、他のものは急速熱処理に用いら
れ、別のものは酸化物洗浄に用いられる。プロセスは、
マルチチャンバ系内を中断せずに進行することができ、
プロセスの異なる部分について種々の別個のチャンバ
(マルチチャンバ系でない)間でウェハを移す場合にた
いてい生じるウェハの汚染を防止する。
【0037】好適実施例においては、2つのモニタ73
aが用いられ、1つはオペレータのクリーンルーム壁に
取り付けられ、もう1つは修理技術者の壁の後ろに取り
付けられる。両モニタ73aは、同時に同じ情報を示す
が、ライトペンは1本のみ可能である。ライトペン73
aは、ペンの先端の光センサでCRTディスプレイによ
って放出された光を検出する。個々のスクリーン又は機
能を選定するために、オペレータはディスプレイスクリ
ーンの指定区域に触れ、ペン73bでボタンを押す。触
れた区域は、強調した色を変えるか又は新しいメニュー
又はスクリーンが表示され、ライトペンとディスプレイ
スクリーン間の通信を確認する。ユーザがプロセッサ5
0と通信することを可能にするためにライトペン73b
の代わりに又は加えてキーボード、マウス又は他の指定
又は通信デバイスのような他のデバイスがを用いられる
ことは当然のことである。
【0038】図3は、クリーンルームに位置するガス供
給パネル80に関するCVD装置10の概略図である。
上述したCVD装置10は、ヒータ25を有するチャン
バ15、供給ライン43からの入力及び導管47を有す
るガス混合ボックス273、及び入力ライン57を有す
るリモートマイクロ波プラズマ系55が含まれる。上述
したガス混合ボックス273は、堆積ガスとクリーンガ
ス又は他のガスを導入管43を介して処理チャンバ15
に混合及び注入するためである。図3に見られるよう
に、リモートマイクロ波プラズマ系55はチャンバ15
の下に積分して位置し、導管47がチャンバ15の上に
位置するゲートバルブ280とガス混合ボックス273
までチャンバ15の横側を上がる。同様に、チャンバ1
5の横側をガス混合ボックス273まで上がるガス供給
ライン43は、反応性ガスをガス供給パネル80からラ
イン83及び85を介して供給する。ガス供給パネル8
0は、個々の用途に用いられる所望プロセスによって異
なってもよいガス又は液体を含むガス又は液体供給源9
0へのラインが含まれる。ガス供給パネル80は混合系
93を有し、供給ライン43へのライン85を介してガ
ス混合ボックス273へ混合及び輸送するための供給源
90からの堆積プロセスガス及びキャリヤガス(又は気
化液体)を入れる。通常、プロセスガスの各々の供給ラ
インは、(i)ライン85又はライン57へのプロセス
ガス流を自動又は手動で止めるために用いられるシャッ
トオフバルブ95、及び(ii)供給ラインを介してガ
ス又は液体流を測定するマスフローコントローラ100
が含まれる。毒性ガス(例えば、オゾン及びクリーンガ
ス)がプロセスに用いられる場合、数個のシャットアウ
トバルブ95が従来の配置で各ガス供給ラインに配置さ
れる。テトラエチルオルトシラン(TEOS)、ヘリウ
ム(He)及び窒素(N2)及び場合によってはリン酸ト
リエチル(TEPO)、ホウ酸トリエチル(TEB)及
び/又は他のドーパント源を含む堆積ガス及びキャリヤ
ガスがガス混合系93に供給される速度は、液体又はガ
スマスフローコントローラ(MFC)(図示されていな
い)及び/又はバルブ(図示されていない)によって制
御される。ある実施例においては、ガス混合系93はT
EOS及びTEPOのような反応成分液を気化させる液
体注入系が含まれる。それらの実施例によれば、リン源
としてTEPO、シリコン源としてTEOS及び酸素
(O2)又はオゾン(O3)のような1種以上のガス酸素源
を含む混合物がガス混合系93で生成される。TEPO
及びTEOSは全て液体源であり、他の実施例において
は従来のボイラ型又はバブラ型ホットボックスにより気
化される。液体注入系は、ガス混合系に導入される反応
成分液の容量をかなり制御するので好ましい。次に、気
化させたガスをヘリウムのようなキャリヤガスとガス混
合系で混合した後、加熱ライン85に供給する。ドーパ
ント、シリコン及び酸素の他の供給源も用いられること
が認識されることは当然のことである。
【0039】更に、ガス供給パネル80は、スイッチン
グバルブ95が含まれ、プロセッサ50の制御下でクリ
ーンガスをN2 と共にプロセスガスライン83に沿って
ガス供給ライン43へ又はクリーンガスライン57に沿
ってリモートマイクロ波プラズマ系55に選択的に輸送
することができる。プロセッサ50がスイッチングバル
ブ95にクリーンガスをN2 と共に入力ライン57を介
してプラズマ系55に輸送させる場合、マイクロ波エネ
ルギーを加えるためにチャンバ15からのプラズマリモ
ートが生じ、ガス導管47に移すために洗浄遊離基が生
じる。プロセッサ50は、また、他のバルブ96にライ
ン83を介してガス供給ライン43に輸送させかつ堆積
ガス及びキャリヤガスをガス混合系93から加熱ライン
85を介してガス供給ライン43へ輸送させることがで
きる。他の実施例においては、バルブ95はライン97
へのみの出力で接続され、クリーンガス及びN2 をライ
ン97を通ってスイッチングバルブ105(図示されて
いない)へ選択的に通過させる。入口57とリモート系
55に近い点に位置するので、それらの実施例における
スイッチングバルブ105はリモートマイクロ波系55
への入口57及び入口43に至るライン83に接続され
る。個々の実施例においては、ゲートバルブ280はシ
ステムソフトウェアコンピュータプログラムからの命令
でプロセッサ50により制御されてクリーンガスか或い
はチャンバ15へ流れる堆積ガスか選定する。
【0040】マイクロ波電源110とオゾン発生器11
5は、装置10のチャンバ15が位置するクリーンルー
ムから離れて位置する。電源110は、リモートプラズ
マ系55のマグネトロンに電力を与える。オゾン発生器
115は入力として用いられる酸素(O2)に電力を加え
て供給源の少なくとも1つとして有用な出力としてオゾ
ン(O3)を生じる。他の実施例においては、電源110
及びオゾン発生器115は遠くに位置するよりクリーン
ルームに位置することができる。更に、複数のオゾン源
及び/又は複数のリモートマイクロ波プラズマ系55を
必要とするマルチチャンバ系では、複数のオゾン発生器
115及び複数の電源110が設けられる。
【0041】膜を堆積し、清浄を行いかつリフロー又は
ドライブインステップを行うプロセスは、プロセッサ5
0によって実行されるコンピュータプログラム製品を用
いて実施される。コンピュータプログラムコードは、6
8000アセンブリ言語、C、C++、パスカル、フォ
ートラン又は他の言語のような従来のコンピュータ判読
プログラミング言語に書かれている。適切なプログラム
コードは、従来のテキスト編集プログラムを用いてシン
グルファイル又はマルチファイルに記入され、コンピュ
ータのメモリシステムのようなコンピュータ用の媒体に
記憶又は収録される。記入コードテキストが高水準言語
である場合には、コードはコンパイルされ、得られたコ
ンパイラーコードは、次に、予めコンパイルされたウィ
ンドーズライブラリールーチンのオブジェクトコードと
リンクされる。リンクコンパイルブジェクトコードを実
行するために、システムユーザはオブジェクトコードを
呼び出し、コンピュータシステムにメモリ内のコードを
ロードさせ、CUPがコードを読み取り実行してプログ
ラムに一致したタスクが行われる。
【0042】図4は、個々の実施例によるシステム制御
ソフトウェア、コンピュータプログラム150の階層制
御構造の説明的ブロック図である。ライトペンインター
フェースを用いて、ユーザはプロセスセットナンバとプ
ロセスチャンバナンバをプロセスセレクタサブルーチン
153にCRTモニタに表示されるメニュー又はスクリ
ーンに応答して記入する。指定されたプロセスを行うの
に必要な所定のプロセスパタラメーターセットであるプ
ロセスセットは、定義済みセットナンバによって確認さ
れる。プロセスセレクタサブルーチン153は、(i)
所望のプロセスチャンバ及び(ii)所望のプロセスを
行うプロセスチャンバを作動させるのに必要とされる所
望のプロセスパラメーターセットを識別する。個々のプ
ロセスを行うプロセスパラメーターは、プロセスガス組
成及び流速のようなプロセス条件、温度、圧力、マグネ
トロン電力レベル(及びRFプラズ系を備えた実施例に
ついては高周波数及び低周波数RF電力レベルに代わる
か又は加える)のようなプラズマ条件、冷却ガス圧、及
びチャンバ壁温度に関する。プロセスセレクタサブルー
チン153は、チャンバ15内で特定の時間で行われる
プロセスの種類(堆積、ウェハ洗浄、チャンバ洗浄、チ
ャンバゲッタリング、リフローイング)を制御する。あ
る実施例においては、1以上のプロセスセレクタサブル
ーチンとすることができる。プロセスパラメーターは、
レシピの形でユーザに示され、ライトペン/CRTモニ
タインターフェースを用いて記入される。
【0043】プロセスをモニタする信号は、システムコ
ントローラのアナログ入力ボード及びデジタル入力ボー
ドによって与えられ、プロセスを制御する信号は、CV
D系10のアナログ出力ボード及びデジタル出力ボード
で出力される。
【0044】プロセスシーケンササブルーチン155
は、同定したプロセスチャンバ及びプロセスセレクタサ
ブルーチン153からのプロセスパラメーターセットを
受け入れかつ種々のプロセスチャンバの作動を制御する
プログラムコードを含んでいる。複数のユーザがプロセ
スセットナンバ及びプロセスチャンバナンバを記入する
ことができ或いは一人のユーザが複数のプロセスセット
ナンバ及びプロセスチャンバナンバを記入することがで
きるので、シーケンササブルーチン155は所望の配列
で選定されたプロセスをスケジュールするように作動さ
せる。好ましくは、シーケンササブルーチン155は、
(i)チャンバが用いられる場合には決定するためにプ
ロセスチャンバの操作をモニタするステップ、(ii)
用いられるチャンバ内で行われるプロセスを決定するス
テップ、及び(iii)プロセスチャンバの利用可能性
及び行われるプロセスの種類に基づいて所望プロセスを
実行するステップを行うプログラムコードが含まれる。
ポーリングのようなプロセスチャンバをモニタする従来
の方法が用いられる。実行されるべきプロセスをスケジ
ュールする場合、シーケンササブルーチン155は選定
プロセスの所望のプロセス条件と比べて用いられるプロ
セスチャンバの現在の条件、又は各々のユーザが記入し
たリクエストの『年齢』、又はシステムプログラマーが
スケジュールプライオリティを決定することを含むこと
を所望する他の適切な要因を考慮するように設計され
る。
【0045】シーケンササブルーチン155が、プロセ
スチャンバとプロセスセットの組合わせが次に実行しよ
うとすることを一旦決定すると、シーケンササブルーチ
ン155は、個々のプロセスセットパラメーターをシー
ケンササブルーチン155によって決定されたプロセス
セットに従ってプロセスチャンバ15での複数の処理タ
スクを制御するチャンバマネージャーサブルーチン15
7a〜cに移ることによりプロセスセットの実行を開始
する。例えば、チャンバマネージャー157aはプロセ
スチャンバ15内でのCVD及び洗浄プロセス操作を制
御するプログラムコードを含んでいる。チャンバマネー
ジャーサブルーチン157は、また、選定プロセスセッ
トを実施するのに必要なチャンバ成分の操作を制御する
種々のチャンバ成分サブルーチンの実行を制御する。チ
ャンバ成分サブルーチンの例は、基板配置サブルーチン
160、プロセスガス制御サブルーチン163、圧力制
御サブルーチン165、ヒータ制御サブルーチン16
7、プラズマ制御サブルーチン170、終点検出制御サ
ブルーチン159及びゲッタリング制御サブルーチン1
69である。CVDチャンバの個々の配置に基づいて、
ある実施例は上記サブルーチンを全部含み、他の実施例
はいくつかのサブルーチンのみ含まれる。当業者は、プ
ロセスチャンバ15内で行われるプロセスに基づいて他
のチャンバ制御サブルーチンが含まれることを容易に認
識する。操作上、チャンバマネージャーサブルーチン1
57aは実行される個々のプロセスに従ってプロセス成
分サブルーチンを選択的にスケジュールするか又は呼び
出す。チャンバマネージャーサブルーチン157aは、
シーケンササブルーチン155がプロセスチャンバ15
及びプロセスセットが次に実行することをスケジュール
するようにプロセス成分サブルーチンをスケジュールす
る。典型的には、チャンバマネージャーサブルーチン1
57aは、種々のチャンバ成分をモニタするステップ、
実行されるプロセスセットのプロセスパラメーターに基
づいて成分が作動するのに必要とすることを決定するス
テップ、及びモニタステップ及び決定ステップに応答す
るチャンバ成分サブルーチンの実行を開始するステップ
が含まれる。
【0046】ここで、個々のチャンバ成分サブルーチン
の操作を図4について記載する。基板配置サブルーチン
160は、基板をヒータ25に装填するために及び場合
によっては基板をチャンバ15内で所望の高さに上げて
基板とガス分配マニホールド20間の間隔を制御するた
めに用いられるチャンバ成分を制御するプログラムコー
ドを含む。基板がプロセスチャンバ15に装填される場
合、ヒータ25は基板を入れるために低下し、次に、所
望の高さに上昇する。操作上、基板配置サブルーチン1
60は、チャンバマネージャーサブルーチン157aか
ら移される支持体の高さに関係したプロセスセットパラ
メーターに応答してヒータ25の運動を制御する。
【0047】プロセスガス制御サブルーチン163は、
プロセスガス組成及び流速を制御するプログラムコード
を有する。プロセスガス制御サブルーチン163は、シ
ャットオフ安全バルブの開/閉位置を制御し、マスフロ
ーコントローラを上/下に傾斜して所望のガスフロー速
度を得る。プロセスガス制御サブルーチン163は、全
てのチャンバ成分サブルーチンであるチャンバマネージ
ャーサブルーチン157aによって呼びかけられ、チャ
ンバマネージャーからの所望のガスフロー速度に関係し
たサブルーチンプロセスパラメーターを取り返す。典型
的には、プロセスガス制御サブルーチン163は、ガス
供給ラインを開放し、(i)必要なマスフローコントロ
ーラを読み取る、(ii)読み取りをチャンバマネージ
ャーサブルーチン157aから受信した所望の流速と比
較する、及び(iii)必要なガス供給ラインの流速を
調整することを繰り返すことにより作動させる。更に、
プロセスガス制御サブルーチン163は、安全でない速
度のガスフロー速度をモニタするステップ、及び安全で
ない条件が検出される場合にシャットアウト安全バルブ
を活性化するステップが含まれる。プロセスガス制御サ
ブルーチン163は、また、選定される所望プロセス
(清浄又は堆積等)に基づいてクリーンガス及び堆積ガ
スのガス組成及び流速を制御する。代替的実施例は、1
を超えるプロセスガス制御サブルーチン613を有し、
各サブルーチン613は個々のプロセスタイプ又は個々
のガスラインセットを制御する。
【0048】あるプロセスでは、反応性プロセスガスが
導入される前にチャンバ内の圧力を安定化するために窒
素又はアルゴンのような不活性ガスをチャンバ15に流
し込む。そのプロセスの場合、プロセスガス制御サブル
ーチン163は、チャンバ内の圧力を安定化するのに必
要な時間不活性ガスをチャンバ15へ流し込むステップ
が含まれ、次に、上記ステップが行われる。更に、プロ
セスガスが液体前駆物質、TEOSから気化される場
合、プロセスガス制御サブルーチン163はバブラアセ
ンブリ内で液体前駆物質にヘリウムのような供給ガスを
泡立てるステップ、又は液体注入系にヘリウムのような
キャリヤガスを導入するステップを含むように書き込ま
れる。この種のプロセスにバブラが用いられる場合、所
望のプロセスガスフロー速度を得るためにプロセスガス
制御サブルーチン163は供給ガス流、バブラ内の圧力
及びバブラ温度を調整する。上述したように、所望のプ
ロセスガスフロー速度はプロセスパラメーターとしてプ
ロセスガス制御サブルーチン163に移される。更に、
プロセスガス制御サブルーチン163は、一定のプロセ
スガスフロー速度の必要値を含む蓄積表をアクセスする
ことにより所望のプロセスガスフロー速度に必要な供給
ガス流速、バブラ圧力及びバブラ温度が含まれる。必要
値が一旦得られると、供給ガスフロー速度、バブラ圧力
及びバブラ温度が必要値と比べてモニタされ、それに応
じて調整される。
【0049】圧力制御サブルーチン165は、チャンバ
の排気系のスロットルバルブのアパーチャサイズを調整
することによりチャンバ15内の圧力を制御するプログ
ラムコードを含む。スロットルバルブのアパーチャサイ
ズは、全プロセスガスフロー、プロセスチャンバのサイ
ズ及び排気系のポンプの設定値圧力に関して所望レベル
でチャンバ圧力を制御するように設定される。圧力制御
サブルーチン165が呼びかけられる場合、所望の又は
目標圧力レベルがチャンバマネージャーサブルーチン1
57aからパラメーターとして取り返される。圧力制御
サブルーチン165は、チャンバに接続された1以上の
慣用の圧力ナノメータを読み取ることによりチャンバ1
5内の圧力を測定し、測定圧力を目標圧力と比較し、蓄
積圧力表から目標圧力に対応するPID(比例、積分及
び微分)値を得、圧力表から得られたPID値に従って
スロットルバルブを調整する。また、圧力制御サブルー
チン165は、チャンバ15内の圧力を所望レベルに調
整するためにスロットルバルブを個々のアパーチャサイ
ズに開放又は閉鎖するように書き込まれる。
【0050】ヒータ制御サブルーチン167は、ヒータ
25(及び基板)を耐熱するために用いられるヒータ要
素473の温度を制御するプログラムコードを含む。図
5に関して、チャンバマネージャーサブルーチン157
aによって呼びかけられるヒータ制御サブルーチン16
7は、入力として所望の目標/設定点温度パタメータ
ー、Tdes を取り返す(ステップ580)。ステップ5
82では、ヒータ制御サブルーチン167は、ヒータ2
5に位置する熱電対の電圧出力を測定することによりヒ
ータ25の現在の温度を測定する。現在の温度はT
(k)を示し、kはヒータ制御サブルーチン167の現
在の時間ステップである。コントローラは、蓄積変換表
の対応温度を捜すか又は4次多項式を用いて温度を算出
することにより熱電対電圧から温度T(k)を得る。具
体的な実施例においては、ステップ584のヒータ制御
サブルーチン167は温度誤差を算出する。Errtemp
と示される温度誤差は、式Errtemp(k)=Tdes −
T(k)で求められる。
【0051】ステップ584では、ヒータ制御サブルー
チン167はErrtemp(k)の絶対値に基づいて2つ
の制御アルゴリズムの1つを選定する。温度誤差の絶対
値が所定のバウンダリ誤差より小さい場合には、ヒータ
制御サブルーチンは、温度調整アルゴリズムを選定する
(ステップ586及び588)。そのアルゴリズムは、
温度を所望温度、Tdes に正確に制御する。温度誤差の
絶対値がバウンダリ誤差より大きい場合には、ヒータ制
御サブルーチン167は温度ランプ制御アルゴリズムを
選定する(ステップ590)。そのアルゴリズムは、ヒ
ータ温度が所望温度、Tdes に近づく速度を制御する。
即ち、温度が変化する速度を制御する。
【0052】温度調整アルゴリズム(ステップ586及
び588)は、できるだけTdes に近い現在の温度、T
(k)を維持するようにヒータ25に埋め込まれた加熱
要素に供給される電力を新しくするためにフィードバッ
ク及びフィードフォワード制御を用いる。そのアルゴリ
ズムでのフィードフォワード制御は、チャンバに供給さ
れたガスフロー及びRF電力の量と種類を与える所望温
度を維持するのに必要な電力量を算定する。フィードバ
ック制御は、温度誤差Errtemp(k)の動的挙動に基
づいて算出したフィードフォワードを調整するために標
準比例−積分−微分(PID)対照項を用いる。PID
対照は、温度誤差の値に関係なく従来のヒータ制御系で
用いられる種類のアルゴリズムである。それらのルーチ
ンが温度ランプレートを制御することを求める場合に
は、時間の異なるTdes ( k)を定義し、上記PIDコ
ントローラを用いてその所望の温度飛翔経路を追跡す
る。
【0053】本発明では、温度誤差の絶対値がバウンダ
リ誤差より大きい場合にヒータ25への電力を制御する
ために温度ランプ制御アルゴリズム(ステップ590)
を用いることが好ましい。そのアルゴリズムは、時間ス
テップkにおける温度の変化速度であるT’(k)を制
御する。ヒータ25がセラミック材料でできていること
から温度変化速度は制御されなければならず、温度が急
速に変化しすぎる場合には熱ショックから破壊すること
がある。ランプ制御アルゴリズムは、T’(k)を所定
の所望ランプレート関数、T’des ( T)に制御するた
めにフィードフォワード及び比例フィードバック対照を
用いる。所望のランプレートは、主にヒータの温度関数
であり、さまざまな温度におけるヒータ25の熱ショッ
ク耐性に基づく。従って、所望のランプレートはヒータ
の現在の測定温度に基づいて連続して変化することがで
き、個々のプロセスの温度の範囲内で熱ショックを避け
るのに十分に低い最低速度に基づいて一定に設定される
こともある。対照アルゴリズムは、系応答を弱めるため
にヒータに供給される電力変化速度に関する飽和関数を
用いる。
【0054】時限温度飛しょうを最もよい状態で追跡す
ることによりランプレートを調節することを試みるコン
トローラは、所望温度、T’des (K)が将来のある時
間Kで達成されることを保証するだけである。長さKの
時間にわたる平均ランプレートはT’des である。しか
しながら、温度T’(k)の瞬間変化速度はその間隔で
は広く異なる。K未満のある間隔で温度を安定なままに
する妨害を考慮されたい。次に、コントローラは所望の
飛しょうT’des (k)にできる限り『追いつく』こと
を試みる。コントローラが追いつく時間のランプレート
は、T’des より大きくなる。そのシナリオにより熱シ
ョック破壊が生じる。直接ランプレートを制御すること
により、本発明はその潜在的シナリオを避けるものであ
る。
【0055】図5に関して、具体的なランプ制御アルゴ
リズムをここに述べる。所望温度、Tdes を入力した後
(ステップ580)、現在温度T(k)を測定し(ステ
ップ582)、温度誤差を求め(ステップ584)、数
値微分法を用いてランプ制御アルゴリズムが実際のラン
プレートT’(k)を算出する。また、T(k)の現在
値に基づいて所望ランプレートT’des (T(k))及び
ランプレートの誤差Errrrate =T’des (T(k))
−T’(k)を求める(ステップ592及び594)。
実際のランプレートT’(k)は温度測定試料の範囲に
わたる測定温度T(k)から算出される。通常、T’de
s (T(k))は種々の実施例において温度の連続関数で
あることができる。個々の実施例においては、T’des
(T(k))は所定の一定値であるように設定される。算
出ランプレートT’(k)は、所定の試料速度(例え
ば、個々の実施例においては新しい電力時間の10倍、
1秒)で温度を取る(即ち、測定する)ことにより求め
られる。次に、10試料の平均を算出し、前の10試料
の平均と比較する。次に、最初の10測定温度と前の1
0測定温度間の差を新しい電力時間で割り平均測定温度
を得る。次に、平均測定温度の微分を算出して算出ラン
プレートT’(k)に達する。次に、ランプレート誤差
ErrRRate を、個々の実施例における一定値T’des
(T(k))と算出ランプレートT’(k)間の差を取る
ことにより求められる。上記実施例は、用いられる数値
微分法の例であるが、より複雑にする他の手法も他の実
施例において用いられる。他の実施例においては、他の
試料速度が用いられる。
【0056】ステップ596を詳しく述べるために、個
々の実施例に用いられる具体的な制御関数は次式で示さ
れる。
【0057】P(k+1) = Pmodel [T(k),T'des (T(k))] +
K p * [T' des (T(k))-T'(k)] 式中、kは現在の時間ステップでありk+1は次の時間
ステップである。P(k+1)は次の時間ステップでヒ
ータに供給される電力である。所望ランプレートと測定
温度の関数であるPmodel [ T(k),T’des (T
(k))] はT(k)においてT’des (T(k)のラン
プレートを与えるのに必要なモデル近似値である。Kp
は、ユーザが定義しランプレート誤差ErrRRate で乗
じる制御ゲイン定数(ワット/(℃/秒))である。個々
の実施例においては、Pmodel [ T(k),T’des
(T(k))] はP(k)に近似することができる。その
近似は、本発明の熱量の大きい抵抗ヒータのようなスロ
ー系について特に言えることである。次に、制御関数は
次式で近似する。
【0058】 P(k+1) = P(k) + Kp * [T' des (T(k))-T'(k)] P(k+1) - P(k) = Kp * [T' des (T(k))-T'(k)] ヒータの応答が緩慢であるために、電力が調整される時
間と調整が所望の結果を生じる時間の間にずれがある。
例えば、ヒータの温度が安定でありかつ所望のランプレ
ートが正である場合には制御はヒータへの電力を増加さ
せる。しかしながら、温度は所望ランプレートに従って
すぐに上がらない。制御は、次に時間ステップで電力を
増加させる。所望ランプレートが満たされるまで電力を
増加させ続ける。しかしながら、その時間によって、供
給電力は所望ランプレートを維持するのに必要とされる
電力より非常に大きくなる。ランプレートは所望値を超
えて増加し続ける。それがオーバーシュートを呼ぶ。コ
ントローラは電力を低下させることにより反応し、徐々
にランプレートが低下する。コントローラは、また、ヒ
ータより速く作用するので、電力を低下させすぎ、ラン
プレートは所望値を超えて低下する。それは振幅であ
る。時間がたつにつれて振幅の程度が減少し、ランプレ
ートは一定の定常値に達する。実在の系は全て小さな妨
害を受けるために小さな定常誤差がある。
【0059】Kp 値は、オーバーシュート及び定常誤差
の大きさを決定する。Kp が大きい場合には、系は振幅
するが定常誤差は小さい。Kp が小さい場合には、反対
が言える。典型的には、Kp は微分制御が系の応答を弱
めるために、即ち、オーバーシュート及び振幅を減少さ
せるために用いられるために大きくすることができる。
定常誤差は積分制御を用いることにより減少するが、こ
れによりオーバーシュートと振幅を高める傾向にし、好
ましくはその制御アルゴリズムで避けられる。その場
合、微分制御は利用できない。温度の第2微分を数字で
計算することが必要である。熱電対信号のSN比が小さ
いために、第2微分は信頼して算出されない。従って、
本発明は、系の応答を弱める微分制御の代わりに定常誤
差及び飽和関数を減少させるために大きなKp を用い
る。飽和関数は、Kp がランプレート誤差、ErrRRat
e に逆比例するようにゲインKp を効果的にスケジュー
ルする。系応答の過渡部分で誤差が大きくかつオーバー
シュートが生じる場合、有効ゲインは小さい。定常状態
では、誤差は小さいので有効Kp は大きい。
【0060】系応答を弱めるために用いられる具体的な
飽和関数は、次の等式で示される(ステップ598)。
それらの等式についてP(k+1)は上で示した制御式
によって定義された電力を意味する。P1(k+1)はヒ
ータに供給された実際の電力である。P’max は、1つ
の時間ステップから次への供給電力の所定の最大許容変
化である。具体的な飽和関数は次の通りである。
【0061】| P(k+1)-P(k)| > P'max ならば、 P(k+1) > P(k)の場合 P1(k+1) = P(k)- P'max 及び P(k+1) < P(k)の場合 P1(k+1) = P(k)- P' max または P1(k+1) = P(k+1) 新しい用語Kpeff(k)はここでは時間ステップkにお
けるコントローラの有効ゲインと定義される。P1(k+
1)=P (k+1)ならば時間kの有効ゲインはゲイン
Kp に等しい。しかし、飽和関数が適用される場合には
上記式のP1(k+1)をP (k+1)に置き換えかつ次
式と組合わせることにより定義される。 P(k+1) = Pmodel [T(k),T'des (T(k))] + K p * [T' de
s (T(k))-T'(k)] 用語Kpeff(k)は次の通りである。
【0062】P'max = Kpeff(k) * Err RRate (K); K peff(k) = P'max /ErrRRate (K) ランプレート誤差が大きい場合に有効ゲインを小さくす
ることにより、その飽和関数によりオーバーシュート及
び応答の振幅を最小にする。これにより、悪いランプレ
ート制御からヒータに対する損傷確度が減少する。従っ
て、ヒータ制御サブルーチン167のランプ制御アルゴ
リズムは、大きなランプレート誤差が生じる場合の系の
応答を弱め、効率のよい温度制御を生じる。
【0063】プラズマ制御サブルーチン170は、マグ
ネトロン電力レベル及びモード(CW又はパルス)を設
定するプログラムコードを含む。RFプラズマ系を有す
る代替的実施例においては、プラズマ制御サブルーチン
170は、チャンバ15内のプロセス電極に印加された
低及び高周波数RF電力レベルを設定しかつ使用低周波
数RF周波数を設定するプログラムコードが含まれる。
ある実施例がマイクロ波電力レベルに用いられる1つの
プラズマ制御サブルーチン170及びRF電力レベルに
用いられる他のプラズマ制御サブルーチン170を有す
ることは当然のことである。前述のようにチャンバ成分
サブルーチン、プラズマ制御サブルーチン170は、チ
ャンバマネージャーサブルーチン157によって呼びか
けられる。
【0064】プラズマ制御サブルーチン170は、マグ
ネトロン電力レベル及びモード(CW又はパルス)を設
定及び調整するプログラムコードを含む。RFプラズマ
系を有する代替的実施例においては、プラズマ制御サブ
ルーチン170はチャンバ15内のプロセス電極に印加
した低及び高周波数RF電力レベルを設定しかつ使用低
周波数RF周波数を設定するプログラムコードが含まれ
る。ある実施例がマイクロ波電力レベルに用いられる1
つのプラズマ制御サブルーチン170及びRF電力レベ
ルに用いられる他のプラズマ制御サブルーチン170を
有することは当然のことである。前述のチャンバ成分サ
ブルーチンのように、プラズマ制御サブルーチン170
はチャンバマネージャーサブルーチン157aによって
呼びかけられる。ゲートバルブ280を有する実施例に
おいては、プラズマ制御サブルーチン170はマイクロ
波電力レベルの設定/調整を調整スルゲートバルブ28
0の開閉のプログラムコードが含まれる。また、システ
ムソフトウェアはゲートバルブ280を有する実施例に
おいて別個のゲートバルブ制御サブルーチンを有するこ
とができる。
【0065】終点検出サブルーチン159は、光源及び
光検出器を制御し、吸光度からの光の強さの変化を比較
するのに有用な光検出器からのデータを取り返し、場合
によっては所定の光の強さレベルを検出するか又は洗浄
プロセスの終点を示すフラグを上げる際に洗浄プロセス
を停止することによる終点検出を扱うプログラムコード
が含まれる。終点検出制御サブルーチン159は、ま
た、チャンバマネージャーサブルーチン157aによっ
て呼びかけられる。終点検出制御サブルーチン159
は、下記の終点検出系を用いる実施例に含まれる。終点
検出系のない実施例は終点検出制御サブルーチン159
を使用又は設置する必要がないことは認識される。
【0066】場合によっては、チャンバマネージャーサ
ブルーチン157aによって呼びかけられるゲッタリン
グ制御サブルーチン169が含まれる。ゲッタリング制
御サブルーチン169は、チャンバシーズニング、後清
浄フッ素ゲッタリング等に用いられるゲッタリングプロ
セスを制御するプログラムコードが含まれる。ある実施
例においては、ゲッタリング制御サブルーチン169
は、使用クリーンレシピと組合わせてゲッタリング制御
を促進するためにクリーンレシピに蓄積された指定ソフ
トウェアを呼びかけることができる。
【0067】上記に示されたCVD系の説明は、主に一
般的説明のためのものであり、本発明の範囲を限定する
ものとしてみなされるべきではない。具体的なCVD系
10は枚葉式真空チャンバ系である、しかしながら、マ
ルチウェハチャンバ系である他のCVD系も本発明の他
の実施態様で用いられる。しかしながら、本発明のある
種の特徴はマルチチャンバ処理系におけるCVDチャン
バの一部として図示及び記載されているが、本発明が必
ずしもその方法に限定されるものでないことは理解され
るべきである。即ち、本発明は、エッチングチャンバ、
拡散チャンバ等の種々の処理チャンバい用いられる。設
計の変化、ヒータ設計、RF電力接続部分の位置、ソフ
トウェア操作及び構造、ソフトウェアサブルーチンに用
いられる個々のアルゴリズム、ガス導入ライン及びバル
ブの配置、及び他の修正のような上記系の変更も可能で
ある。更に、電子サイクロトロン共鳴(ECR)プラズ
マCVDデバイス、誘導結合型高密度プラズマCVDデ
バイス等の他のプラズマCVD装置も用いられる。本発
明に有用な誘電層及びその層を形成する方法は、必ずし
も特定の装置又は特定のプラズマ励起法に限定されるべ
きではない。
【0068】図6及び図7に示されるように、CVD装
置10は、通常、真空チャンバ15内で半導体ウェハを
支持する縦に可動するヒータ(ウェハ支持ペデスタル又
はサスセプタ)25を有する封入アセンブリ200が含
まれる。プロセスガスは、ウェハ上でさまざまな堆積及
びエッチングステップを行うためにチャンバ15に送ら
れる。ガス分配系205(図6〜図12)はガス供給源
90(図3)からウェハ上にプロセスガスを分配し、排
気系210(図6〜図8)はプロセスガス及び他の残留
物をチャンバ15から排出する。CVD装置は、更に、
ウェハを加熱しかつウェハをチャンバ15内の処理位置
へ上向きに上げるヒータ25を含むヒータ/リフトアセ
ンブリ30(図1、図16ー23)が含まれる。完全な
リモートマイクロ波プロセス系55(図1及び図24)
は定期的チャンバ洗浄、ウェハ洗浄又は堆積ステップ用
CVD装置10内に設置される。
【0069】図6に示されるように、CVD装置10は
高温処理中にそれらの成分を冷却するために冷却剤をチ
ャンバ15の種々の成分に送る液体冷却系215が含ま
れる。液体冷却系215は、高温プロセスのためにそれ
らの成分上に望まていない堆積を最小にするためにそれ
らのチャンバ成分の温度を下げるように作用する。液体
冷却系215は、ヒータ/リフトアセンブリ30を介し
て冷却水を供給する1対の水接続部分217、219及
び冷却剤をガス分配系205(後述)に送る冷却剤マニ
ホールド(図示せず)が含まれる。水流検出器220
は、熱交換体(図示せず)から封入アセンブリ200へ
の水流を検出する。装置10の個々の系の好適実施態様
を下記に詳述する。
【0070】A.封入アセンブリ 図6及び図10ー12に関して、封入アセンブリ200
は、アルミニウム又は陽極酸化アルミニウムのようなプ
ロセス適合性材料からつくられた完全なハウジングであ
ることが好ましい。封入アセンブリ200は、プロセス
ガス及びクリーンガスを導入管43を介してアセンブリ
200内の内部リッドアセンブリ230へ送る外部リッ
ドアセンブリ225が含まれる。内部リッドアセンブリ
230は、ヒータ25に支持されたウェハ(図示せず)
上のチャンバ15全体にガスを分散させるように機能す
る。図6に示されるように、リッドカバー233は、封
入アセンブリ200の上部の成分に接近しており(即
ち、外部リッドアセンブリ32)、システム操作中高温
の曝露からオペレータを保護する。SACVDプロセス
については、カバー233はリッドクランプ237のす
きまがチャンバ16のガスを完全な状態に行わせる切り
抜き235が含まれる。リッドカバー233は、通常、
チャンバが、例えば、予防保守チャンバ洗浄を行うため
に開放されて真空を破壊しかつチャンバを大気圧に上げ
なければほとんどのプロセスステップで閉じられたまま
である。リッドヒンジ239は、リッドカバーを閉じて
落ちることを防止するようにロッキングラチェット機構
241が含まれる。
【0071】図6に示されるように、封入アセンブリ2
00は、真空ロックドア(図示せず)及びスリットバル
ブ開口243が含まれ、ウェハ装填アセンブリ(図示せ
ず)がウェハWをプロセスチャンバ16に輸送し、ウェ
ハWをヒータ25に載せる。ウェハ装填アセンブリは、
マルチチャンバ処理系の移動チャンバ(図示せず)内に
配置された従来のロボット機構であることが好ましい。
適切なロボット移動アセンブリは、Maydanの共同譲渡さ
れた米国特許第 4,951,601号に記載されており、その明
細書の記載は本願明細書に含まれるものとする。
【0072】図7、図8、図13及び図14に関して、
チャンバ15の周囲の封入アセンブリ200の内壁24
5は、封入アセンブリ200のシェルフ252上に載置
されているチャンバライナー250で覆われている。チ
ャンバライナー250はプロセスガスをウェハの裏面に
流れることから阻止するように働く。更に、ヒータ25
は封入アセンブリ200より直径が小さいので、ライナ
ー250はヒータ25の下にチャンバ15の下の部分へ
のプロセスガス流を阻止する。従って、ヒータ25の底
及びチャンバ15の下の部分での望まれていない堆積が
最少になる。更に、高温処理でウェハ縁の冷却を防止す
るために封入アセンブリ200のアルミニウム壁とヒー
タ25上のウェハの縁間を熱絶縁する。高温処理中、ラ
イナー250はヒータ25上のウェハの熱い縁(例え
ば、550℃〜600℃)から冷たい周囲のチャンバ壁
(例えば、約60℃)への過度の熱損失を防止する。ラ
イナー250がないと、ウェハの縁の加熱作用がウェハ
中の温度の均一性に悪影響し、均一でない堆積をまね
く。ライナー250は、高温プロセス(例えば、約50
0℃より高い)に十分適するプロセス適合性材料でつく
られた内部253を含むことが好ましい。好ましくは、
ライナー250の内部253は窒化アルミニウム、アル
ミナ等のセラミック材料を含み、アルミナが好ましい材
料である。内部253の厚さは、通常、約3mm〜25mm
(約0.1インチ〜1インチ)、好ましくは約5mm〜8mm
(約0.2インチ〜0.3インチ)である。
【0073】ライナー250は、アルミニウムのような
セラミックより分解に感受性の低い材料を含む外部25
5を含むことが好ましい。外部255は、封入アセンブ
リのシェルフ252上に載置されており、ライナー25
0の内部253を支持する環状リッド254を含む。特
に好適実施例においては、外部255は、図13及び図
14に示される内部エアギャップ259を画成する複数
の周辺に隔置された垂直支柱が含まれる。エアギャップ
259は、外部チャンバ壁からライナー250の内部2
53の絶縁を容易にしてウェハ温度の均一性を高める
(ウェハの外縁は周囲のチャンバ壁温度のために冷やさ
れ、ヒータ及びウェハ温度に相対して低い)。更に、エ
アギャップ259は、ライナー250に厚みを与えるの
でチャンバ外壁とヒータ25間のギャップを塞ぐことが
でき、厚いライナー250で生じる分解又は他の熱損傷
を最少にする。ライナー250の外部255の厚さは、
通常約13mm〜51mm(約0.5インチ〜2インチ)、好
ましくは約23mm〜28mm(約0.9インチ〜1.1イン
チ)であり、エアギャップの厚さは、通常約5mm〜38
mm(約0.2インチ〜1.5インチ)、好ましくは約15mm
〜23mm(約0.6インチ〜0.9インチ)である。環状カ
バー261は、外部ライナー255の上面に配置されて
ポンプチャネル40の下壁を形成する(後述)。環状カ
バー262は、酸化アルミニウム又は窒化アルミニウム
のようなセラミック材料を含むことが好ましく、ライナ
ー250のアルミニウム外部255をプロセスガス及び
ポンプチャネル40内の熱から保護する。
【0074】代替的実施例においては(図示せず)、ラ
イナー250は封入アセンブリのシェルフ252に載置
されている内部セラミック部分255及びポンプチャネ
ル40の底を形成する環状カバー261を含むのみであ
る。その実施例においては、外部255はセラミック部
分255と封入アセンブリの内壁間のエアギャップ(図
示せず)で置き換えられる。エアギャップは高温ウェハ
を封入アセンブリの冷却壁から絶縁し、チャンバ壁とヒ
ータ25間のギャップを塞ぐためにライナー250に厚
さを与える。
【0075】B.ガス分配系 図6及び図10ー12に関して、外部リッドアセンブリ
225は、通常、リッド又はベースプレート265、冷
却剤マニホールド(図示せず)、導管47を含むクリー
ンガスマニホールド270、プロセスガス及び洗浄ガス
を導入管43を介してプロセスチャンバ15へ混合及び
注入するガス混合ボックス273、及び洗浄及び/又は
プロセスガスをガス混合ボックス273へ選択的に分配
するゲートバルブ280が含まれる。ゲートバルブ28
0が任意でありかつ外部リッドアセンブリ225が洗浄
及び/又はプロセスガスをボックス273へゲートバル
ブをもたずに選択的に分配されるように変更されること
が明らかに理解されなければならないことは当然のこと
である。図8に示されるように、ガス混合ボックス27
3、クリーンガスマニホールド277及びゲートバルブ
280は、ベースプレート265の上面に留められる、
例えば、ボルトで閉められることが好ましい。第1及び
第2ガス通路83、85はプレート265の外部に取り
付けられ、ガス混合ボックス273へ伸びる。ガス通路
83、85は各々、オゾン、TEOS、TEPO、ヘリ
ウム、窒素、クリーンガス等のガス供給源(図3参照)
に適切に連結された入口、及び導入管43を介して内部
リッドアセンブリ230へ送る前にガスを混合するボッ
クス273内の混合領域93と通じている出口(図示せ
ず)がある。
【0076】プラズマ処理について、CVD装置10が
ガス分解せず及びガス分配系でガス堆積せずに高電圧R
F電力をガスボックスへ印加することを可能にするガス
通路83、85を収容するガスフィードスルーボックス
(図示せず)を含むことは留意すべきである。具体的な
ガスフィードボックスの記載は、Wangの米国特許第 4,8
72,947号に見られ、その明細書の記載は本願明細書に含
まれるものとする。
【0077】図10に示されるように、クリーンガスマ
ニホールド70は、ガスを入口290から入れかつその
ガスを流体通路293を介してガス混合ボックス273
へ送る導管47が含まれる。ゲートバルブ280は、ガ
ス混合ボックス273へ導管を通過させることを選択的
に可能にするか又は防止する通路293内に固定された
バルブプラグ(図示せず)が含まれる。ゲートバルブ2
80は、作動ハンドル281によって手動されるか又は
ゲートバルブ280がプロセッサ50で制御される。洗
浄(後述)中、ゲートバルブ280はプラズマ系55か
らのクリーンガスをボックス273へ通過させるように
配置され、導入管43を介してチャンバ15へ送られ装
置10のウェハ又はチャンバ壁及び他の成分をエッチン
グする。
【0078】図7に示されるように、クリーンガスマニ
ホールド270は、装置10の封入アセンブリ200の
上の部分に積分してつくられ、導管47はチャンバ15
の上部から側面に向かって適当な曲がり又はカーブがあ
る。マニホールド270の導管47は装置10の封入ア
センブリ200の側壁内に積分して形成された通路への
開口を有し、ヒータ25が作動させる高温のために加熱
される。その通路は、内部ライナー291を備え、封入
アセンブリ200内の通路の内面をアプリケータ管29
2から入るクリーンガス遊離基からの腐食及びエッチン
グから保護するように働く。ライナー291は、クリー
ンガス中の遊離基の結合を防止する。クリーンガスは、
入口57からアプリケータ管292へ導入される。遊離
基は、封入アセンブリ200内のチャンバ15の下の方
にあることが有利であるプラズマ系55のマグネトロン
から放射されたマイクロ波エネルギーによってアプリケ
ータ管292中のクリーンガスから生成される。装置1
0の下の系55の位置は、予防保守洗浄、修理等のチャ
ンバ15の点検を容易にする。特に、底に取り付けられ
たリモートマイクロ波プラズマ系55が装置10のリッ
ドの上に位置しないので、予防保守洗浄を行うために装
置10のリッドの開放は容易に行われる。マイクロ波プ
ラズマ系55は下で詳細に述べられる。図7及び図8に
示されるように、導入管43はプロセスガスをチャンバ
15に送る内部通路295及び洗浄ガスをチャンバへ送
る通路293と通じている環状外部通路297が含まれ
ることが好ましい。
【0079】ベースプレート265の上面又はに留めら
れる冷却剤マニホールドは、熱交換器からの水又はグリ
コール/水混合液のような冷却剤液を入れる。冷却剤
は、冷却剤マニホールドからベースプレート265内の
環状冷却剤チャネル93(図8及び図9)を介して分配
されて処理中のプレート265及び内部リッドアセンブ
リ230の成分からの熱を還流的及び導電的にリモート
する(下で詳細に述べられる)。
【0080】図6及び図9に示されるように、内部リッ
ドアセンブリ230は、通常、ベースプレート265、
プロセスガス及びクリーンガスをチャンバ15へ分散す
るブロッカー又はガス分散プレート301及びシャワヘ
ッド又はガス分散プレート20が含まれる。プレート3
01、20は、高温プロセスに耐えることができるプロ
セス適合性材料から形成されることが好ましい。例え
ば、プレート301、20は、酸化アルミニウム又は窒
化アルミニウム(AlN)のようなセラミック材料又は
アルミニウム又は陽極酸化アルミニウムのような金属を
含むことができる。好ましくは、プレート301、20
は、プレート301、20の表面上のガス堆積を最少に
するためにアルミニウム又は陽極酸化アルミニウムのよ
うな金属を含む。特に好適実施例においては、ガス分散
プレート301は陽極酸化アルミニウムを含み、ガス分
散プレート20はアルミニウムを含む。ガス分散及びガ
ス分散プレート301、20は、各々ベースプレート2
65の下の面に直接留められる。好ましくは、ガス分配
及び分散プレート20、301は、各々ベースプレート
265の下の面に複数のねじ山のある取り付けねじ30
3、305で付けられる。取り付けねじ303、305
は、ガス分配及び分散プレート20、301の各々の接
触面とベースプレート265間の比較的しっかりした表
面対表面の接触を与えてその間の導電性熱交換を容易に
する(下で詳細に述べられる)。取り付けねじ303、
305は、ニッケル、 Hasteloy(登録商標) 、 Haynes
(登録商標) 等を含む。
【0081】図8及び図9に関して、ガス分配プレート
20は、プレート20の接触面とベースプレート265
の下の面とをかみ合わせるために取り付けねじ305を
入れる複数の孔315を有する外部フランジ313があ
る実質的に平らなプレート311である。ベースプレー
ト265は、ガス分配プレート20を265の下面から
隔置しかつプロセスガスを複数のガス分配孔315を介
して半導体ウェハへ均一に分散する2つのプレート間に
チャンバ317(図8参照)を形成する環状外部スタン
ドオフ316が含まれる。また、ガス分配プレート20
は、側壁及び底壁で画成された中央に配置されたキャビ
ティを有する皿形デバイス(図示せず)を含むことがで
きる。
【0082】ガス分配孔315のサイズ及び配置は、プ
ロセスの特徴によって異なる。例えば、ガスをウェハに
均一に分配するように孔315が均一に隔置される。一
方、場合によっては孔315は不均一に隔置及び配置さ
れる。孔315の直径は、0.1mm〜2.5mm(約5 mil〜
100mil)、好ましくは0.2mm〜1.3mm(約10 mil〜
50mil)の範囲である。好ましくは、ガス分配孔315
は、半導体ウェハ上の堆積の均一性を促進するように設
計される。孔(及び上記のマニホールド温度)は、マニ
ホールド外(下)面に堆積物の形成を避けるために、特
に、処理中及び処理後にウェハ上にはげ落ちることがあ
る表面上の柔らかい堆積物の堆積を防止するように設計
される。具体的な実施例においては、孔の配列はほぼ同
心円の環の孔315の1つである。隣接環間の距離(環
対環の間隔)は、ほぼ等しく、各環内の孔対孔の間隔は
ほぼ等しい。ガス分配孔に適した配置の完全な説明は
共同譲渡されたWangの米国特許第 4,872,947号に記載さ
れており、その特許の明細書の記載は本願明細書に含ま
れるものとする。
【0083】ガス分散プレート301は、外部スタンド
オフ316とガス分配プレート20間に形成されたチャ
ンバ317へガスを分散する複数のガス分散孔325を
含むほぼ円形の円板321である。ベースプレート26
5は、分散プレート301をベースプレート265から
隔置しかつスタンドオフ318とプレート301間に形
成されたチャンバ320(図8参照)へベースプレート
265を通過するガスを分散させる第2内部ストンドオ
フ318を含むことが好ましい。また、ガス分散プレー
ト301は、スタンドオフ318よりむしろチャンバ3
20を形成するみぞ(図示せず)を画成することができ
る。分散孔325の直径は、通常約0.02mm〜0.04mm
である。分散プレート301が本発明の好適実施例に含
まれることは当業者に認識されることは当然のことであ
る。しかしながら、他の実施例においては、プロセスガ
スがベースプレート265からガス分配プレート20の
チャンバ317へ直接通過される。
【0084】図8及び図9に示されるように、ベースプ
レート265は、プロセスガスをガス分散プレート30
1に送りかつ全内部リッドアセンブリ230を処理チャ
ンバの本体ユニットに取り付けるように機能する一体成
形積分要素である。RFプラズマプロセスにおいて、内
部リッドアセンブリ230は、チャンバリッドを接地か
ら電気絶縁しかつRFガスボックス(図示せず)からチ
ャンバを分離するアイソレータ(図示せず)が含まれ
る。RFプラズマプロセスで有用な具体的なリッドアセ
ンブリは、Wangの米国特許第 4,872,947号に記載されて
おり、その明細書の記載は本願明細書に含まれるものと
する。
【0085】図15に示されるように、ベースプレート
265は、堆積ガスを排気する環状ポンプチャネル40
を画成する下の表面321を有する(下で詳細に述べら
れる)。図8及び図9に示されるように、ベースプレー
ト265は、混合ガスボックス273からの混合プロセ
スガスを入れる導入管43と通じている中央孔327を
画成する。孔327は、また、孔315までプレート3
01両端にガスを分散させるガス分散プレート301の
みぞ311と通じている。ベースプレート265は、更
に、冷却剤液をプレート215の部分を通ってプレート
265のその部分を還流で冷却する冷却系215に連結
された入口331と出口333を有する冷却剤通路93
を画成する。好ましくは、冷却剤通路は、取り付けねじ
303、305に比較的近いベースプレート265の一
部に形成される。これにより、分散及び分配プレート3
01、20の接触面及びベースプレート265の下の表
面326を介して導電性冷却が容易になる。冷却剤通路
93についての具体的な設計の詳細な説明は、1996年4
月16日に出願された共同譲渡された同時係属中の出願番
号第08/631,902号 (代理人整理番号第1034号) 及びWang
の共同譲渡された米国特許第 4,872,947号に見られ、こ
れらの明細書の記載は本願明細書に含まれるものとす
る。
【0086】図9に関して、ベースプレート265は、
冷却剤通路93の上にベースプレート265に留められ
たみぞ343に配置された中央孔327と環状キャップ
345を周設する環状のみぞ343を画成する。好まし
くは、環状キャップ345は、通路93の上にしっかり
と密閉するようにベースプレート265の上面に溶接さ
れ、通路93からの冷却剤の漏出を効果的に防止する。
この配置で、通路93はガス分配及び分散プレート2
0、301に相対的に近くに形成される。更に、ベース
プレート265の上面にグルーブを形成することにより
通路93がつくられ、製造プレートのコスト及び複雑さ
が減少する。
【0087】ここに図11及び図12に関して、内部リ
ッドアセンブリ230’の代替的実施例を述べる。前の
実施例と同様に、リッドアセンブリ230’はベースプ
レート265、プロセスガス及びクリーンガスをチャン
バ15に分散するガス分散プレート301及びガス分散
プレート20が含まれる。更に、ベースプレート265
は、ベースプレート265及びリッドアセンブリ23
0’の他の成分を冷却するために水のような冷却剤液を
入れる環状冷却剤チャネル500が含まれる。この実施
例においては、ベースプレート265は、ガス分散及び
ガス分配孔325、351のすぐ上にベースプレート2
65の一部と熱を交換するガス分散プレート301の上
の中央孔295の周りに伸びている環状流体チャネル5
02が更に含まれる。
【0088】内部リッドアセンブリ230’は、ベース
プレート265とガス分散プレート301間のチャンバ
から真空15まで伸びている複数のバイパス通路510
が含まれる。バイパス通路510は、ガス分散及びガス
分配孔325、315より流体フローに対する抵抗が小
さい。従って、チャンバ320へ流れる大部分のガスは
直接真空チャンバ15へバイパス通路520を通過す
る。具体的な実施例においては、バイパス通路510
は、チャンバ15へガスを均一に送るためにベースプレ
ート265の周囲に隔置されることが好ましい(図1
1)。この実施例の好適使用においては、NF3 のよう
な洗浄ガスがチャンバ320及びガス分散及びガス分配
孔325、315を各々通過する。更に、洗浄ガスの一
部が直接チャンバ15へバイパス通路510を通過して
洗浄ガスのチャンバ15への供給を容易にする。この実
施例においては、蒸着装置10はガスがバイパス通路5
10を通過することを防止(又は少なくとも阻止)する
コントローラ(図示せず)に連結されたバルブのような
制御系を含むことが好ましい。例えば、処理中、典型的
には、プロセスガスがガス分散及びガス分配孔325、
315を通過してウェハ上に均一に分散することが所望
される。従って、プロセスガスがバイパス通路510を
通過することから防止するためにバルブが閉められる。
チャンバが洗浄される場合、バルブが開いて洗浄ガスの
少なくとも一部をチャンバへ素早く送る。これにより、
洗浄プロセスの速度及び効率が高まり、装置10のダウ
ン時間を短縮する。場合によってはプロセスガスがバイ
パス通路510を介して送られることも認識されること
は当然のことである。
【0089】C.排気系 図6〜図8に関して、CVD装置10の外部に配置され
たポンプ(図示せず)は、チャンバ15から環状ポンプ
チャネル40を通ってプロセスガス及びパージガス並び
に残留物の双方を流すために減圧を与え、放出導管60
に沿って装置10から放出する。図15に示されるよう
に、堆積ガス及びクリーンガスは、チャンバ15の周囲
の環状スロット形オリフィス355を介してポンプチャ
ネル40へウェハW(矢印351で示される)の上へ放
射状に排気される。環状スロット形オリフィス355と
チャネル40は、チャンバの円筒状側壁17の上部(チ
ャンバライナー250の内部253を含む、図7及び図
8参照)とベースプレート265の底部間のギャップに
よって画成されることが好ましい。ポンプチャネル40
から、ガスはチャネル40の周囲に及び下に向かって伸
びているガス通路361を介して真空シャットオフバル
ブ363(その本体は下のチャンバ本体と集積されるこ
とが好ましい)を過ぎて外部真空ポンプ(図示せず)に
接続する放出導管60へ流れる。
【0090】また、CVD装置10は、プロセスチャン
バ15とポンプチャンネル40と直接通じている複数の
ガス孔を有する別個のポンププレート(図示せず)が含
まれる。この実施例においては、ガス孔は、孔を介して
プロセスガスの均一な放出を容易にするためにチャンバ
の中央の開口の周辺に隔置される。入口及び出口の相対
位置を収容するために、ガス孔は中央の開口に相対する
入口から出口へ放射状に外に向かって伸びることができ
る。この孔の放射状の向きは、プロセスガス及びパージ
ガスのかなり均一な放出に寄与し、処理チャンバ15か
ら残留物を排気する。このタイプのポンププレートの詳
細な説明は、1996年2月26日に出願された共同譲渡され
た同時係属中の出願番号第08/606,880号 (代理人整理番
号第 978号) に見られ、この明細書の記載は本願明細書
に含まれるものとする。
【0091】図6及び図7に関して、バルブアセンブリ
(スロットルバルブ系)369は、ポンプチャネル40
を介してガスの流速を制御する放出ライン60に沿って
配置された分離バルブ371とスロットルバルブ373
が含まれる。処理チャンバ15内の圧力は、キャパシタ
ンス381、383(図6参照)でモニタされ、スロッ
トルバルブ373で導管60のフロー断面積を変えるこ
とにより制御される。好ましくは、プロセッサ50は、
チャンバ圧力を示す信号をマノメータ381、383か
ら取り返す。プロセッサ50は、測定圧力値をオペレー
タ(図示せず)によって入れた設定圧力値と比べ、チャ
ンバ15内の所望圧力を維持するのに要するスロットル
バルブ333の必要な調整を求める。プロセッサ50
は、調整信号をコントローラ385を介して駆動モータ
(図示せず)へリレーし、設定圧力値に対応する正しい
設定にスロットルバルブを調整する。本発明での使用に
適切なスロットルバルブは、『プロセスチャンバ圧力を
制御する改良装置及び方法』と称する1996年6月28日に
出願された共同譲渡された同時係属中の出願番号第08/6
06,880号 (代理人整理番号第8918/DCVD-II/MBE号) に見
られ、この明細書の記載は本願明細書に含まれるものと
する。
【0092】分離バルブ371は、プロセスチャンバ1
5を真空ポンプから分離してポンプのポンプ作用による
チャンバ圧力の低下を最少にするために用いられる。ス
ロットルバルブ373と共に分離バルブ371はCVD
装置10のマスフローコントローラ(図示せず)を検定
するために用いられる。あるプロセスでは、液体ドーパ
ントが気化され、キャリヤガスと一緒にプロセスチャン
バ15へ送られる。マスフローコントローラは、チャン
バ15へのガス又は液体ドーパントの流速をモニタする
ために用いられる。MFCの検定中、分離バルブ371
はスロットルバルブへ373へのガス流量を制限又は限
定してチャンバ15内の圧力増加を最大にし、MFC検
定を容易にする。
【0093】D.ヒータ/リフトアセンブリ 図16〜図23に関して、ヒータ/リフトアセンブリ3
0をここで詳細に述べる。ヒータ/リフトアセンブリ3
0は、ウェハを真空チャンバ15内の処理位置へ上げか
つ処理中にウェハを加熱するために機能する。最初に、
ヒータ/リフトアセンブリ30が本明細書に記載及び図
示された具体的なSACVDチャンバ以外の種々の処理
チャンバを使用するために又はその中へ直接入れるため
に変更されることは留意されるべきである。例えば、ヒ
ータ/リフトアセンブリ40は、RF又はマイクロ波電
力でプラズマを生成する類似のCVDチャンバ、金属C
VD(MCVD)チャンバ、又は他の慣用の又は慣用で
ない半導体処理チャンバで用いられる。
【0094】図16及び図20に関して、ヒータ/リフ
トアセンブリ30は、通常、上下支持シャフト391、
393に連結した耐熱性ウェハ支持ペデスタル又はヒー
タ25、ヒータ25及びヒータ25を垂直に移動する駆
動アセンブリ400の下に支持シャフト391、393
を囲むリフト管395、シャフト200、201及びチ
ャンバ15内のリフト管202が含まれる。下で詳細に
述べられるように、ヒータ25(及びその上に支持され
たウェハ)は下の装填/非装填位置の間を制御可能に移
動することができ、封入アセンブリ200内のスロット
243及びガス分配プレート20の下の上の処理位置と
実質的に並ぶ(図7及び図8)。図7に示されるよう
に、ヒータ25は、処理中にウェハが正確に位置するよ
うに周囲のフランジ405を上げた環によって囲まれた
ウェハ支持上面403が含まれる。ウェハ支持表面40
3の直径は、堆積温度、例えば、約200℃〜800℃
の範囲の温度においてウェハWの直径にほぼ等しい。こ
の直径は、典型的には、大サイズのウェハの場合約15
0mm〜200mm(約6インチ〜8インチ)であり小サイ
ズのウェハの場合約約75mm〜130mm(約3インチ〜
5インチ)である。約300mm(約12インチ)径のよ
うな他のウェハサイズがチャンバ、チャンバライニング
250及び支持ヒータ25の適切な変更と共に本発明の
範囲内であることは当然のことである。
【0095】支持ヒータ25は、比較的高い処理温度、
即ち、600℃〜800℃まで又はそれ以上に耐えるこ
とができる処理適合性材料からつくられた円板を含むこ
とが好ましい。該材料は、高温堆積と関連がある反応性
化学からの堆積に耐性がありかつクリーンガス中の遊離
基によるエッチングに耐性がある。ヒータ25に適切な
材料は、窒化アルミニウム、酸化アルミニウム等のセラ
ミックである。熱導電性が高く、耐腐食性が優れかつ熱
衝撃の許容限度が優れることからヒータ25に好適な材
料は窒化アルミニウムである。従って、特に好ましい実
施例においては、ヒータ25の全体の外面は窒化アルミ
ニウムを含んでいる。窒化アルミニウムは高温性能が高
く、チャンバ15で用いられるフッ素及びオゾン化学に
対する耐性が高い。ヒータ25のための窒化アルミニウ
ムの使用はステンレス鋼又はアルミニウム材料い比べて
処理ウェハの背面の金属混入が少なく、信頼性の高いデ
バイスが得られる。更に、アルミニウムは、チャンバ又
はウェハについには蓄積及びはげ落ちるフッ化アルミニ
ウム化合物の層を形成する洗浄ガスに典型的に用いられ
るフッ素含有化合物と反応する傾向があり、混入を生じ
る(下で詳細に述べる)。窒化アルミニウムのヒータ2
5をつくると洗浄中のその問題となる反応が効果的に排
除される。
【0096】図13ー14に関して、駆動アセンブリ4
00は、空気シリンダ、制御可能モータ等を含む種々の
駆動機構が含まれる。好ましくは、適切なギア駆動40
9を介してヒータに連結されたステッパモータ407
は、ヒータ25、シャフト391、393及びリフト管
395を装填/非装填位置と処理位置管の制御された増
加分で垂直に駆動するように作動させる。駆動アセンブ
リ400は、ヒータ25の実質的に自由な垂直移動を可
能にするためにシャフト391の端と処理チャンバの底
部間に取り付けられた上下のベローズ411、413が
含まれる。更に、ベローズ411、413は、ガス分配
面板20とヒータ25が処理中に実質的に平行であるこ
とを行わせるために角移動を可能にする。
【0097】図8、図16及び図20に関して、リフト
管395は、上シャフト391の下の部分を囲み、上ベ
ローズ411をシャフト391、ヒータ25及び処理チ
ャンバ15の内部から放射する熱エネルギーから絶縁す
るのを援助する。リフト管395は、通常、アルミニウ
ムシャフト418、シャフト418の上面に載っている
環状ストライクプレート420及びプレート420をシ
ャフト418に取り付ける環状フランジ422を含む。
フランジ422及びストライクプレート420は、窒化
アルミニウム又は酸化アルミニウム(セラミック又はア
ルミナの形のAl2 O3)のような高温に耐えることがで
きる材料からつくられることが好ましい。フランジ42
2及びストライクプレート420は、シャフト418の
ヒータシャフト391へのそり又は融合を最少にするた
めにアルミニウムシャフト418をヒータから絶縁す
る。具体的な実施例においては、リフト管は、ストライ
クプレート420がガタガタ鳴るのを防止又は少なくと
も阻止するためにフランジ422とストライクプレート
420間に装填された波スプリングのようなスプリング
(図示せず)が含まれる。
【0098】図8及び図20に示されるように、通常2
個、好ましくは4個の複数のウェハ支持/リフトフィン
ガ430は、ヒータ25の周囲に隔置されたガイドスタ
ッド432内に滑らせて取り付けられる。フィンガ43
0は、ヒータ25の下に下向きに伸びるのでストライク
プレート420はフィンガ430をかみ合わせかつ装填
及び非装填ウェハのヒータ25の上面の上に持ち上げる
ことができる。リフトフィンガ430は、酸化アルミニ
ウムのようなセラミック材料でつくられることが好まし
く、通常、切り取られたダブルコーン形ヘッド(図示せ
ず)を有する。4個のリフトフィンガガイドスタッド4
32は、ヒータ25の周りに均一に分配されないことが
好ましいが、代わりにロボットブレードの幅より広い少
なくとも1つの側面を有する矩形を形成し、典型的には
薄い平らなバー(図示せず)であり、その周りでリフト
フィンガ430がウェハを持ち上げなけれならない。リ
フトフィンガ430の底端は丸くなっている。処理中に
ヒータに結合するフィンガを最少にするために、フィン
ガ430の直径は相対的に厚い約3mm〜5mm (約100
mil〜200mil)、好ましくは約4mm(約150mil)で
あり、長さは相対的に短い約25mm〜76mm(約1〜3
インチ)、好ましくは51mm(2インチ)である。
【0099】使用中、ヒータ25がスリット243と反
対の位置(又は実際にスリット243のすぐ下)にある
場合、ロボットブレード(図示せず)がウェハをチャン
バ15に運ぶ。ウェハははじめはリフトフィンガ430
で支持され、ストライクプレート420でヒータ25の
上に持ち上げられる。リフトフィンガ430はヒータ2
6と共に上がるので、ストップ(図示せず)とぶつか
る。ヒータ25はガス分配フェースプレート20と反対
の処理位置まで上がり続けるので、リフトフィンガ43
0はヒータ25内のガイドスタッド432に沈み、ウェ
ハは環状フランジ405内のウェハ支持表面403上で
堆積される。ウェハをチャンバ15から取り出すため
に、上記ステップが逆に行われる。
【0100】図16に関して、抵抗ヒータコイルアセン
ブリ440は処理中に熱をウェハに移すヒータ25内に
収容される。上下の支持シャフト391、393は、ヒ
ータ25を支持し、中空コア445内のヒータコイルア
センブリ440へ必要な電気接続部分を収容する(後
述)。上の支持シャフト391は、比較的高い処理温度
に耐えることができるセラミック材料でできている。好
ましくは、シャフト391は拡散結合窒化アルミニウム
からつくられ、窒化アルミニウムが存在しない場合には
生じる電極及び電気接続部分への堆積及びそのチャンバ
15に用いられる化学による攻撃を防止する。シャフト
391は、シャフト391の中空コア445が周囲温度
及び圧力(好ましくは大気圧、即ち、760torr又は1
気圧)であるようにヒータ25とシャフト391間を気
密にするためにヒータ25に拡散結合されることが好ま
しい。他の実施例においては、中空コア445は約0.8
気圧〜1.2気圧の圧力及び約10℃〜200℃の温度で
あるが、チャンバ15は少なくとも約400℃の温度及
び約20 mtorr〜約600torrの圧力である。この配置
は、電極及び他の電気接続部分をチャンバ15内のプロ
セスガス及びクリーンガスからの腐食から保護するのに
役立つ。更に、シャフト391の中空コア445を周囲
温度で維持すると、RF電源から中空コア445を介し
て電力リード又はルミニウムシャフトへのアークを最少
にする。従って、真空で生じるアークが避けられる。
【0101】図16及び図17に関して、上の支持シャ
フト391は、封入アセンブリ200の下の面に開口4
53を通って伸び、シャフト391とチャンバ15間の
ガスシールを与えるベース455に連結する。上の支持
シャフトは下の支持シャフト393に留められ、例え
ば、ボルトで締められ、アルミニウム又はアルミニウム
合金のような適切なプロセス適合性材料を含む。下の支
持シャフト393は、水冷却アルミニウムシャフトであ
ることが好ましい。しかしながら、下の支持シャフト3
93は酸化アルミニウム又は窒化アルミニウムのような
セラミック材料を含むことができる。1以上のシーリン
グ部材457、例えば、Oリングはシャフト391、3
93間に配置されてコア445とチャンバ15間のガス
シールを維持する。図16に示されるように、下の支持
シャフト393は、装填位置と処理位置間のシャフト3
91、393とヒータ25を移動する駆動アセンブリ4
00上の垂直可動支持体461に取り付けられる。シャ
フト393は、電気接続部分の周りを通過する内部冷却
剤チャネル463を画成してその接続部分をシャフトの
高温から絶縁する。冷却剤チャネル463は、液体冷却
系215の水接続部分217、219に連結した入口と
出口466がある。冷却剤チャネル463は、下のチャ
ンバ領域の相対的に低温を維持してシーリング部材45
7を保護する。代替的実施例においては、ヒータアセン
ブリ30はヒータ25を支持する単シャフト(図示せ
ず)を含み、封入アセンブリ200の下の開口453を
通って伸びる。この代替的実施例においては、シーリン
グ部材457は用いられない。
【0102】ヒータコイルアセンブリ440は、約20
℃/分の速度でチャンバ内での温度少なくとも約200
〜800℃となるように配置される。図18及び図19
に関して、ヒータコイルアセンブリ440はセラミック
ヒータ25に埋め込まれたヒータコイル471が含まれ
る。ヒータベース25に埋め込まれたヒータコイル47
1の経路指示は、ヒータ25の中央付近の電気的接触部
分で開始し、ヒータ25の片面に沿ってその周囲に向か
って後ろと前に動き、ヒータ25のもう一方の面に伸
び、第2電気的接触部分474へヒータ25の中央へ向
かって後ろに及び前に動く単コイル471を与えること
が好ましい。このループパターンは、プレートの幅両端
でほぼ均一な温度を維持するために加熱するが熱損失を
可能にする。好ましくは、ヒータコイル471は、ヒー
タ25のウェハ指示表面両端に少なくとも約400℃+
/−2℃及び少なくとも600℃+/−8℃の均一な温
度分配を与える。具体的な実施例においては、ヒータコ
イル471は、ヒータシャフト391から熱勾配を低下
させるためにヒータ25の中央付近で電力密度が大き
い。
【0103】図21に示されるように、ヒータコイルア
センブリ440は、シャフト341を介して適切な電気
的エネルギー源に伸びる複数のコンダクタリード線47
5に接続された埋込RF網状接地平面電極473を含む
ことが好ましい。網状接地平面要素473は、プラズマ
プロセスが用いられる実施例においては接地路及びプロ
セス抵抗を与えるモリブデン網状電極である。リード線
475は、ニッケル、銅等の比較的高いプロセス温度に
耐えることができる導電性材料を含むことが好ましい。
具体的な実施例においては、リード線475は、セラミ
ックと金属間のろう付けを避けるために電極473に共
焼結される金属挿入部分477で電極473に連結され
た各ニッケル線である。挿入部分477は、モリブデン
のような窒化アルミニウムに対する相対的に密接した熱
膨張整合を有する材料を含むことが好ましい。図示され
るように、モリブデン挿入部分477はモリブデンプラ
グ481に各々留められ、例えば、ろう付けされ、リー
ド線475にろう付けされる。主に抵抗線か主に導電線
かのヒータコイルのワイヤは全て、窒化アルミニウムヒ
ータ本体の注型成形に耐えるように高温を許容する連続
絶縁コーティング(上述したようなもの)でシースされ
る。
【0104】図16、図22及び図23に関して、ヒー
タ/リフトアセンブリ30は、加熱コイル471の温度
を決定する少なくとも1個の熱電対491が含まれる。
熱電対491は、ウェハの底から6mm(約0.25イン
チ)離れたヒータ25の下面と接触させて挿入及び保持
されたセンサ495(図16)を有するエロンゲート管
493が含まれる。それを目的として、ヒータ25は、
熱電対491のセンサ495を要素473に接続するヒ
ータ要素473にろう付けした熱電対ガイド(図22)
が含まれる。熱電対491は圧縮ばね503(図23)
からわずかなばね力で適切に保持され、温度コントロー
ラ(図示せず)に制御信号を与える。センサ495は、
大気圧にあるウェル505に配置されることが好まし
く、加熱要素473と熱電対491間の熱移動を高めて
正確に読み取る。温度コントローラは、均一な温度プロ
ファイルを維持するためにヒータの応答特性を起こそう
としかつそれを変えるレシピステップを予想するレシピ
式比例積分微分(PID)コントローラである。下の支
持シャフト393の真空シール及び接地接続部分は、シ
ャフト393(図示せず)の側面に沿ってつくられ、ヒ
ータワイヤ端511及び熱電対管端513への接続は大
気圧条件でつくられる。
【0105】本発明が使用中である場合、ヒータ25が
スリット243と反対の位置(又は実際にはスリット2
43のすぐ下)にある場合にはロボットブレード(図示
せず)がウェハをチャンバ15に移動する。ヒータ25
とウェハは駆動アセンブリ400で処理位置に持ち上げ
られ、リフトフィンガ430はヒータ25内のガイドス
タッド432に沈むのでウェハはヒータ25の環状フラ
ンジ405内のウェハ支持表面430上で堆積される
(図8、図16及び図17)。TEOS及びO3のよう
なプロセスガスは、ガス通路83、85を通り、ガス混
合ボックス273の混合領域93で一緒に混合される
(図7及び図6参照)。次に、混合ガスは導入管43の
内部通路295及びベースプレート265の中央孔32
7を介してガス分散プレート301の上のチャンバ32
0へ送られ、外側に分散し、孔325を介してガス分散
プレートの上のチャンバ317へ流れる(図8及び図9
参照)。好ましくは、ガスはガス分散孔315を介して
半導体ウェハ(図示せず)上に均一に分配される。
【0106】ヒータ25上のウェハの温度は、典型的に
は、ヒータコイルアセンブリ440によって最低堆積温
度より高く保持されるのでプロセスガスはウェハ表面で
一緒に反応しかつ層を堆積する。詳しくは、電流はコン
ダクタワイヤ457を介して抵抗コイル473へ進み、
個々の実施例に従って約200℃〜800℃の温度にウ
ェハを加熱する。好適実施例においては、温度は、チャ
ンバ内の現在温度に基づいてランプレートを維持するフ
ィードバック制御系(上記ヒータ制御サブルーチン16
7に記載)で制御される。その処理中、内部リッドアセ
ンブリ230は、通過するガス、加熱した半導体ウェハ
及びウェハ加熱供給源を含む種々の供給源から熱を取り
返す。リッドアセンブリ230の成分を最低堆積温度よ
り低く維持するために及びその成分上のガス反応及び堆
積を避けるために、冷却剤液体が冷却剤チャネル93に
導入されてベースプレート265並びにガス分配及び分
散プレート20、301から熱を除去する。
【0107】堆積処理中、ポンプチャネル40内を減圧
にするために真空ポンプを活性化し、プロセスガス及び
プラズマ残留物を処理チャンバ15からチャネル40及
び排気口361を介して引き抜く(図8及び図15)。
更に、パージガスは、サスセプタ25とライナー250
の内部253間のギャップを介して処理チャンバ15へ
通常上向きに進むことができる。パージガスは、プロセ
スガスを装置10の下の部分への漏出を最少にし、排気
口361を介してプロセスガスの除去を容易にする。
【0108】E.積分リモートマイクロ波プラズマ系 図24は、本発明の実施例によるウェハ及び/又はプロ
セスチャンバを洗浄するリモートマイクロ波プラズマ系
55の簡易線図である。マイクロ波プラズマ系55は、
チャンバ15内のウェハ及び/又はチャンバ15の成分
を効率よくエッチング又は洗浄するのに有用な及びおそ
らくは堆積するのに有用な処理チャンバ15から離れて
プラズマを生成する。マイクロ波プラズマ系55は、ア
プリケータ管292;プラズマ点火系(後述);マイク
ロ波導波管系(後述);自動インピーダンス整合のフィ
ードバックを必要とする実施例のための選択位相検波
器、及び負荷707を有するサーキュレータ705を含
むことができるインピーダンス整合系701を含む最適
化要素;及びマグネトロン711が含まれる。
【0109】マグネトロン711は、連続波(CW)又
は約2.45ギガヘルツ (GHz)周波数のマイクロ波のパル
ス出力について約500ワット〜2500ワットで操作
することができる典型的なマグネトロン源である。マグ
ネトロン711は、マグネトロン711からリモートで
位置が示される電源110(図3に図示)で供給され
る。他のマグネトロンも同様に用いられることは当然の
ことである。マグネトロン711からのマイクロ波は、
ジョイント719で一緒に結合される直線及び曲線導波
管区分715、717の種々の長さを含むマイクロ波導
波管系に伝搬される。反射損を最少化した損失の少ない
最大マイクロ波伝搬を与えかつマグネトロンを反射電力
による損傷から保護するために働く最適化要素が導波管
系内に点在する。次の説明は、マグネトロン711から
アプリケータ管292に対する所望の方向である。
【0110】個々の実施例においては、マイクロ波プラ
ズマ系は、図24に示されるように負荷707を有する
サーキュレータ705に接続されたマグネトロン711
を有する。サーキュレータ705は、マグネトロン71
1からアプリケータ管292に向かって前方へのマイク
ロ波伝搬のみ可能である。負荷707は、導波管系から
マグネトロン711に向かって逆に反射される電力を吸
収する。もって、サーキュレータ705と負荷707
は、マイクロ波を前方の向きに進め、マグネトロン71
1を反射電力からの損傷から保護する。サーキュレータ
705は、別の導波管区分715に接続された位相検波
器703に接続される導波管715に接続する。使用す
る場合、位相検波器は同調又は整合系701を取り付け
た別の導波管区分715に曲線導波管区分717を介し
て連結される。スタブチューナ又は他の同調要素を用い
ることができる同調系701は、プラズママイクロ波系
55に導波管区分721の負荷を50Ωまで整合する能
力、導波管の特性インピーダンスを備える。同調系70
1は、個々の実施態様に従って固定同調、手動同調又は
自動同調を与えることができる。自動同調を用いる実施
態様については、位相検波器は、同調系701へフィー
ドバックするために伝搬されたマイクロ波の位相を検出
する3ダイオードアレーであり、適切な負荷を知的に及
び動的に同調させる。個々の実施態様においては、導波
管区分は矩形断面を有するが、他の種類の導波管も用い
られる。
【0111】図24に見られるように、最適化導波管系
を進むマイクロ波は出力導波管区分721からアプリケ
ータ管292へ伝搬され、プラズマが生成される。アプ
リケータ管292はマグネトロン711から導波管系及
び他の最適化要素を介してマイクロ波によってエネルギ
ーが与えられる反応性ガスが入る入力供給ライン57を
有する。アプリケータ管292は、個々の実施態様に従
って複合又はセラミック材料、好ましくはアルミナ又は
プラズマの遊離基によるエッチングに耐性のある他の材
料でつくられた円形(又は他の断面)管である。個々の
実施態様においては、アプリケータ管292は長さが約
90cm〜61cm(18インチ〜24インチ)及び断面の
直径が約8cm〜10cm(約3インチ〜4インチ)であ
る。アプリケータ管292は導波管区分721によって
配置され、マイクロ波を伝搬するために一端が開放して
おり、もう一端が金属壁で終わっている。次に、マイク
ロ波は、マイクロ波に対して透過的であるアプリケータ
管292の内部の反応性ガスに導波管区分721の開放
端を介して伝搬されることができる。サファイアのよう
な他の材料もアプリケータ管292の内部に用いられる
ことは当然のことである。他の実施態様においては、ア
プリケータ管292は複合又はセラミック材料でできた
金属外部及び内部を有し、導波管区分721のマイクロ
波がアプリケータ管292の外部を介して管292の曝
露内部に入り反応性ガスにエネルギーを与える。
【0112】個々の実施態様においては、場合によって
は導波管区分721の金属壁に取り付けられるプラズマ
は紫外線(UV)ランプ731及びUV電源721を含
むプラズマ点火系によって点火される。UV電源733
が金属壁の種々のほかの位置に取り付けられることは当
然のことである。UV電源733によって供給されたU
Vランプ731はアプリケータ管292内のプラズマを
はじめにイオン化する。次に、マイクロ波エネルギーが
点火プラズマのイオン化を維持してゲートバルブ280
を介してチャンバ15に至る入口290に入る遊離基の
流れを生じる。管292内の反応性ガスの導入及びイオ
ン化からのアプリケータ管292内の負荷の変化のため
に、整合系701の使用が効率に結びつくマイクロ波エ
ネルギーを最適化する。好適実施態様においては、整合
系701は、プロセッサ50の又は自動同調用コントロ
ーラユニットの制御下に少なくとも1個のスタブチュー
ナが含まれる。上記のように、他の慣用の同調要素も整
合系701に用いられる。
【0113】上述のように、アプリケータ管292は、
図7に示されるようにアプリケータ管292が封入アセ
ンブリ200の入口290にプラズマ遊離基を出力する
ようにチャンバ15の本体の底に取り付けられ接続され
る。遊離基は、入口290を介して、好ましくはポリテ
トラフルオロエチレン(PTFE)でできたライナー2
91を備える封入アセンブリ200内の通路へ入力され
る。 Teflon(登録商標) PTFEのような市販のPTF
Eは、入口290における反応性化学入力からのエッチ
ング又は堆積に耐性がある。ライナー291は、清浄プ
ロセス中通路でのフッ素基再結合を防止する。PTFE
のほかに、ライナー291は、PFA(ポリテトラフル
オロエチレン樹脂の炭素−フッ素骨格とペルフルオロア
ルコキシ側鎖とを組合わせるポリマーである)のような
フッ素化ポリマー、フッ素化エチレン−プロピレン(T
FE)等を含むフッ素化材料で製造される。通路は、断
面が好ましくは円形又は入口290とアプリケータ管2
92の断面が合う他のタイプの断面である。封入アプリ
ケータ管200のこの裏打ちした通路から、プラズマ遊
離基がゲートバルブ280へのクリーンガスマニホール
ド270内の導管47へ流し込まれる。クリーンガスマ
ニホールド270もPTFEでつくられる。PTFEが
フッ素基によるエッチングに耐性があるので、PTFE
はフッ素基がプラズマ中に生成される洗浄用に好まし
い。クリーンガスマニホールド270とライナー291
の双方が、用いられる反応性ガスに基づく個々の化学に
耐性のある他の材料(上記ライナー291について述べ
たもの)で製造されることは当然のことである。
【0114】ある実施態様においては、上述したように
ゲートバルブ280は堆積プロセスからクリーンプロセ
スを分離する。ゲートバルブ280は、チャンバ15が
堆積、リフロー又はドライブインステップい用いられる
間、通常閉じられたままである。閉じた配置では、ゲー
トバルブ280は清浄プロセスに用いられる導管47内
の粒子が堆積処理中にウェハを汚染することから防止
し、堆積中に導管47及び通路の『あき』容積を減少さ
せる。約200torr〜760torrの圧力における堆積が
開放したゲートバルブ280で起こる場合には、堆積は
アプリケータ管292内で引き起こされ、洗浄プロセス
の汚染をまねく。ゲートバルブ280は、導管47から
の反応性化学による損傷又はそれによる閉鎖バルブ28
0上への堆積を最少にするためにPTFE(又は上記ラ
イナー及びマニホールド270について述べたものと同
様の材料)で製造されることが好ましい。好適実施態様
においては、ゲートバルブ280は粒子グレードゲート
バルブである。ゲートバルブ280を用いる実施態様に
おいては、チャンバ15がウェハ洗浄ステップに用いら
れる場合又はチャンバ洗浄が行われる場合のみゲートバ
ルブ280が開放され、図7に見られるようにプラズマ
遊離基がガス混合ボックス273の流体通路293へ流
し込まれる。上述したように、ある実施態様においては
ゲートバルブ280は全く用いられない。そのときには
プラズマ遊離基が環状通路295を通ってチャンバ15
にガス分配プレート20を介して流し込まれる。そのよ
うにして分配プレート20及びチャンバ15の各部分が
洗浄される。次に、残留物及び使用洗浄ガスが上記排気
系でチャンバ15から排気される。チャンバ15の洗浄
プロセス及びウェハ表面の洗浄は下で詳細に述べられ
る。
【0115】F.終点検出系 図25〜図28は、本発明の他の態様によるマイクロ波
プラズマ系55の洗浄終点検出系800である。上述し
たように、装置10は、金属混入を減少させるために従
来のその場プラズマプロセスの代わりにリモートマイク
ロ波技術を用いる熱洗浄法を用いることが好ましい。本
発明では、物理的スパッタリング作用がチャンバ壁内の
アルミニウムと反応すると共に処理ウェハ中にアルミニ
ウム金属の混入をまねくことがあるその場プラズマプロ
セスを用いるのと対照的に、リモートマイクロ波プラズ
マ系55を用いる穏やかな洗浄法は化学反応のみ用い
る。
【0116】リモートプラズマ系55を用いる洗浄プロ
セスでは、エッチングガス、好ましくはたいていフッ素
基がチャンバ内に進められ穏やかな熱清浄が起こるがプ
ラズマはチャンバと離れたままである(即ち、アプリケ
ータ管292内、図24参照)ようにチャンバ15から
離れて生成される。このプロセスはチャンバ15及び/
又はチャンバ15の成分内のウェハを洗浄するために多
くの利点があるが、チャンバ内にプラズマがないことは
従来の終点検出系を用いて洗浄が完了した時間、即ち、
チャンバ内の最後のプロセスガス残留物が洗浄エッチン
グ剤と反応したのでチャンバから放出される場合を正確
に示すことを困難にする。従来の終点検出系は、典型的
には、チャンバ内のプラズマの使用をあてにし、その場
プラズマからの放出をチェックして清浄プロセスの終わ
りを求めるものである。
【0117】しかしながら、本発明の終点検出系は、マ
イクロ波プラズマ系55によって示されるようにその場
プラズマ或いはリモートプラズマで用いられる。例え
ば、具体的なプロセスにおいて、チャンバ内のSiO2
粉末残留物と反応させるためにフッ素系ガスが用いられ
てSiF4 ガスを生成し、これが真空ポンプでチャンバ
から引き抜かれる。チャンバ内のSiO2 の実質的に全
てが消費された場合、フッ素系ガスはSiF4 を生成す
るためにSiO2 と反応することができない。代わり
に、フッ素系ガスはチャンバ15を汚染し始めるか又
は、例えば、チャンバのアルミニウム壁と反応してフッ
化アルミニウム化合物を生成する。結果として、近似の
終点又は最後のSiO2 残留物がフッ素ガスと反応した
点を求めることが重要であるのでフッ素基がチャンバ1
5に入ることを防止するためにゲートバルブ280が閉
じられる。後述されるように、本発明の終点検出系80
0は、SiF4 のような排気されたクリーンガス反応成
分による光の吸光度に基づいて生じる光の強さの変化を
検出することにより洗浄プロセスの終点を求める。
【0118】図25に示されるように、洗浄終点検出系
800は、分離バルブ371とスロットルバルブ373
間の放出導管60に沿って配置されたガス検出器802
が含まれる。ガス検出器802が装置10の排気系内の
他の位置に配置されてよいことは当然のことである。例
えば、検出器802は、図26に示されるようにスロッ
トルバルブ373の下流に配置される。他の実施例で
は、検出器802は、図27に示されるように導管60
からの試料ガス流を入れるバイパスライン804に沿っ
て配置される。この実施例においては、バイパスライン
804はライン804を通過する流量を変えるか又は、
例えば、チャンバ内のウェハのガス処理中のバイパスラ
イン804に沿ったガスフローを完全に止める制御バル
ブ806が含まれる。
【0119】図28に関して、ガス検出器802の好適
実施例をここに述べる。図示されるように、検出器80
2は、チャンバからのガス及び他の残留物を通過させる
導管60と通じている通し穴806を画成するハウジン
グ804が含まれる。1対のフランジ808、810
は、ハウジング804を導管60に取り付けることが好
ましい。ハウジング804の側壁は、遠赤外線を通過さ
せるように配置される1対の赤外線(IR)の窓81
2、813が含まれる。遠赤外線は、約10μmで始ま
る波長を有する。IRの窓812、813は、長さLで
隔置され、ゼロ又は実質的にほとんどない光線が窓81
2、813によって吸収されるように遠赤外線に実質的
に透過的な材料を含むことが好ましい。更に、IR窓8
12、813材料は、プロセス適合性でプロセスガス及
びクリーンガス化学に対して不活性でなければならず、
膜を汚染してはならない。フッ素基が洗浄プロセスに用
いられる実施例では、窓812及び813は、フッ素に
耐性がある。IR窓812、813に好適な材料は、ゲ
ルマニウム、フッ化カルシウム等が含まれる。
【0120】図28に概略図で示されるように、検出器
802は、遠赤外線を生成しかつその光線を窓812、
813を通って透過するので光線が通し穴806を通過
するハウジング804に適切に連結された遠赤外線ラン
プ814が含まれる。IR検出器816は、窓813を
通過する遠赤外線を受け取り検出する位置でハウジング
804に連結される。好ましくは、遠赤外線ランプ81
4は、光ノッチフィルタを有するタングステンランプで
ある。
【0121】本発明が使用中である場合、クリーンガス
反応成分(例えば、SiF4 )は導管60及び検出器8
02の通し穴806に沿って進められる。遠赤外線ラン
プ814は、窓812、通し穴806及び窓813を通
って遠赤外線を透過し、検出器816で受け取る。光線
がクリーンガスSiF4 を通過するので、これらの反応
成分(即ち、シリコン)は遠赤外線の一部を吸収し、検
出器816によって受け取られる光の強さを低下させ
る。フッ素は遠赤外線を吸収しない。従って、検出され
た遠赤外線の強さが標準値まで増加する場合、検出器8
16はコントローラ(図示せず)に信号を送り、導管6
0を通過するSiF4 の濃度がかなり低下したか又は完
全に停止したことが示され、洗浄終点が到達したことが
示される。この点で、コントローラは適切な信号をプロ
セッサ50に送り、ゲートバルブ280を閉鎖しかつエ
ッチングガスがチャンバい入らないように防止する。上
記の具体的な洗浄プロセスにおいて、終点検出系880
は、約10μm の波長で光線を吸収するクリーンガス反
応成分SiF4 及び約5μm 〜6μm の波長で光線を吸
収するフッ素によって吸収される遠赤外線を供給する供
給源814及び検出する検出器816を使用する。他の
実施例においては、供給源814及び検出器816は異
なる波長を示すことができ、クリーンガスプロセスで用
いられる個々のクリーンガス反応成分の吸光度特性に左
右される。
【0122】一例として、I0 はSiF4 が導管60を
流れてなく検出器816がランプ814から全部の強さ
を受け取る場合の遠赤外線の強さである。SiF4 が洗
浄中通し穴806を流れるにつれて、遠赤外線が吸収さ
れかつ検出器816で受け取られる強さ(I)が減少す
る。下記式で示される。
【0123】I/I0 =exp(−X* L* C) 式中、XはIR窓812、813又はフィルタ(図示せ
ず)の吸光係数であり、Lは窓812、813(図28
参照)の長さであり、Cは検出器802を通過するSi
F4 の濃度である。I/I0 が1の値に達するとSiF
4 の濃度は低下し、洗浄終点が近いことを意味する。洗
浄終点が到達したことを意味するこの値が1に近づくま
でコントローラはI/I0 を絶えずてモニタする。
【0124】上記の説明はマルチチャンバ処理系のCV
Dチャンバに関するものであるが、他のプラズマエッチ
ングチャンバ、物理的堆積チャンバ等について本発明の
ある種の特徴を実施することは可能である。従って、上
記の説明及び例示は前述の特許請求の範囲によって定義
される本発明の範囲を限定するものとして用いられるべ
きではない。本発明は上に記載された及び同封図面に示
された枚葉式ウェハチャンバに限定されないことは留意
されるべきである。例えば、本発明のスロットルバルブ
は、複数のウェハを同時に処理するバッチチャンバへ取
り付けられる。更に、本発明は、各々のウェハについて
個々の処理ステップを連続して行うマルチウェハチャン
バで使用するのに適している。
【0125】II.CVDリアクタ系を用いる高温多段
プロセス A.具体的な構造と応用 図29は、本発明の集積回路900の簡易断面図であ
る。図示されるように、集積回路900はNMOS及び
PMOSトランジスタ903及び906が含まれ、シリ
コン(LOCOS)の局部酸化又は他の手法によって形
成された酸化物電界領域920によって相互に分けられ
及び電気的に分けられる。また、トランジスタ903及
び906は、トランジスタ903及び906が両NMO
S又は両PMOSである場合に浅いトレンチ分離(図示
せず)によって相互に分けられ及び電気的に分けられ
る。各トランジスタ903及び906は、供給源領域9
12、ドレーン領域915及びゲート領域918を含
む。
【0126】含金属誘電(PMD)層は、金属層940
と接触部分924でつくられたトランジスタ間の接続部
分でトランジスタ903と906を金属層940から分
けられる。金属層940は、集積回路900に含まれた
4つの金属層940、942、944及び946の1つ
である。各金属層940、942、944及び946は
各金属間誘電層927、928及び929で隣接の金属
層から分けられる。隣接の金属層は、ビア926による
選定開口で接続される。金属層946上に堆積されると
不活性化層が平坦化される。CVD装置10は、例え
ば、PMD層921、IMD層927、928及び92
9又は不活性化層として用いられる膜を堆積させるため
に用いられる。CVD装置10は、また、LOCOS酸
化物電界領域920の代わりに用いられる浅いトレンチ
分離構造の酸化物充填層を堆積させるために用いられ
る。
【0127】上記CVD装置の使用の他の例は、図29
の具体的な集積回路900に示された超薄供給源及びド
レーン領域912及び915を形成するものである。M
OSトランジスタのソース/ドレーン領域を形成するの
に超薄ドープ接合部分を形成する本方法の適用を一例と
して図30〜図34で述べる。
【0128】図30は、部分的に完全なMOSトランジ
スタの簡易断面図である。単に一例として、MOSトラ
ンジスタ1000はPMOSトランジスタである。NM
OSトランジスタも形成されることは当然のことであ
る。PMOSトランジスタ1000については、用いら
れるドープ誘電層1008はP形ドーパント源としての
BSG膜とすることができる。図30に見られるよう
に、ゲート酸化物1003の上にあるゲート電極100
2は材料1004上にすでに形成されている。本例で
は、材料1004はN形基板又は基板内に形成されるN
ウェルとすることができる。酸化物電界領域1006
は、シリコン局部酸化(LOCOS)のような方法で形
成される。超薄ドープ接合部分が所望される領域は、マ
スクを用いて画成される。本例においては、該領域はソ
ース/ドレーン領域1010及び1012であるが、軽
ドープドレーン(LDD)領域を形成するように画成さ
れる。下で詳述されるプロセスレシピと共に上記CVD
リアクタ系を用いると、ドープ誘電層1008は耐熱ヒ
ータ25上に載っているウェハのソース/ドレーン領域
1010及び1012上に形成される。
【0129】ソース/ドレーン領域1010及び101
2上にドープ誘電層1008を形成させる前に、ソース
/ドレーン領域1010及び1012の表面について、
上記リモートマイクロ波プラズマ系55からのNF3 の
ような反応性ガスで形成されたプラズマ或いは熱NF3
蒸気を用いることにより存在することができるゲート酸
化物又は未変性酸化物が洗浄される。洗浄手順で、ソー
ス/ドレーン領域1010及び1012の表面上に存在
することができる酸化物を洗浄するためにNF3 からの
フッ素基がチャンバ15に入ることができるようにゲー
トバルブ15が開放される。これらの酸化物を洗浄する
とソース/ドレーン領域1010及び1012上に形成
されるドープ誘電層1008からの一致したドライブイ
ンドーパントを可能にする。リモートプラズマからのフ
ッ素基は、チャンバ15内のウェハから未変性酸化物を
洗浄するために用いられる。洗浄手順では、ウェハ上の
デバイスを損傷することなくフッ素基が酸化物を最適に
洗浄することができる位置にヒータ25が調整される。
好ましくは、その未変性酸化物除去/洗浄ステップ及び
ドープ誘電層1008の堆積がその場方法で同じチャン
バ内で行われる。上記CVD装置10の使用は、チャン
バ15の真空は破壊されずかつウェハが環境に曝露され
ないのでウェハによる水分吸収を回避する。また、望ま
れていない酸化物はその場でNF3 を熱分解することに
よりウェハから洗浄される。この代替方法においては、
フッ素基は、約200〜1500標準立方センチメート
ル/分(sccm)、好ましくは約500sccmのNF3 及び場
合によっては約0〜1000sccmのO2 をチャンバ15
に導入することによりチャンバ15内でその場で生成さ
れる。同時に、チャンバ15は約500℃〜650℃、
好ましくは600℃まで加熱され、約60torr〜760
torr、好ましくは400torrの圧力で維持され、ヒータ
25は約4mm〜22mm(約150〜900mil)、好まし
くは約15mm(約600mil)でプレート20から隔置さ
れる。従って、ソース/ドレーン領域1010及び10
12の表面の未変性酸化物バリヤが洗浄される。
【0130】洗浄ステップ後、ある実施例のゲートバル
ブ280は、ドープ誘電層堆積プロセスに用いられる反
応性ガスを導管47の表面に入りかつ堆積することから
締め出すために閉鎖される。ヒータ25は、適切な処理
位置に移動し、所望のプロセスレシピに従ってチャンバ
15の真空中指定の温度まで加熱する。次に、ドープ誘
電層1008は、下記のようにCVD装置10内で高温
(約500℃〜600℃)において形成される。未変性
酸化物バリヤをもたずに、ウェハ上に形成されたドープ
誘電層1008からのドーパントは基板内に運ばれて超
薄ソース/ドレーン領域1010及び1012を形成す
る。
【0131】ドープ誘電層1008の堆積後、ウェハは
チャンバ15内にある。次に、耐熱ヒータ25とその上
のウェハは高温(約800℃)に指定の時間加熱する。
加熱ステップは、ドープ誘電層をN形材料1004へド
ーパントを運ぶ。ドープ誘電層1008は、図31に示
されるように得られた超薄接合部分1020のP形ドー
パント拡散供給源として用いられる。ゲートバルブ28
0がそのドライブインステップ中閉じられたままである
ことは当然のことである。その場加熱される代わりとし
て、ウェハは、ドーパント拡散源として作用するドープ
誘電層1008からドーパントをドライブインするため
にアニール電気炉又は急速熱処理リアクタ(好ましくは
マルチチャンバ系)へ移される。拡散は、アニール又は
又は急速熱処理によって行われる。好ましくは、これら
の他の実施例においては、拡散は急速熱処理を用いて
(良好なスループットのために)約950℃〜1100
℃で約1分〜3分間、好ましくは約100℃で約1分間
行われる。
【0132】拡散後、ドープ誘電層1008はN形材料
1004からドライ又はウェットエッチング法又は他の
除去法によって除去される。CVD装置10は、また、
適切なエッチング化学と共にリモートマイクロ波プラズ
マ系55を用いることによりドープ誘電層1008を除
去するために用いられる。その誘電層除去ステップにつ
いては、除去が完了するまで、そのときゲートバルブ2
80は閉じられているが、リモートプラズマが層100
8をエッチングすることを可能にするためにゲートバル
ブ280は開放される。除去ステップはチャンバ15内
のヒータ25からウェハを移すことなくその場で行われ
る。また、除去ステップは、CVD装置10と同じマル
チチャンバ系内で誘電層除去のために離しておいた他の
チャンバ内で行われ、マルチチャンバの真空外部の環境
にウェハを曝露することを回避する。図32は、ドープ
誘電層100の除去後の部分的に完全なPMOSトラン
ジスタ1000である。PMOSトランジスタ1000
は、ゲート電極1002及び超薄P形ドープ接合部分で
ある隣接のソース/ドレーン領域1020が含まれる。
その後、デバイスの完了のために残りのプロセスステッ
プがウェハ上で行われる。
【0133】全てのウェハ(又は数枚のウェハ)がCV
D装置10のチャンバ15内で処理された後、チャンバ
清浄が行われる。ウェハの処理がチャンバ15内で完了
した後、ウェハはスロット243を通って移され、真空
密閉される。次に、ゲートバルブ280が開けられ、チ
ャンバが終点検出系によって示される仕様に洗浄される
までリモートマイクロ波プラズマ系55を用いてチャン
バ清浄プロセスを行うことを可能にする。
【0134】他の実施例においては、図33〜図34に
示されるステップが図30に記載されるステップ後に行
われる。ドープ誘電層1008が図30に見られるよう
にソース/ドレーン領域1010及び1012及びゲー
ト電極1002上に形成された後、ドープ誘電層100
8の上にあるUSGのようなキャッピング層1030
が、好ましくはチャンバ15内のその場プロセスで形成
される。層1008の堆積中、ゲートバルブ280は閉
じられたままである。次に、上記図31について述べら
れたようにドープ誘電層1008から半導体材料100
4へ拡散させるために基板が加熱される。また、ウェハ
は、上記図31について述べられたようにドーパントを
ドープ誘電層1008から拡散させるアニール電気炉又
は急速熱処理リアクタへ移すためにチャンバ15から取
り出される。好ましくは、チャンバ15(ドープ誘電層
1008及びキャッピング層1030が堆積された)が
一部である同じマルチチャンバ系で急速熱処理を行う。
図34に見られるように、キャップ層1030が上にあ
るドープ誘電層1008からのドーパントが半導体材料
1004に拡散して超薄ソース/ドレーン接合部分10
20を形成する。次に、キャップ層1030及びドープ
誘電層1008が上記のように離れてエッチングされて
残りの処理ステップのために図32に示されるように部
分的に完全なPMOSトランジスタ1000を得る。約
0.25μm デバイスの形については、ホウ素原子を拡散
させると、約100オングストローム〜200オングス
トロームのUSG膜でキャップされた約100オングス
トローム〜200オングストロームのBSG膜厚が形成
され、PMOSトランジスタの好適実施例の約0.05μ
m〜約0.1μm の範囲の接合部分が得られる。
【0135】NMOSトランジスタ1000がゲート電
極1002及びN形超薄接合部分が本発明の他の実施例
に従ってドーパント源としてPSG膜又はヒ素ドープケ
イ酸塩ガラス膜のようなドープ誘電膜1008を用いて
P形半導体材料1004に形成されるソース/ドレーン
領域1010及び1012が含まれる。P形材料100
4はP形基板又は基板内に形成されたPウェルとするこ
とができる。約0.25μm デバイス形については、約1
00オングストローム〜200オングストロームのPS
G膜厚と約100オングストローム〜200オングスト
ロームのUSG膜により、好適実施例の約0.05μm 〜
約0.1μm の範囲の深さの半導体材料の中に運ばれたリ
ンが得られる。用途によってN形又はP形超薄接合部分
を得るために他のドープケイ酸塩ガラス膜が用いられる
ことが認識されることは当然のことである。
【0136】本発明の使用の他の例は、デバイス間の浅
いトレンチ分離構造にチャネルストップとして超薄ドー
プ領域を形成するものである。超薄チャネルストップ領
域を形成する本方法の適用を図35〜図41について述
べる。
【0137】図35は、半導体材料1100に形成され
た部分的に完全な浅いトレンチ分離構造の簡易断面図で
ある。図35に見られるように、トレンチ1102は反
応性イオンエッチング、プラズマエッチング又は他の手
法を含む異方性エッチング法を用いて半導体材料110
0に形成される。本例においては、半導体材料1100
はP形基板又は基板内に形成されるPウェルとすること
ができる。マスク1104は、浅いトレンチ分離でチャ
ネルストップ領域を画成するために用いられる。下で詳
細に述べられるプロセスレシピを用いて、ドープ誘電層
1106がマスク1104を用いてトレンチ1102上
に形成される。ドープ誘電層1106は、導電路を半導
体材料1100のデバイス間に形成することから防止す
るために用いられるチャネルストップドーピング領域を
拡散及び形成するドーパント原子源を供給する。P形材
料1100については、ドープ誘電層1106はP形ド
ーパント源としてBSG膜とすることができる。
【0138】トレンチ1102上にドープ誘電層110
6を形成する前に、トレンチ1102の表面について、
後述されるNF3 のような反応性ガスを用いて形成され
るリモートプラズマ中のフッ素基を供給するリモートマ
イクロ波プラズマ系55を用いることにより存在するこ
とができるゲート酸化物又は未変性酸化物が洗浄され
る。洗浄ステップ中、ゲートバルブ280は導管47か
ら環状の外部通路297を介して及び分配プレート20
を介してチャンバ15へフッ素基が流れるように開放す
る。その上にウェハを有するヒータ25は洗浄の位置に
下げられるので、フッ素基が基板を損傷することなくト
レンチ1102の表面に存在することができる望ましく
ない酸化物のウェハを洗浄することができる。その酸化
物を洗浄すると、トレンチ1102上に形成されるドー
プ誘電層1106からの一致したドライブインのドーパ
ントを可能にする。洗浄ステップ及びドープ誘電層11
06の堆積は、その場プロセスでチャンバ15内で行わ
れる。代替的実施例においては、洗浄ステップは上記の
ようにNF3 をその場熱分解することにより行われる。
次に、NF3 プラズマ又は蒸気からのフッ素基が、トレ
ンチ1102の表面に存在することができる酸化物を洗
浄する。他の代替的実施例においては、上記のマルチチ
ャンバ系の別個のチャンバがその洗浄ステップに用いら
れる。ウェハによる水分吸収はチャンバ15(或いはマ
ルチチャンバ系)の真空を破壊しないことにより回避さ
れるので、トレンチ1102の表面は未変性酸化物バリ
ヤがない。洗浄ステップが行われた後、ゲートバルブ2
80は閉じられる。未変性酸化物バリヤをもたずに、ド
ープ誘電層1106からのドーパントは基板内に容易に
及び均一に運ばれて浅いトレンチ分離を与えるチャネル
ストップ領域として用いられる超薄接合部分を形成す
る。その上にウェハを有するヒータ25は、処理位置に
移動され、層1106を堆積する高温(約500℃〜7
00℃)に加熱される。
【0139】ドープ誘電層1106の堆積後、ウェハは
ドライブインステップのチャンバ15内のままである。
ゲートバルブ280は閉じられたままであり、ヒータ2
5は高温(約800℃)に加熱される。加熱は、拡散に
必要とされる所望の接合深さに基づく指定の時間生じ
る。また、ウェハはドーパントをドープ誘電層からP形
材料1100へ送るためにアニール電気炉又は急速熱処
理リアクタ(好ましくはマルチチャンバ系内)に移され
る。ドープ誘電層1106は、図36に示されるように
得られた超薄チャネルストップ領域1108のP形ドー
パント拡散源として用いられる。超薄チャネルストップ
領域1108はP形材料1100に形成されたP+形領
域である。
【0140】拡散後、ドープ誘電層1106はウェット
エッチング法又は他の除去法によりP形材料1100か
ら除去される。好ましくは、ウェハはチャンバ15内の
ままであるのでゲートバルブ280は開放されると共に
リモートマイクロ波プラズマ系55からの遊離基は層1
106からエッチングすることができる。プラズマ系5
5への反応性ガス入力は、ドープ誘電層1106の種類
に左右されることは当然のことである。図37は、ドー
プ誘電層1106の除去後の部分的に完全な浅いトレン
チ分離構造である。図38に見られるように、トレンチ
1102は、次に、酸化物1110で充填されて浅いト
レンチ分離構造を形成する。好適実施例においては、高
温で堆積した高品質USG膜は高アスペクト比のトレン
チを充填する酸化物1110として用いられる。酸化物
1110は他の堆積法を用いても形成される。
【0141】超薄チャネルストップ領域1108を有す
る浅いトレンチ分離構造を完了した後、浅いトレンチ分
離構造で分けられたデバイス1112及び1114が図
39に示されるように形成される。デバイス1112及
び1114は各々、ゲート電極1116及び隣接のソー
ス/ドレーン領域1118及び1120が含まれる。そ
の後、デバイスを完成させる残りのプロセスステップ
が、ウェハを他のチャネルに移すことにより、好ましく
はマルチチャンバ系で行われる。ウェハをチャンバ15
から移した後、上記図30〜図34に関して述べられた
ように、リモートマイクロ波プラズマ系55を用いてチ
ャンバ清浄が行われ、耐熱ヒータ25は洗浄位置に調整
され、ゲートバルブは開放する。
【0142】代替的実施例においては、図35に示され
たステップ後に図40〜図41に示されるステップが行
われる。ドープ誘電層1106が図35に見られるよう
にトレンチ1102及びマスク1104上に形成された
後、ドープ誘電層1106の上にあるUSGのようなキ
ャッピング層1110がその場プロセスでチャンバ15
内で形成される。キャッピング層1110の堆積中、ゲ
ートバルブ280は閉じられる。次に、上記図36につ
いて述べられたように、キャップしたドープ誘電層11
06から半導体材料1100へドーパントを拡散させる
ドーパントドライブインのために基板がチャンバ15内
でその場加熱される。また、上記図36について述べら
れたようにキャップされたドープ誘電層1106から半
導体材料1100へドーパントを拡散させるアニール電
気炉アルミニウム急速熱処理リアクタ(好ましくはマル
チチャンバ系内)へ移すためにウェハがチャンバ15か
ら取り出される。図41に見られるように、キャップ層
1110が上にあるドープ誘電層からのドーパントが半
導体材料1100内に拡散されて超薄チャネルストップ
領域1108を形成する。キャップ層1110はドープ
誘電層1106から上向きのドーパントのガス抜きを最
少にし、基板材料1100へ拡散するドーパントが多く
なる。拡散ステップが行われた後、ゲートバルブ280
は開放されると共にキャップ層1100とドープ誘電層
1106の双方が適切なエッチング化学によるリモート
マイクロ波プラズマ系55を用いてエッチングされて図
38〜図39の残りの処理ステップのための図37に示
される部分的に完全な浅いトレンチ分離を得る。ウェハ
がチャンバ15から移される場合、ゲートバルブ280
は閉鎖された位置にある。次に、ゲートバルブ280を
開放したプラズマ系55を作動させることによりチャン
バ15のチャンバ清浄が行われる。
【0143】P形基板のNMOSトランジスタ間の浅い
トレンチ分離については、ドープ誘電膜1106がBS
G膜とすることができる。N形基板(又はCMOS回路
のNウェル)のPMOSトランジスタ間の浅いトレンチ
分離については、ドープ誘電膜1106はPSG膜又は
ヒ素ドープケイ酸塩ガラス膜とすることができる。深さ
が約0.5μm のトレンチ1102を有する0.35μm 未
満のような小さいデバイス形については、約0.1μm 接
合深さのチャネルストップ領域1108が、本発明の好
適実施例の厚さ約200オングストロームのBSG膜1
106及び厚さ約200オングストロームのUSGキャ
ッピングを用いて形成される。
【0144】簡易集積回路900が単に説明のためのも
のであることは理解されなければならない。当業者は、
マイクロプロセッサ、応用特定集積回路(ASIC
S)、メモリデバイス等の他の集積回路の製造に本方法
を実施することができる。更に、本発明はPMOS、M
NOS、CMOS、バイポーラ又はBiCMOSデバイ
スに適用される。超薄ソース/ドレーン接合部分及び超
薄トレンチ分離用途が上述されているが、本発明は超薄
ドープ領域が所望される他の用途にも用いられる。本発
明は、また、PMD、IMD、不活性化及びダマスカス
層を含む集積回路デバイスの種々の層として有用な非ド
ープ酸化物及びドープ酸化物を形成するのに用いられ
る。
【0145】本発明の種々の実施例に従ってチャンバ1
5内のその場又は個々に操作する具体的なウェハ洗浄、
堆積及びチャンバ洗浄プロセスを下記に述べる。
【0146】B.堆積前の未変性酸化物の洗浄 本発明の個々の実施例によれば、超薄ドープ接合部分が
所望されるシリコン基板又は領域上に存在することがで
きる未変性酸化物が例えば、ドーパント拡散源又はPM
D層として用いられるドープ誘電層の堆積前に洗浄され
る。その実施例においては、リモートマイクロ波プラズ
マ系55によってNF3 のような反応性クリーンガスで
生成されたプラズマからのフッ素基を用いることにより
未変性酸化物が洗浄される。1チャンバ内或いは同じマ
ルチチャンバ系のチャンバ内のその場プロセスを用いる
とその個々の実施例に従って生成された超薄接合部分の
高品質が可能であると共に含水量が少なくかつ縮みの小
さい誘電層を与えることが可能である。
【0147】個々の実施例においては、チャンバ15は
全洗浄プロセスについては堆積温度、約300℃〜65
0℃の範囲の温度、好ましくは約550℃〜600℃で
維持される。チャンバ15は、その温度を維持しつつ約
1torr〜2torrの範囲の圧力、好ましくは約1.5torrで
維持される。ヒータ25は、ガス分配プレート20から
15mm(約600mil)の位置に移動され、ゲートバルブ
280は開放され、クリーンガスNF3 は約600sccm
の速度でアプリケータ管292へ導入される。クリーン
ガスはアプリケータ管292へ導入され、圧力が約3秒
間安定化された後にマイクロ波エネルギーがアプリケー
タ管292内のNF3 に加えられる。次に、CWモード
で作動させるマグネトロン711から約500〜250
0ワット、好ましくは約1000〜1500ワットのマ
イクロ波電力が約5〜10秒間加えられる。マイクロ波
は、上記のようにマグネトロン711から導波管及び最
適化系を介して伝搬されて窓を通ってアプリケータ管2
92に入る。UVランプ731はアプリケータ管292
内の反応性ガスを点火してプラズマを生成し、イオン化
は窓でアプリケータ管292に入るマイクロ波エネルギ
ーによって維持される。アプリケータ管292で生成さ
れた上流プラズマからの遊離基は入口290に流れ込む
ように出力される。遊離基は、封入アセンブリ200内
の裏打ちされた通路をクリーンマニホールド270の導
管47へ開放ゲートバルブ280を介して及び環状外部
通路297へ流れてチャンバ15を入りかつウェハから
未変性酸化物を洗浄する。次に、使用したクリーンガス
反応成分及び酸化物残留物はチャンバ15から開放スロ
ットルバルブを介して排気される。本説明は全容量が約
6リットルのチャンバ15についてのものである。フロ
ー値が他の実施例において用いられるチャンバのサイズ
及び種類によって異なってよいことは認識される。
【0148】個々の実施例においては、約1torr〜2to
rrより低い圧力で維持されたチャンバ15において、フ
ッ素化学種の急速な除去が生じ、悪い洗浄結果となる。
約1torr〜2torrより高い圧力では、衝突損失並びに過
熱を引き起こすこと及びアプリケータ管292に対する
損傷のために再結合を起こすことがある。チャンバ15
は、フッ素化学種が急速に除去されず、再結合が起こら
ずかつアプリケータ管292が壊れない圧力レベルで維
持されなければならない。ある実施例においては、マイ
クロ波電力が印加される場合、チャンバ圧力はアプリケ
ータ管292の物理的大きさ及び材料によって制限され
る。個々の実施例においては、マイクロ波電力が印加さ
れる場合、アプリケータ管292の圧力は最適チャンバ
圧力の約3倍となることができる。異なるアプリケータ
管が異なる流速で用いられる場合、最適チャンバ圧力は
変動する。マイクロ波が加えられることなくアプリケー
タ管292が用いられる場合、いかなる圧力も用いられ
ることは当然のことである。
【0149】プラズマがウェハの上流に生成されるの
で、プラズマ中の反応性フッ素基のみがウェハに達する
ことができて未変性酸化物をウェハから洗浄する。上記
のように、洗浄ステップは厚さ約90オングストローム
の典型的な未変性酸化物については約5秒〜10秒間行
われることが好ましい。上記洗浄ステップは約2μm /
分の速度で未変性酸化物をエッチングする。洗浄ステッ
プの全時間がウェハから洗浄される個々の酸化物の厚さ
に左右されることは当然のことである。本発明のリモー
トマイクロ波プラズマ系55において、未変性酸化物又
は他の酸化物がエッチングされ、ウェハに対するプラズ
マ損傷が回避される。
【0150】上記ウェハ洗浄プロセス条件は本実施例の
具体例であるが、他の条件も用いられる。上記説明は、
下記の種々の堆積の説明のように単に一例として200
mmウェハに適合した Applied Materialsから市販されて
いるGiga Fill(登録商標)CenturaシステムにおいてNF
3 を述べている。しかしながら、NF3 とN2 、NF3
とアルゴン、NF3 とO2 、希釈F2 、CF4 、C2 F
6 、C3 F8 、SF6又はCl2 等の他のフッ素含有又
は塩素含有ガスも同様に用いられる。
【0151】C.具体的なケイ酸塩ガラス堆積 本発明の方法によれば、ドーパント源として用いられる
誘電層、PMD層、IMD層、酸化物充填層、キャッピ
ング層又は他の層が数種の異なるプロセスのいずれかを
用いて形成される。BSG膜、PSG膜、BPSG膜及
びUSG膜のプロセスレシピが本発明に用いられるドー
プ及び非ドープ誘電層の例として示される。種々の誘電
膜の下記の堆積で、ゲートバルブ280は閉じられたま
まである(他の実施例のリモートプラズマ系55が堆積
に用いられないかぎり)。具体的なプロセスは、CVD
装置10で行われ、好適実施例では密閉された枚葉式ウ
ェハSACVD系である。
【0152】CVD装置10は、また、BPSG、ヒ素
ドープケイ酸塩ガラス(AsSG)又は他の誘電層も同
様に堆積する異なる及び/又は追加の入力ガス供給源と
共に用いられる。当業者はプラズマ増強CVD(PEC
VD)のような他のプロセスレシピ及び他の反応系が誘
電膜を堆積するために用いられることを理解することは
当然のことである。ホウ素源の例としては、TEB、ホ
ウ酸トリメチル(TMB)、ジボラン(B2 H6)及び他
の類似化合物が挙げられる。リン源の例としては、リン
酸トリエチル(TEPO)、亜リン酸トリエチル(TE
Pi ) 、リン酸トリメチル(TMOP)、亜リン酸トリ
メチル(TMPi ) 及び他の類似化合物が挙げられる。
BSG又はPSG膜のほかに、ヒ素ドープ酸化物又はケ
イ酸ヒ素ガラス(AsSG)も、例えば、ヒ素化合物と
の液体源又は、具体例としてアルゴンで希釈されたヒ素
化合物を用いて堆積される。シリコン源の例としてはシ
ラン(SiH4)、TEOS又は類似シリコン源が挙げら
れ、酸素としてはO2 、O3 、マイクロ波生成原子酸素
(O)等が挙げられる。下記の説明では、液体源の流速
はミリグラム/分 (mgm)で示され、ガス流速は標準立方
センチメートル/分(sccm)で示される。その説明では、
液体源は精密液体注入系を用いて気化され、液体流速を
約1.923倍することにより液体流速 mgmをガス流速sc
cmに変換されるので流動率が算出される。好ましくは、
安定なドープ誘電膜がSACVDプロセスでTEOS/
O3 化学を用いて形成され、ある実施例においては損傷
のない均一にドープされた超薄接合部分を形成する。他
の実施例においては、低含水量のドープ誘電膜がSAC
VDプロセスによるTEOS/O3 化学を用いて形成さ
れてアスペクト比ギャップフィルの高い、縮みの少な
い、金属混入の低い及びフッ素取込みの小さい平坦化絶
縁層を得る。
【0153】1.具体的なBSG膜堆積 単に一例として、下記に述べられるBSG膜堆積はドー
パント拡散源として用いられるドープ誘電層として有用
であるBSG膜を形成することができる。レシピがBS
G層の個々の使用及び所望の品質に基づいて異なってよ
いことは当然のことである。ドーパント拡散源として用
いられるドープ誘電層として形成されるPSG層は、下
記のレシピを用いかつドーパント源を用いられるガスに
置き換えて同様に形成される。
【0154】具体的なBSGバルク膜は、ウェハ及びヒ
ータ25を約200℃〜650℃の温度、好ましくは約
400℃〜650℃の温度、最も好ましくは約500℃
に加熱し、この温度範囲を堆積の間中維持することによ
り堆積する。閉鎖したゲートバルブ280と共に、チャ
ンバ15は約10torr〜760torrの範囲の圧力で維持
される。好ましくは、圧力は約400torr〜600torr
の範囲内で維持され、最も好ましくは約600torrで維
持される。ヒータ25は、ガス分配プレート20から約
3mm〜8mm(約150〜300mil)に配置され、プレー
ト20から約6mm(約250mil)に配置されることが好
ましい。
【0155】ホウ素源としてTEB、シリコン源として
TEOS及び酸素のガス源としてO3 を含むプロセスガ
スが生成される。液体であるTEB及びTEOS源は気
化された後に、ヘリウムのような不活性キャリヤガスと
混合される。液体は、ガス混合系93内の液体注入系に
よっていずれも気化され、導入した反応成分液体の容量
の制御が大きい。TEBの流速は所望のドーパント濃度
に基づいて約50〜550 mgmであり、TEOS流速は
約300 mgm〜1000 mgm、好ましくは約500 mgm
である。次に、気化したTEOS及びTEBガスは、3
000sccm〜6000sccmの速度、好ましくは約400
0sccmの速度で流れているヘリウムガスキャリヤと混合
される。O3 の形の酸素は、約3000sccm〜6000
sccmの流速で導入され、好ましくは約5000sccmの流
速で導入される。オゾン混合物は、約5重量パーセント
(wt %)〜16wt%の酸素を含有する。ガス混合物は、分
配プレート20からチャンバ15へ導入されて反応性ガ
スを基板表面へ供給し、熱誘導化学反応が起こって所望
の膜を生成する。
【0156】上記条件は、500オングストローム/分
〜1000オングストローム/分の速度で堆積したBS
G膜を得ることができる。堆積時間を制御することによ
り、厚さが約50オングストローム〜500オングスト
ローム、好ましくは約100オングストローム〜300
オングストロームのBSGが上記のプロセス条件で約1
0秒〜60秒で形成される。従って、堆積したBSG膜
の厚さは容易に制御される。好ましくは、得られたBS
G膜範囲のホウ素のwt%は約2wt%〜8wt%の範囲であ
り、好ましくは約6wt%である。
【0157】2.具体的なPSG膜堆積 単に一例として、下記のPSG膜堆積レシピは、PMD
層として有用であるPSG膜を形成することができる。
レシピがPSG層の個々の使用及び所望の品質に基づい
て異なってよいことは当然のことである。PSG膜のの
ほかに他のドープ誘電層は、下記のレシピと同様のレシ
ピを用いかつ使用ドーパント源ガスに置き換えることに
よりPMD層として用いられる。
【0158】具体的なPSGバルク膜は、ウェハ及びヒ
ータ25を約200℃〜650℃の温度、好ましくは約
400℃〜650℃の温度、最も好ましくは約500℃
に加熱し、この温度範囲を堆積の間中維持することによ
り堆積する。閉鎖したゲートバルブ280と共に、チャ
ンバ15は約10torr〜760torrの範囲の圧力で維持
される。好ましくは、圧力は約400torr〜600torr
の範囲内で維持され、最も好ましくは約450torrで維
持される。ヒータ25は、ガス分配プレート20から約
3mm〜8mm(約150〜300mil)に配置され、プレー
ト20から約6mm(約250mil)に配置されることが好
ましい。
【0159】リン源としてTEPO、シリコン源として
TEOS及び酸素のガス源としてO3 を含むプロセスガ
スが生成される。液体であるTEB及びTEOS源は液
体注入系で気化された後に、ヘリウムのような不活性キ
ャリヤガスと混合される。TEPOの流速は所望のドー
パント濃度に基づいて約10〜100 mgmであり、TE
OS流速は約500 mgm〜1500 mgm、好ましくは約
1000 mgmである。次に、気化したTEOS及びTE
Bガスは、2000sccm〜6000sccmの速度、好まし
くは約4000sccmの速度で流れているヘリウムガスキ
ャリヤと混合される。O3 の形の酸素(約5〜16wt%
の酸素を有する)は、約2500sccm〜6000sccmの
流速で導入され、好ましくは約4000sccmの流速で導
入される。ガス混合物は、ガス分配プレート20からチ
ャンバ15へ導入されて反応性ガスを基板表面へ供給
し、熱誘導化学反応が起こって所望の膜を生成する。
【0160】上記条件により約1780オングストロー
ム/分の速度で堆積されたPSG膜が得られる。堆積時
間を制御することにより、得られたPSG膜中のリンの
wt%は約2wt%〜8wt%の範囲であり、好ましくは約4
wt%である。
【0161】3.具体的なBPSG膜堆積 単に一例として、下記に述べられるBPSG膜堆積はP
MD層として有用であるBPSG膜を形成することがで
きる。レシピがBPSG層の個々の使用及び所望の品質
に基づいて異なってよいことは当然のことである。
【0162】具体的なBPSGバルク膜は、ウェハ及び
ヒータ25を約100℃〜800℃の温度、好ましくは
約400℃〜650℃の温度、最も好ましくは約480
℃に加熱し、この温度範囲を堆積の間中維持することに
より堆積する。閉鎖したゲートバルブ280と共に、チ
ャンバ15は約10torr〜760torrの範囲の圧力で維
持される。好ましくは、圧力は約150torr〜600to
rrの範囲内で維持され、最も好ましくは約200torrで
維持される。ヒータ25は、ガス分配プレート20から
約3mm〜10mm(約150〜400mil)に配置され、プ
レート20から約8mm(約300mil)に配置されること
が好ましい。
【0163】ホウ素源としてTEB、リン源としてTE
PO、シリコン源としてTEOS及び酸素のガス源とし
てO3 を含むプロセスガスが生成される。液体であるT
EB、TEPO及びTEOS源は液体注入系で気化され
た後に、ヘリウムのような不活性キャリヤガスと混合さ
れる。ホウ素、リン、シリコン及び酸素の他の供給源も
用いられることが認識されることは当然のことである。
TEBの流速は、約150 mgm〜200 mgmであること
が好ましい。TEPOの流速は、所望のドーパント濃度
に基づいて約10〜100 mgm、好ましくは約24 mgm
であり、TEOS流速は約300 mgm〜700 mgmであ
る。次に、気化したTEO、TEB及びTEOSガス
は、2000sccm〜8000sccmの速度、好ましくは約
6000sccmの速度で流れているヘリウムガスキャリヤ
と混合される。O3 の形の酸素は、約2000sccm〜5
000sccmの流速で導入され、好ましくは約4000sc
cmの流速で導入される。オゾン混合物は、約5重量パー
セント(wt %)〜16wt%の酸素を含有する。ガス混合物
は、分配プレート20からチャンバ15へ導入されて反
応性ガスを基板表面へ供給し、熱誘導化学反応が起こっ
て所望の膜を生成する。
【0164】上記条件により、3500オングストロー
ム/分〜5500オングストローム/分の速度で堆積し
たBPSG膜が得られる。堆積時間を制御することによ
り、堆積したBPSG膜の厚さは容易に制御される。得
られたBPSG膜範囲のホウ素の濃度レベルは2wt%〜
6wt%であり、リンの濃度レベルは2wt%〜9wt%であ
る。
【0165】上記BSG、PSG、BPSGプロセス及
び下記のUSGプロセスにおけるパラメーターは、特許
請求の範囲を制限するものとしてみなされるべきではな
い。例えば、本発明は、ヒ素のような他のドーパントで
ドープされた酸化シリコン膜に適用できる。他の例とし
て、上記のフロー値は200mmウェハに準備されたチャ
ンバに適用するが、使用チャンバの種類又はサイズによ
って異なってもよい。当業者は、同様の膜を製造する他
の化学、チャンバパラメーター及び条件を用いることも
できる。
【0166】膜安定性は、半導体材料へ拡散するドープ
誘電膜中のドーパント原子の利用可能性の要因であるこ
とができると考えられる。膜安定性を改善しかつドープ
誘電膜から半導体材料へのドーパント拡散を制御する能
力を改善する数種の方法が探究された。記載された各方
法は、上記の具体的な堆積レシピの1種のようなレシピ
を用いて層が堆積した後にドープ誘電層について行われ
る。更に、下記の方法はBSG(又はPSG)の処理に
関するが、その方法はドープ酸化シリコン膜にも同様に
適用できる。
【0167】4.具体的なUSG膜堆積 a.酸化物充填材料又は絶縁層 本発明の実施態様によれば、非ドープケイ酸塩ガラス
(USG)層は、例えば、浅いトレンチ分離に用いられ
る浅いトレンチを充填する酸化物充填材料として使用す
るためにCVD装置10で堆積される。KSG膜がIM
D層、絶縁層又は他の層として用いられることは当然の
ことである。下記に述べられる具体的なUSGレシピ
は、最少の縮みで800℃より高い温度のアニーリング
を残存することができる非常に密度の高い及び均一な膜
を与える。高アスペクト比のステップカバレージのギャ
ップフィル性能の優れているUSG膜は、USGのボイ
ドを開けることなく非常に均一なエッチング速度で数回
のエッチングプロセスを行わせることができる。USG
膜は、また、USGにボイド又はしわを開けることなく
化学機械的ポリシング(CMP)平坦化を行わせること
ができる。
【0168】ウェハ及びヒータ25は、約200℃〜6
50℃の温度、好ましくは約550℃〜650℃の温度
に加熱され、この温度範囲を堆積の間中維持される。ヒ
ータ25は、ガス分配プレート20から約6mm〜10mm
(約250〜400mil)に配置され、約9mm(約350
mil)が好ましい。閉鎖したゲートバルブ280と共に、
チャンバ15の圧力は約10torr〜760torr、好まし
くは約600torrのレベルで維持される。
【0169】酸素及びシリコン源を含むプロセスガス
は、堆積チャンバへ導入される。好適実施例において
は、シリコン源はTEOSであり、酸素源はO3 である
が、当業者にはSiH4 、TMCT又は類似の供給源の
ような追加のシリコン供給源及びO2 、H2 O、N2
O、マイクロ波生成原子酸素及び類似の供給源も用いら
れることが認識される。TEOSがシリコン源として用
いられる場合、ヘリウム又はN2 のようなキャリヤガス
が用いられる。TEOSに対するO3 の比は、約2〜1
7:1の範囲であるが、好ましくは約2〜6:1であ
る。
【0170】ガス反応成分の最適全流量は、堆積チャン
バの形及び設計に応じて異なる。ガスフローも堆積速度
を制御するために変動させることができる。典型的に
は、TEOSは約500 mgm〜2500 mgmの流速で導
入され、約2000 mgmの流速で導入されることが好ま
しい。O3 (約5wt%〜16wt%の酸素)は約2000
sccm〜10000sccm、好ましくは約5000sccmの流
速で導入される。ヘリウム又は窒素は、2000sccm〜
10000sccmの流速、好ましくは約7000sccmの流
速で導入される。通常、ガスの堆積チャンバへのガスの
全流量は、約5000sccm〜20000sccm、好ましく
は約15000sccmで変動する。上記の条件下、約14
50オングストローム/分以上の堆積速度が得られる。
上記フロー値は200mmウェハチャンバのためのもので
あり、使用チャンバのサイズ及びウェハのサイズによっ
て異なる。
【0171】b.キャッピング層 本発明の他の実施例によれば、堆積BSG(又はPS
G)層は別の薄いUSG層でキャップされる。USGキ
ャッピング層は水分を吸収しにくい安定な膜である。従
って、USGキャッピング層は、周囲にある水分がBS
G(又はPSG)膜へ吸収されることから防止するBS
G(又はPSG)層の上に疎水面を設ける。更に、US
Gキャッピング層は相対的に密度の高い膜であり、ホウ
素(又はリン)の発生を妨げる。もって、USGキャッ
ピング層は半導体材料へ下向きのドープ誘電層中のより
多くのドーパント原子拡散の制御を容易にする。USG
キャッピング層をもたないと、ドーパント原子は後続の
アニール又は急速熱処理中に半導体材料から離れて上向
きに拡散することができるものがある。従って、キャッ
ピング層の使用は拡散及び接合の深さの制御に寄与す
る。USG層はBSG(又はPSG)層と別の処理チャ
ンバで堆積されるが、BSG(又はPSG)層の堆積が
生じるチャンバ15においてその場プロセスとして行わ
れることが好ましい。キャッピング層を形成する多くの
プロセスが可能であることは当然のことである。
【0172】USG層を形成する次のプロセスを一例と
いて述べる。非ドープケイ酸塩ガラス層は下記のように
キャッピング層として用いられるばかりでなく下にある
ドープ誘電層を使用することなく絶縁誘電層として用い
られる。
【0173】ウェハ及びヒータ25は、約200℃〜6
00℃、好ましくは約500℃の範囲の温度に加熱し、
この温度範囲を堆積の間中維持される。ヒータ25は、
ガス分配プレート20から約6mm〜9mm(約250〜3
50mil)に配置され、約8mm(約300mil)が好まし
い。閉鎖したゲートバルブ280と共に、チャンバ15
の圧力は約50torr〜760torr、好ましくは約200
torr〜600torr、最も好ましくは約400torrのレベ
ルで維持される。
【0174】酸素及びシリコン源を含むプロセスガス
は、堆積チャンバへ導入される。好適実施例において
は、シリコン源はTEOSであり、酸素源はO3 である
が、当業者にはSiH4 、シランのような追加のシリコ
ン供給源及びO2 、H2 O、N2O、及び類似の供給源
及びその混合物のような他の酸素源も用いられることが
認識される。TEOSがシリコン源として用いられる場
合、ヘリウム又は窒素のようなキャリヤガスが用いられ
る。TEOSに対するO3 の比は、約2〜17:1の範
囲であるが、好ましくは約2〜6:1である。
【0175】ガス反応成分の最適全流量は、堆積チャン
バの形及び設計に応じて異なる。ガスフローも堆積速度
を制御するために変動させることができる。典型的に
は、TEOSは約500 mgm〜2500 mgmの流速で導
入され、約1000 mgm〜1250 mgmの流速で導入さ
れることが好ましい。O3 (約5wt%〜16wt%の酸
素)は約2000sccm〜10000sccm、好ましくは約
7000sccmの流速で導入される。ヘリウム又は窒素
は、2000sccm〜6000sccmの流速、好ましくは約
4000sccmの流速で導入される。通常、ガスの堆積チ
ャンバへのガスの全流量は、約5000sccm〜2000
0sccm、好ましくは約15000sccmで変動する。上記
の条件下、約500オングストローム/分〜1500オ
ングストローム/分の堆積速度が得られる。上記フロー
値は200mmウェハチャンバのためのものであり、使用
チャンバのサイズ及びウェハのサイズによって異なる。
【0176】USGキャッピング層を形成する次のプロ
セスを例として述べる。好適被覆のUSGキャップ層
は、約50オングストローム〜500オングストロー
ム、好ましくは約100オングストローム〜300オン
グストロームである。しかしながら、当業者は、個々の
被覆及びデバイス形のサイズによって異なる厚さのキャ
ッピング層が用いられることを理解する。堆積膜が用い
られる被覆及びギャップサイズによっては、必須ではな
いがキャッピング層とドープ誘電層が相対的に薄いこと
が好ましい。薄層については、堆積時間及びエッチング
時間は厚い層に比べて減少する。USGキャッピング層
を堆積し、USG層とドープ誘電層の双方がエッチング
される。他の類似した安定な酸化物膜のような異なるキ
ャッピング層がドープ誘電層をキャップするのに用いら
れることも認識される。更に、USGキャップ層が、S
ACVDの代わりにAPCVD、PECVD又はLPC
VDによって堆積したドープ誘電膜上に形成される。上
記のように、多段プロセスをその場で行うチャンバ15
の使用が最も好ましく、マルチチャンバ系内の種々のチ
ャンバ間の基板の移動中に真空が破壊されないマルチチ
ャンバの使用が好ましい。
【0177】本発明の他の実施例によれば、ドープ層の
堆積の完了直前にホウ素源又はリン源を止めることによ
りドープ誘電膜上にその場USG又は類似のキャップ層
が形成される。この実施例においては、最初にBSG
(又はPSG)のようなドープ誘電層が上記のように形
成される。次に、ドーパント源のチャンバ15へのフロ
ーが停止され、熱反応が更に1秒〜30秒間続く。好ま
しくは、熱反応が約3秒〜10秒続く。この実施例にお
いては、ドーパント源は供給源の供給ラインのバルブを
閉鎖することにより停止されるので熱反応は少なくとも
5秒間ドーパントなしで維持される。
【0178】ドーパントガス源を停止することは、ガス
がバルブの点からガス混合系93へ、次にプレート20
のフェースプレートを介して進むのにかかる時間を調整
しなければならないことは当然のことである。たいてい
のCVDマシンでは、ガスが注入バルブから堆積チャン
バへ流動するのに数秒が必要であるので、その遅れを許
容するのに十分先立って閉鎖されなければならない。従
って、TEBがホウ素源である場合、BSG層の堆積完
了数秒前にTEB供給ラインのバルブを閉じると上記の
水分吸収及びガス抜き現象の発生を防止する薄いUSG
キャップが得られる。
【0179】ドープ誘電層に形成されたUSGキャップ
のその場堆積は、安定性の改善及び水分吸収に対する不
活性態をもたらし、超薄ドープ領域を形成する拡散の改
良された制御に寄与する。
【0180】USGキャッピング層の使用の代わりに又
は加えて、ドープ誘電層の水分吸収を減少させかつ安定
性を改善するためにドープ誘電層のプラズマ処理が用い
られる。RFプラズマ系を備えた実施例においては、デ
バイスに対するプラズマ損傷が有意に関係しないプラズ
マ緻密化処理が用いられる。ある実施例においては、約
500℃より高い温度での堆積が密度の高い誘電膜を得
るのに十分な温度である。プラズマ処理ドープ誘電層の
安定性の改善は、超薄ドープ領域を形成する拡散の制御
改良に寄与する。チャンバ15は、プラズマ緻密化処理
中に約1torr〜5torrに維持される。ゲートバルブ28
0を閉じて、窒素(N2)、アンモニア(NH3)又はアル
ゴンのような反応性ガスを用いて生成されたプラズマが
チャンバ15に導入される。用いられるプラズマ処理の
単に一例として、N2 のような反応性ガスがガス混合系
93に1000sccmでヘリウムと混合された約1000
sccmの速度で導入される。RFプラズマ系を、例えば、
約350メガヘルツ (MHz)のRF周波数の約450ワッ
トの電力レベルで作動させてチャンバ15内にプラズマ
を生成させる。プラズマはドープ誘電層の表面に保護膜
を被せるように働き、その表面上に窒化物形成すること
がある。従って、プラズマ処理はドープ誘電膜を緻密化
する。水分吸収に耐性のある緻密化ドープ誘電膜中のよ
り多くのドーパントは、超薄接合部分を形成するのに利
用できる。
【0181】D.その場堆積及び/又はリフロー用加熱
プロセス CVD装置10は、2段堆積/リフロープロセスの同じ
ウェハについて堆積プロセス後のその場加熱ステップ、
又は1段堆積/リフロープロセスの同じウェハについて
堆積プロセスと同時のその場加熱ステップを可能にする
高温性能を有する。高アスペクト比トランジスタ又は分
離トレンチ上に形成されたPMD層として使用するため
に、PSGのような非ドープ又はドープ誘電膜にはたい
てい平坦化が必要であり、集積回路デバイスを形成する
のに重要である。ドープ誘電層の平坦化は、層を高温で
リフローすることにより行われる。リフローを行うこと
は、堆積膜、特にウェハの高アスペクト比の特徴のギャ
ップフィルを改善することに寄与する。他の目的及び被
覆の加熱ステップもCVD装置10で行われることは当
然のことである。下記の加熱手順は、リフローに用いら
れる具体的な加熱ステップとして単に役立つものである
が、被覆でのドーパントドライブイン又は他の目的の他
の加熱ステップも行われる。
【0182】個々の実施例によって、2段堆積/リフロ
ープロセスを下に述べる。ゲートバルブ280が閉鎖さ
れたチャンバ15は約200torr〜760torrの圧力で
維持される。ガス分配プレート20から約5mm〜10mm
(約200〜400mil)、好ましくは約8mm〜9mm(約
330 mil〜350mil)の処理位置のウェハ及びヒータ
25は、堆積処理のためにチャンバ15内で約500℃
〜800℃、好ましくは約550℃〜650℃に加熱さ
れる。反応性ガスフローを停止すると、ウェハは個々の
実施例に従って誘電層をリフローするためにウェハは約
750℃〜950℃、好ましくは約750℃〜850℃
で約5分〜30分、好ましくは約15分〜20分加熱さ
れる。リフロー温度は、2段プロセスの堆積温度と同じ
か又はそれより高くすることができる。更に、多段堆積
/リフロープロセスについては、リフロー温度に傾斜さ
れる前に堆積温度から中間温度(又は中間温度群)へ傾
斜される。加熱ステップの時間及び温度が、行われる個
々の被覆及び形成される個々の層によって異なってよい
ことは当然のことである。
【0183】他の個々の実施例による1段堆積/リフロ
ープロセスを述べる。ゲートバルブ280が閉鎖された
チャンバ15は約200torr〜760torrの圧力で維持
される。分配プレート20から約5mm〜10mm(約20
0〜400mil)、好ましくは約8mm〜9mm(約330 m
il〜350mil)の処理位置のウェハ及びヒータ25は、
堆積及びリフロー同時処理が生じるチャンバ15内で約
750℃〜950℃、好ましくは約750℃〜850℃
の十分高い温度に加熱される。堆積/リフローステップ
の時間及び温度が、行われる個々の層によって異なって
よいことは当然のことである。
【0184】上記のように、ウェハをその場マルチプロ
セスの同じチャンバ15内に保つと水分が吸収される環
境へのウェハの曝露、及び不純物による汚染を防止す
る。更に、約550℃より高い温度で誘電膜を堆積する
と加熱した場合にボイドを形成しない密度の高い及び高
品質の膜を得ることができる。堆積膜のその温度での堆
積は縮みも減少させる。
【0185】E.チャンバ洗浄/シーズニング/ゲッタ
リングプロセス 上記の具体的なステップのような処理ステップ又は多段
処理ステップがチャンバ15内のウェハに行われた後、
必要とされる後続のプロセスステップのチャンバ15か
らウェハが移される。真空ロックドアがヒータ25上に
ウェハをもたない閉鎖されたシーリングチャンバ15で
ある場合、チャンバ15の下の部分、ヒータ25の底及
び他のチャンバコンポーネントの裏打ちのないチャンバ
壁を含むチャンバ15の部分から望まれていない酸化物
及び/又は窒化物のような堆積プロセス残留物を除去す
るためにチャンバ15はチャンバ洗浄プロセスを受ける
ことができる。信頼できるウェハ間反復性を行わせるた
めに、チャンバ清浄は堆積プロセス中に蓄積した残留物
を除去する。その残留物は、フッ素基を用いることによ
るチャンバ成分から、例えば、リモートマイクロ波プラ
ズマ系55によって反応性クリーンガスで形成されたプ
ラズマから洗浄される。F原子との高反応性のために、
チャンバ15からポンプで送られるSiF4 ガス生成物
の生成により残留酸化シリコンが除去される。下記のチ
ャンバ洗浄手順は、全てのウェハ又は数枚の全てのウェ
ハの処理後に行われる。
【0186】本発明の好適実施例においては、NF3 は
フッ素基を生成するクリーンガスとして用いられる。本
発明は、NF3 を分解しかつチャンバ15へのF原子の
フローを生じるようにリモートマイクロ波プラズマ系5
5を使用することが好ましい。本発明のリモートマイク
ロ波プラズマ系55と共にフッ素化学を用いると、その
場プラズマプロセスと比べて運動エネルギーが小さくか
つ引き続いて堆積した膜中に物理的スパッタリング効果
又は荷電化学種の生成がないことが有利である。更に、
地球温暖化作用を減少させるのに要求される環境問題と
一致して、NF3 の使用は持続性のペルフルオロカーボ
ン(PFC)生成物を発生させない。
【0187】最善の清浄効率を行わせるために、Fフラ
ックス及びその洗浄均一性が最適化されなければならな
い。あるNF3 ガスフローでは閾のマイクロ波電力設定
がある、それ以上のF原子の生成は再結合によって補償
される。図42は、本発明の個々の実施例による、過度
のハードウェアコストをもたずに最高清浄速度を得るN
F3 フローとマイクロ波飽和電力間の関係を示すグラフ
である。図42に示されるように、マイクロ波飽和電力
は、個々の実施例によれば約500sccm〜950sccmの
対応するNF3 に対して約1300ワット〜2100ワ
ットの範囲である。下記の好適実施例については、マイ
クロ波飽和電力は約950sccmのNF3フローに対して
約2100ワットである。清浄均一性はチャンバ圧力及
びヒータ間隔によって制御され、双方がガス化学種とポ
ンププロファイルの平均自由行程を調整することができ
る。前に述べたように、アプリケータ管292の上記実
施例によって許容される最高圧力は約2torrであるの
で、清浄均一性を最適化するために間隔をおいた。アプ
リケータ管292の異なる実施例を用いる他の実施例に
おいては、圧力及び間隔双方が清浄均一性の最適化に用
いられる。
【0188】具体的なチャンバ洗浄プロセスにおいて
は、チャンバ15は、好適実施例においては洗浄手順の
間中約300℃〜650℃、更に好ましくは約550℃
〜600℃の範囲の温度に維持される。最も好ましく
は、チャンバ15は具体的なプロセスがチャンバ15で
行われる温度と同じ温度で維持される。例えば、上記の
例としてPSG膜を600℃で堆積するために用いられ
たチャンバ内では600℃でチャンバ清浄プロセスが行
われる。スロットルバルブを開けゲートバルブ280を
閉めたヒータ25はガス分配プレート20から約3mm〜
6mm (約100〜250 mil〜250mil)、好ましくは
4mm(約150mil)に配置されるのでガス分配プレート
20が加熱される。ガス分配プレート20を加熱すると
速い清浄が生じる。この加熱ステップは、約3秒〜10
秒、好ましくは約5秒間行われる。
【0189】次に、清浄が行われる前に圧力及びクリー
ンガスフローが最適に安定化される。予備清浄安定化ス
テップ中、チャンバ15は、清浄ステップ中も用いられ
る圧力レベルで最適に維持されなければならず、その場
合にはフッ素化学種は急速に除去されず再結合も生じな
い。予備清浄安定化ステップでは、チャンバ15は約1
torr〜2torr、好ましくは約1.5torrの圧力になり、ゲ
ートバルブ280は開放している。約1torr〜2torrよ
り低い圧力に維持されたチャンバ15においては、急速
なフッ素化学種の除去が生じ、チャンバ洗浄結果が悪く
なる。約1torr〜2torrより高いチャンバ圧力において
は、腐食損失並びにアプリケータ管292に対する過熱
及び損傷のために再結合が起こることがある。ヒータ2
5は、ガス分配プレート20から約11mm〜18mm (約
450 mil〜700mil)、好ましくは約15mm(約60
0mil)の距離まで移される。クリーンガス、NF3 は、
約600〜1100sccm、好ましくは約950sccmの速
度でアプリケータ管292へ導入される。この予備清浄
安定化ステップは、マイクロ波電力がチャンバ清浄ステ
ップ中に印加される前に約2秒〜6秒、好ましくは約3
秒続く。
【0190】チャンバ清浄ステップにおいては、チャン
バ15内の予備清浄安定化条件は約1torr〜2torrの範
囲の圧力、好ましくは約2torrで維持される。洗浄手順
が行われる場合、約500ワット〜2500ワットのマ
イクロ波電力がアプリケータ管292に印加される。好
ましくは、マグネトロン711は、約2.54 GHzマイク
ロ波を生じ、約950sccmの好ましいクリーンガスフロ
ーに対して約2100ワットのCWモードで操作され
る。マイクロ波は、上記のようにマグネトロン711か
ら導波管及び最適化系を介してアプリケータ管292に
窓を通って伝搬される。UVランプ731は、アプリケ
ータ管292の反応性ガスを点火してプラズマを形成
し、イオン化は窓でアプリケータ管292に入るマイク
ロ波エネルギーによって維持される。
【0191】チャンバ清浄ステップ中、マイクロ波が印
加されるアプリケータ管292で生成されたプラズマか
らのフッ素基は、次に、開放ゲートバルブ280を介し
てチャンバ15へ流れ込み望まれていない酸化残留物を
表面を洗浄する。プラズマがチャンバ15の上流に生成
されるので、プラズマ中の反応性フッ素基のみチャンバ
15の残留物蓄積部分に到達及び除去することができ
る。従って、チャンバ15のさまざまな部分について、
チャンバ15に対する直接のプラズマ損傷を最少にしつ
つ堆積プロセス残留物が洗浄される。チャンバ清浄は、
約30秒〜約10分、好ましくは約60秒〜200秒、
最も好ましくは約160秒続く。チャンバ清浄時間がチ
ャンバ15内の酸化残留物の厚さ及び種類によって異な
ってよいことは当然のことである。上記のように、他の
実施例で用いられるチャンバのサイズ及び種類並びにア
プリケータ管の寸法及び材料によって異なってよいこと
は認識される。上記清浄プロセスは、ブロッカー及びガ
ス分配プレート双方の後ろの裏面の望まれていない残留
堆積物を減少させる。
【0192】チャンバ清浄後、更に、後清浄ステップ
中、チャンバ15は、上記堆積及び清浄プロセスについ
て述べた上記温度で維持されることが好ましい。チャン
バ清浄ステップの終わりに、クリーンガスフローが停止
し、マイクロ波電力はもはや供給されない。チャンバ1
5は、F残留原子のほとんどをポンプ除去する。この後
清浄排気ステップ中、ヒータ25はガス分配プレート2
0から約38mm〜59mm(約1500 mil〜2200mi
l)、好ましくは約51mm (約2000mil)の位置に移さ
れ、スロットルバルブは開放され、ゲートバルブ280
は開放されたままである。排気ステップは約5秒〜20
秒、好ましくは約10秒続き、チャンバ15から排気さ
れるクリーンガス反応成分及び残留物の量に左右され
る。実質的に全ての堆積プロセス残留物がチャンバ15
から除去されるまで後清浄排気の停止時間を求めるのに
援助するために清浄終点検出系も用いられる。
【0193】フッ素系チャンバ洗浄手順後、次の堆積プ
ロセスが起こるときにウェハが位置する場所に近いチャ
ンバ壁の表面に活性フッ素化学種の吸着がある。次の堆
積プロセスでは、フッ素が相互作用するか又は堆積膜に
取込まれ、表面の膜感受性を引き起こす。この膜感受性
は粗い表面として現れ、高集積化デバイスで要求される
許容量で問題となり、デバイスの故障を引き起こす。本
発明は、下記の数種の方法でチャンバ壁の表面から吸着
フッ素をゲッタする能力を提供する。
【0194】後清浄排気ステップ後、全ての遊離F化学
種を化学反応或いは捕捉によりそのFをチャンバ壁へ酸
化シリコン(SiO2)堆積によって再結合するためにシ
ーズニングが行われる。後清浄排気及びシーズニングス
テップは、粒子の形成及び後続の堆積膜内のF含量の双
方を減少させるために行われる。
【0195】最適には、後清浄排気ステップとシーズニ
ングステップ間に、チャンバ圧力とガスフローを安定化
しかつヒータ25をシーズニングステップの位置に移動
させる他の安定化ステップがある。この安定化ステップ
では、ゲートバルブ280は閉鎖され、チャンバ15は
約20torr〜70torr、好ましくは50torrの圧力で維
持される。ヒータ25は、ガス分配プレート20から約
8mm〜14mm (約300 mil〜550mil)、好ましくは
約13mm (約500mil)の位置に移動される。個々の実
施例においては、現在記載されているシーズニングステ
ップはキャリヤガスとしてヘリウムと共にオゾン及びT
EOSを用いて後続の酸化シリコン堆積のためにチャン
バ15をシーズニングする。所望の酸化シリコン堆積の
種類によって他のガスがシーズニング及びプレシーズニ
ング安定化ステップに用いられることは当然のことであ
る。プレシーズニング安定化ステップでは、約200 m
gm〜400 mgm、好ましくは約300 mgmの流速の液体
TEOSが気化され、約4000sccm〜8000sccm、
好ましくは約6000sccmの速度でチャンバ15へ流れ
ているヘリウムキャリヤガスと輸送される。ガスフロー
は、堆積に用いられる通常の入口又はマイクロ波の印加
されることなくアプリケータ管292を介してチャンバ
15へ導入される。この安定化ステップは約5秒〜25
秒、好ましくは約15秒続き、その後にシーズニング酸
化物をチャンバ15に堆積し始める酸素源の導入からシ
ーズニングステップが始まる。熱シーズニングステップ
では、オゾンは個々の使用堆積プロセスに用いられる流
速(例えば、上記550℃の実験USG堆積プロセスに
ついては約5000sccm又は上記600℃の実験PSG
堆積プロセスについては約4000sccm)で約10秒〜
20秒、好ましくは約15秒間導入されてチャンバ15
内の表面上に酸化シリコンの薄層を堆積する(例えば、
実験USG堆積プロセスの酸素は約12.5wt%であり、
実験PSG堆積プロセスの酸素は約8wt%である)。シ
ーズニングステップ中、オゾンフローは、オゾンフロー
と濃度の変動を最小にするために堆積プロセスと一致す
ることが最適である。もって、シーズニングチャンバ1
5は、チャンバ15の表面上に吸着されたフッ素原子を
捕捉することができる。
【0196】上記熱シーズニングステップ後、最終安定
化及び排気ステップが行われる。最適には、その最終ス
テップは上記堆積温度で行われる。最終安定化ステップ
では、スロットルバルブが定期的に開放されてチャンバ
圧力を大気圧まで調整することを可能にし、ゲートバル
ブ280は閉鎖されたままである。ヒータ25は、ガス
分配プレート20から約20mm〜25mm (約800 mil
〜1000mil)、好ましくは約25mm (約999mil)の
位置まで移動する。TEOS流量を停止し、ヘリウム及
びオゾンフローはシーズニングステップと同じままであ
る。最終安定化ステップは、最終排気ステップが始まる
前に約5秒〜20秒、好ましくは約10秒間行われる。
最終排気ステップでは、ゲートバルブ280は閉じられ
たままであり、ヒータ25は移動しない。全てのガスフ
ローが停止され、スロットルバルブが開放される。最終
排気ステップは、ここでは次の堆積プロセス、加熱ステ
ップ又はウェハ洗浄ステップのためである他のウェハが
チャンバ15に導入される前に約5秒〜20秒、好まし
くは約10秒続く。最終安定化ステップ及び排気ステッ
プが変更され、選定された具体的なシーズニングステッ
プ又は別のゲッタリングステップ(下記の例)と同様に
用いられることは認識される。
【0197】上記実施例に替わる実施例においては、上
記の予備清浄安定化ステップは低マイクロ波電力から最
終クリーン作動レベルのマイクロ波電力へのマイクロ波
電力の傾斜を含むことができ、圧力及びマイクロ波プロ
セス生成の予備清浄安定化を可能にする。代替的好適実
施例においては、上記の予備清浄安定化ステップは次の
予備清浄安定化で置き換えられる。
【0198】圧力とマイクロ波電力の同時安定化を可能
にするので、N2(又は他の不活性ガス、使用クリーンガ
スによる)プラズマを生成するマイクロ波電力を傾斜す
るステップは、個々の実施例によるNF3 プラズマ生成
の際にアプリケータ管292について低い圧力衝撃プロ
ファイルを与える。マイクロ波電源110からマグネト
ロン711に印加されたマイクロ波電力レベルは、プロ
セッサ50の制御下で調整される。例えば、マイクロ波
電力は、安定化ステップ中ゼロから約300ワット(又
は0と最終清浄作動電力レベル)、次にクリーンステッ
プでは2100ワットのレベルまで傾斜されて漸次の最
適安定化ステップを得る。詳しくは、加熱ステップ後、
ヒータ25はガス分配プレート20から約15mm (約6
00mil)に移動し、N2 は約100〜400sccm、好ま
しくは約300sccmのフローでアプリケータ管292に
導入され、スロットルバルブは開いたままでありゲート
バルブ280は閉じられたままである。約5秒後、スロ
ットルバルブは閉じられゲートバルブ280は開けら
れ、チャンバ15が清浄プロセス圧力、個々の実施例で
は約1.5torrになるまで次の5秒間圧力を安定化させ
る。次に、約200ワット〜400ワットのマイクロ波
電力の中間レベルをアプリケータ管292に印加して次
の5秒間N2 プラズマを生成する。次の5秒間、NF3
がアプリケータ管292に導入され、マイクロ波電力レ
ベルが清浄レベルまで傾斜される。詳しくは、NF3
は、約600sccm〜1100sccm、好ましくは約950
sccmの速度でアプリケータ管292に導入され、マイク
ロ波電力レベルは約2100ワットの最終マイクロ波電
力清浄作動レベルまで傾斜される。次に、N2 フローを
停止し、プラズマをNF3 のみ用いて生成し、NF3 プ
ラズマ生成安定化の安定化を約5秒間可能にする。この
点から上記のように清浄が進行する。上記の代替的実施
例においては、圧力及びプラズマ生成双方がNF3 洗浄
プラズマで洗浄ステップを行う前に安定化される。この
代替的予備清浄圧力/プラズマ安定化は、全時間、好ま
しくは20〜30秒間続くことができ、各電力レベルの
ランプアップはその時間からの適切な部分が配分されて
いる。従って、アプリケータ管292について高マイク
ロ波電力(例えば、0〜2100ワット)の1段の直接
印加からの圧力衝撃を最少にし、アプリケータ管292
の寿命が高められることになる。
【0199】上記実施例は2段電力レベルランプアップ
であるが、他の実施例は多段ランプアップ(例えば、0
ワット〜300ワットから1200ワット〜2100ワ
ット)であることができる。更に場合によって、マイク
ロ波電力ランプアップステップが上記清浄ステップと後
清浄排気ステップ間で行われる。2段又は多段ランプア
ップも他の実施例に可能である。傾斜が連続、一連の分
離したステップ又はその組合わせであることは当然のこ
とである。RFプラズマ系を有するCVD系について
は、RF電力レベルのランプアップ及び/又はランプダ
ウンは予備清浄安定化ステップに行われ、他の実施例に
よればその場プラズマチャンバ清浄が用いられる。上記
の安定化の各部分について特定の時間が述べられている
が、他の実施例ではその特定の時間は異なってもよく、
安定化の部分が合わせられるか又は時間を短縮するため
に削除される。
【0200】上記の熱チャンバシーズニングの替わりと
してTEOS及びO2 を使用するチャンバシーズニング
が用いられる。気化TEOSは、入口43及びガス混合
ボックス273を介して又はリッド内のバイパス通路を
用いてチャンバ15へ導入される。O2 は、マイクロ波
プラズマ系55のマグネトロン711からのマイクロ波
による放射(例えば、約500ワット〜2100ワッ
ト、好ましくは2100ワット)のためにアプリケータ
管292を介して送られる。O2 は約50sccm〜200
sccm、好ましくは約100sccmの流速でアプリケータ管
292へ導入され、ゲートバルブ280は開放され、チ
ャンバ15は約1torr〜2torr、好ましくは約1.5torr
の圧力及び約300℃〜650℃、好ましくは約550
℃〜600℃の温度で維持される。原子酸素は、マイク
ロ波増強チャンバシーズニングを得るためにチャンバ1
5内のTEOSと反応することができる。また、その場
プラズマを得るこができるRFプラズマ系を有する実施
例については、気化TEOSがチャンバ15内に導入さ
れ、RFプラズマ系がプラズマを生成することができ、
それと原子酸素がRF増強チャンバシーズニングに対し
て反応することができる。
【0201】フッ素原子をチャンバ表面からゲッタリン
グするチャンバシーズニングの他の替わりとして、Si
H4 が約50sccm〜200sccm、好ましくは100sccm
の速度でチャンバ15へ流し込まれチャンバ15をパー
ジする。シランは、ゲートバルブ280の閉じられたガ
ス混合系93までの他の供給源90(図3)の1つから
チャンバ15へのライン85を介して、ゲートバルブ2
80の閉じられたチャンバ15への他のパージ口を介し
て、又はマイクロ波を印加して又は印加せずに及びゲー
トバルブ280の開放されたアプリケータ管292を介
してチャンバ15へ流し込むことができる。シランパー
ジ手順中、チャンバ15はゲートバルブ280の閉じら
れた約1torr〜5torrの圧力及び約300℃〜650
℃、好ましくは約550℃〜600℃の温度で維持され
る。チャンバ15をパージするとF原子を吸収し、Si
F4 ガスの生成をもたらし、排気系によってチャンバ1
5かポンプで送られる。次に、上記で詳細に述べられた
終点検出系は、チャンバ洗浄プロセスが完了したときを
該系が決定することを可能にする。
【0202】上記のようにシーズニング又はチャンバ1
5をシランでパージングすることの変法として、更に、
活性水素をチャンバ15へ供給することによりゲッタリ
ングが達成される。水素(例えば、H2 又は他の水素
源)は、約50sccm〜200sccm、好ましくは約100
sccmの流速で『クリーンガス』供給源として用いられ、
入口57を介してアプリケータ管292へスイッチング
バルブ105を介して送られる(図3)。マグネトロン
711は約500ワット〜2500ワット、好ましくは
約1000ワットの電力レベルでCWモードで作動して
アプリケータ管292へマイクロ波エネルギーを供給
し、プラズマを生成する。アプリケータ管292内のプ
ラズマからの活性水素は、次に、チャンバ15で使用す
るために封入アセンブリ200内の裏打ちされた通路を
通って導管47へ流れ込む。RFプラズマ系を含む系に
ついては、水素がチャンバ15へ導入されかつRFエネ
ルギーがチャンバ15内に印加されて活性水素を供給す
ることは当然のことである。ゲッタリング手順中、チャ
ンバ15は、ゲートバルブ280の開放された約1torr
〜2torrの圧力及び最適には約300℃〜650℃、好
ましくは約550℃〜600℃の堆積温度で維持され
る。活性水素は、吸着フッ素と反応してフッ化水素(H
F)気体を生成し、チャンバ15からポンプで送られ
る。上記の終点系と同様の原理で作動させ、HFによる
吸光度のために光の強さの変化を検出する終点検出系も
用いられる。
【0203】シーズニング、チャンバ15のシランによ
るパージング又は活性水素の使用の変法は、チャンバ1
5へアンモニアを供給するものである。アンモニア(N
H3)は、約50sccm〜200sccm、好ましくは約100
sccmの流速でガスパネル80の『クリーンガス』供給源
として用いられ、入口57を介してアプリケータ管29
2へスイッチングバルブ105を介して送られる(図
3)。マグネトロン711は約500ワット〜2500
ワット、好ましくは約1000ワットの電力レベルでC
Wモードで作動してアプリケータ管292へマイクロ波
エネルギーを供給し、プラズマを生成する。アプリケー
タ管292内のプラズマからのアンモニアは、次に、チ
ャンバ15で使用するために封入アセンブリ200内の
裏打ちされた通路を通って導管47へ流れ込む。ゲッタ
リング手順中、チャンバ15は、ゲートバルブ280の
開放された約1torr〜2torrの圧力及び最適には約30
0℃〜650℃、好ましくは約550℃〜600℃の堆
積温度で維持される。アンモニアは、吸着フッ素と反応
してフッ化アンモニウム化合物を生成し、チャンバ15
からポンプで送られる。RFプラズマ系を含む系につい
ては、アンモニアがチャンバ15へ導入されかつRFエ
ネルギーがチャンバ15に印加されてフッ化アンモニウ
ム化合物とHFを生成することは当然のことである。上
記の終点系と同様の原理で作動させ、フッ化アンモニウ
ムとHFによる吸光度のために光の強さの変化を検出す
る終点検出系も用いられる。
【0204】上記の洗浄プラズマ条件は本実施態様の具
体例であるが、他の条件も用いられる。上記説明は、下
記の様々な堆積のように、200mmウェハに適合しかつ
全量6リットルの Applied Materialsから市販されてい
るGiga Fill(登録商標)Centuraチャンバで単に一例とし
てNF3 を述べている。しかしながら、NF3 とアルゴ
ン、NF3 とN2 、NF3 とO2 、NF3 とマイクロ波
プラズマ系55によって生成された原子酸素、希釈F2
、CF4 、C3 F8 、SF6 、C2 F6 、Cl2 等の
他のフッ素含有又は塩素含有ガスが同様に用いられる。
上記のもののほかに他のガスもゲッタリング手順に用い
られる。また、プレシーズニング安定化ステップは上記
の熱シーズニングの種々の変法より選ばれたシーズニン
グ/ゲッタリングプロセスの個々の種類によって変動す
る。洗浄、ゲッタリング及びシーズニングの上記説明
は、好適温度(例えば、約550℃〜600℃)で起こ
るように述べられているが、最も好ましくは、個々のプ
ロセスがチャンバ15内で行われている同じ温度でチャ
ンバ15が維持されることは留意される。他の実施態様
においては異なる温度が用いられることは当然のことで
ある。更に、ある実施態様は、上記の洗浄、ゲッタリン
グ及びシーズニングのある部分を合わせ又は削除するこ
とができる。
【0205】III.試験結果及び測定 A.超薄ドープ接合部分 本発明の実施例に従って装置及び方法の操作を示すため
に、例としてUSGキャッピング層をもたずに製造され
たBSG膜及びUSGキャッピング層を有するBSG膜
を用いて形成された超薄接合部分の面積抵抗率及び接合
の深さの測定を行った。キャップのないBSG膜は約1
50オングストローム厚であり、キャップのあるBSG
膜は約200オングストロームUSGキャップを有する
約150オングストローム厚であった。キャップのある
及びキャップのないBSG膜の双方は、低抵抗率のN形
シリコンウェハ上に堆積した。キャップのない及びキャ
ップのあるBSG膜を用いて形成された超薄接合部分の
面積抵抗率及び接合の深さを測定した。上で詳述したC
VD装置10のチャンバ15内で堆積した膜について
は、ゲートバルブ280は個々の実施例の膜堆積ステッ
プ中閉鎖される。実験に用いられる実際のプロセス条件
は次の通りである。詳しくは、BSG膜は約500℃の
温度及び約600torrの圧力で堆積した。サスセプタと
マニホールド間の間隔は、約8mm (約300mil)であっ
た。実験中のガスフローは、TEBをチャンバへ約20
0 mgmの速度で導入すること、TEOSを約500 mgm
の速度で導入すること、酸素(O3)を約5000sccmの
速度で導入すること及びヘリウムキャリヤガスを約80
00sccmの速度で導入することが含まれる。
【0206】上記条件により、700オングストローム
/分の速度で堆積したBSG膜が得られた。堆積BSG
膜は、約15秒のプロセス時間に対して約150オング
ストロームの厚さがあった。
【0207】USGキャップを用いた実験では、BSG
バルク層が堆積された直後にUSGキャッピング層がそ
の場プロセスで形成された。好適実施例は、USGキャ
ッピング膜の堆積前にBSG膜と反応するために利用で
きる水分を最少にする密閉系であるチャンバを使用す
る。サスセプタは約500℃の温度まで加熱し、チャン
バを約600torrの圧力で維持し、サスセプタをガス分
配マニホールドから約8mm (約300mil)に配置した。
TEOS、オゾン及びヘリウムを約500 mgmの流速で
各々500sccm及び5000sccmを堆積チャンバへ導入
した。上記条件により、約700オングストローム/分
の速度で堆積されたUSG膜が得られた。USG膜は、
約15秒のプロセス時間に対して約200オングストロ
ームの厚さがあった。
【0208】キャップのない及びキャップのあるBSG
膜からのドーパントの拡散は、アニール又は急速熱処理
を用いて膜を加熱することにより達成される。例えば、
窒素(N2)周囲中60秒間の急速熱処理は、温度、時間
及びドーパント濃度によって約500オングストローム
〜1000オングストロームの接合の深さが得られる。
【0209】BSG膜を用いる実験に用いられるパラメ
ーターは、本明細書に記載される特許請求の範囲に限定
されるべきではない。当業者は、他の化学剤、チャンバ
パラメーター、ドーパント及びPSG、AsSG等のB
SG膜又は他の膜を生成する条件を用いることができ
る。
【0210】実験は、ホウ素約6wt%を有する約200
オングストローム厚のキャップのないBSG膜を用いて
行われる。その実験から、後続の拡散ステップのドーパ
ント源としてBSG膜を用いて超薄接合部分を形成する
能力が示される。
【0211】6wt%のホウ素を超えるホウ素濃度におい
て、約500℃未満の温度で堆積されたキャップをもた
ないBSG膜は、不安定で数時間で結晶化する傾向があ
った。上記のように、結晶化は、シリコン基板への拡散
に利用できるホウ素原子の量を減少する。約550℃よ
り高い温度でBSGを堆積させると6wt%を超えるホウ
素濃度を有するキャップのない安定なBSG膜が生じる
と考えられる。6wt%のホウ素より高いホウ素濃度が必
要とされる被覆については、BSG膜は結晶化を防止す
るUSG膜で適切にキャップされる。ガス抜きを防止す
ることにより、USGキャップはシリコン基板へのドー
パント原子の拡散の進入を制御する能力を与える。従っ
て、USGキャップは、ホウ素原子が失われることから
防止するのでシリコン基板へ容易に進められる拡散に利
用できる。
【0212】ある被覆についてドープ誘電層上にキャッ
ピング層を用いる利点を証明するために、約200オン
グストロームUSGキャップがBSG膜上に堆積した厚
さが150オングストローム及びホウ素が6.131wt%
のBSG膜を用いて実験を行った。その実験から、後続
の拡散ステップのドーパント源としてキャップのあるB
SG膜を用いて超薄接合部分を形成する能力も示され
る。キャップのない及びキャップのあるBSG膜を用い
て形成された超薄接合部分の面積抵抗率及び接合の深さ
を測定した。その実験から、BSG膜を約1050℃で
1分の急速熱処理に供するとBSG膜単独或いはUSG
キャップのあるBSG膜で形成された超薄接合部分の面
積抵抗率及び接合部分を制御する能力が生じることがわ
かる。
【0213】図43〜図45は、拡散領域の接合の深さ
及びドーパントの均一性に関するUSGキャップの影響
についての情報を示すグラフである。図43及び図45
の測定は、当業者に周知である広がり抵抗プロファイル
のソリッドステート測定装置を用いて行った。キャリヤ
濃度は、深さの関数として示される。『p』はシリコン
基板表面から測定された深さにおけるホウ素の測定濃度
を示し、『N』はシリコン基板表面から測定された深さ
におけるN形シリコン基板の測定濃度を示す。接合の深
さは、ドーパント濃度が基板濃度に等しい位置として定
義される。本実験では、使用シリコン基板の基板濃度は
約1.6×1014キャリヤ/cm3であった。図43及び2
2Cに示された拡散領域の面積抵抗率は、当業者に周知
である4ポイントプローブ(4pp)法を用いて測定し
た。図44は、当業者に周知である高濃度又は浅い接合
拡散の精密プロファイル測定を与えるのに有効な二次イ
オン質量分析(SIMS)の緻密な方法で測定された図
43のウェハの全不純物プロファイルを示すグラフであ
る。
【0214】詳しくは、図43は、USGキャッピング
層のある6.131wt%BSG層を用いて加熱ステップ後
に形成された超薄接合のドーパントプロファイルを示す
グラフである。BSG膜は約150オングストローム厚
であり、BSG膜の上に堆積したUSGキャッピング層
は約200オングストローム厚であった。加熱ステップ
は約1050℃で約60秒間急速熱処理により行った。
次に、BSG及びUSG膜をエッチングで除去した。図
43に見られるように、得られた接合部分の深さはシリ
コン基板中約0.06μm であり、ドーパントプロファイ
ルはかなり均一に見える。ホウ素の最大濃度は、約6×
1019キャリヤ/cm3 である。得られた接合部分の表面
抵抗率は約685Ω/cm2 であることが測定された。4
pp表面抵抗率は、約222Ω/cm2 であることが測定
され、測定したP形層のドーズイオンの加算(Σp)は
1.6×1014である。
【0215】図44は、図43に記載された超薄接合部
分のSIMSによって測定されたドーパント深さのプロ
ファイルを示すグラフである。シリコン基板の表面から
表面から約100オングストロームの深さまでのホウ素
濃度は、約2×1018キャリヤ/cm3 〜約1×1021
ャリヤ/cm3 の範囲である。シリコン基板から約100
オングストローム〜約300オングストロームのホウ素
濃度は、1×1021キャリヤ/cm3 〜約3×1021キャ
リヤ/cm3 の範囲である。シリコン基板の表面から約3
00オングストロームの下のホウ素、シリコン及び酸素
の濃度は急速に低下し、バルク基板を示す。図44に示
される急勾配の浅い接合部分は、本発明の実施例に従っ
て可能なドーパント取込みを示す。
【0216】図45は、USGキャッピング層を有する
6.131wt%BSG層を用いて加熱ステップをもたずに
形成された超薄接合部分ののドーパントプロファイルを
示すグラフである。BSG膜は、約150オングストロ
ーム厚であり、BSG膜の上に堆積したUSGキャッピ
ング層は約200オングストローム厚であった。加熱ス
テップは行われなかった。BSG及びUSG膜はエッチ
ング法によって除去した。図45に見られるように、約
0.025μm の深さを有する接合部分は加熱ステップが
ないにもかかわらず形成したことがわかる。あきらか
に、加熱ドライブインステップがなくてさえシリコン基
板へ拡散するBSG膜中のホウ素の高ドーパント濃度の
ために接合部分が形成された。ホウ素の最大濃度は、約
7×1017キャリヤ/cm3 である。得られた接合部分の
表面抵抗率は約55Ω/cm2 であることが測定され、測
定したP形層のドーズイオンの加算(Σp)は4.9×1
11である。
【0217】図46は、USGキャッピング層のある8.
084wt%BSG層を用いて加熱ステップで形成された
接合部分のドーパントプロファイルを示すグラフであ
る。図47は、接合部分の深さに関するドーパント濃度
の影響を示すために、USGキャッピング層のある6.1
31wt%BSG層とUSGキャッピング層のある8.0
84wt%BSG層を用いて同じ加熱ステップで形成され
た接合部分のドーパントプロファイルを比べるグラフで
ある。図48と図49は、各々接合部分の深さと面積抵
抗率に関する加熱ステップの温度の影響を示すグラフで
ある。図50と図51は、各々接合の深さと面積抵抗率
に関する加熱ステップの時間の影響を示すグラフであ
る。図46〜図51の広がり抵抗プロファイル及び面積
抵抗率の測定値は、ソリッドステート装置及び4ポイン
トプローブ測定を用いて行った。
【0218】図46は、USGキャッピング層のある8.
084wt%BSG層を用いて加熱ステップで形成された
接合部分のドーパントプロファイルを示すグラフであ
る。BSG膜は約150オングストローム厚であり、B
SG膜の上に堆積したUSGキャッピング層は約200
オングストローム厚であった。加熱ステップは約100
0℃で約60秒間急速熱処理により行った。BSG及び
USG膜をエッチング法で除去した。図46に見られる
ように、深さが約0.12μm の超薄接合部分はドーパ
ント均一性が良好に形成された。ホウ素の最大濃度は、
約1×2030キャリヤ/cm3 である。得られた接合部分
の表面抵抗率は約145Ω/cm2 であることが測定さ
れ、ドーズイオンの加算(Σp)は7.9×1014であっ
た。4pp表面抵抗率は、約96Ω/cm2 であることが
測定された。
【0219】図47は、USGキャッピング層のある異
なるホウ素wt%BSG層(特に6.131wt%及び8.0
84wt%)を用いて加熱ステップで形成された接合部分
のドーパントプロファイルを示すグラフである。BSG
膜は約150オングストローム厚であり、BSG膜の上
に堆積したUSGキャッピング層は約200オングスト
ローム厚であった。加熱ステップは約1000℃で約6
0秒間急速熱処理により行った。図47に見られるよう
に、8.084wt%BSG膜の得られた接合の深さは、
6.131wt%のBSG膜の得られた接合の深さのほぼ
2倍である。
【0220】図48及び図49は、USGキャッピング
層のある6.131wt%BSG膜の各々ドーパントプロフ
ァイル及び面積抵抗率に関する加熱ステップ温度の影響
を示すグラフである。BSG膜は約150オングストロ
ーム厚であり、BSG膜の上に堆積したUSGキャッピ
ング層は約200オングストローム厚であった。加熱ス
テップは約900℃、950℃、975℃及び1000
℃の温度で約60秒間急速熱処理を用いて行った。図4
8でわかるように、1000℃での加熱ステップ後に形
成された接合部分は、低い温度975℃での加熱ステッ
プ後に形成された約0.06μm の接合部分に比べて約
0.1μm である。6.131wt%BSG膜の表面抵抗率
は、図49に見られるように1000℃での加熱ステッ
プについて約180Ω/cm2 及び975℃での加熱ステ
ップについて約600Ω/cm2 であった。高い温度の加
熱ステップ(950℃を超える)により、形成された薄
い接合部分の深い拡散深度が得られた。
【0221】図50及び図51は、USGキャッピング
層のある6.131wt%BSG膜の各々ドーパントプロ
ファイル及び面積抵抗率に関する加熱ステップ時間の影
響を示すグラフである。BSG膜は約150オングスト
ローム厚であり、BSG膜の上に堆積したUSGキャッ
ピング層は約200オングストローム厚であった。加熱
ステップは約1000℃で約40秒間及び約60秒間急
速熱処理を用いて行った。図50でわかるように、約4
0秒加熱ステップ後に形成された接合部分は約0.06
μm であり、60秒加熱ステップ後に形成された接合部
分は約0.1μmである。6.131wt%BSG膜の表面
抵抗率は、40秒加熱ステップ後に約230Ω/cm2 及
び60秒加熱ステップ後に約150Ω/cm2 であった。
従って、加熱ステップの時間の長さは超薄接合部分を形
成するのに拡散深度を決定することができることがわか
る。
【0222】超薄ドープ接合形成に用いられた上記の実
験は、本発明の態様を具体的に説明するために単に例と
して示され、本発明の範囲を限定するものとしてみなさ
れるべきではない。
【0223】B.PMD層のPSG 本発明の実施例による装置及び方法の操作を証明するた
めに、PSG膜、例えば、PMD層を堆積するために実
験を行った。PMD層としてPSG膜を堆積させる前
に、ウェハを、典型的には、ゲート電極、酸化物側壁、
分離トレンチ等を形成するために複数の処理ステップに
供した。実験では、Applied Materials 社製の耐熱Giga
Fill(登録商標)Centuraチャンバ (全容量が約6リット
ルで200mmウェハに準備された閉鎖系)内でPSG膜
を堆積させた。
【0224】実験では、ウェハ上にPMD層としてPS
G膜を堆積させる前に、チャンバ15を所望堆積圧力に
しかつガス/液体フローを安定化するために予備堆積ス
テップを行った。異なる堆積レシピに最適であるように
予備堆積ステップが下記の説明(単に個々の具体的な実
施例である)から変動されてもよいことが認識されるこ
とは当然のことである。予備堆積ステップはチャンバ壁
上に不要な堆積を減少させ、堆積膜の均一な深さのプロ
ファイルを得るのに寄与する。予備堆積ステップが起こ
る前に、ウェハを真空ロックドアを介してヒータ25上
の真空チャンバ15に装填し、閉鎖する。ヒータ25を
約600℃の処理温度まで加熱し、予備堆積ステップ、
堆積ステップ及び後堆積ステップの間中維持される。
【0225】第1予備堆積ステップでは、ヒータ25は
ガス分配プレート20から約15mm(約600mil)の位
置にある。スロットルバルブを約5秒間開けて、ヘリウ
ムを約4000sccmの流速で及びO2 を約2900sccm
の流速でチャンバ15へ導入する。中性ガス、ヘリウム
及びO2 は、流速が安定化するためにまずチャンバ15
へ導入される。ヘリウム及びO2 のそれらの流速は、予
備堆積ステップの間中維持される。
【0226】第2予備堆積ステップでは、スロットルバ
ルブが閉められ、チャンバ15内の圧力が堆積圧力まで
上げられる。第2予備堆積ステップは、約30秒続き、
はじめはいくぶん所望の堆積圧力前後を変動してもよい
圧力をチャンバ15内で安定化させる。ヒータ25は、
第2予備堆積ステップではガス分配プレートから約8mm
(約330mil)の処理位置へ移動させる。
【0227】第3予備堆積ステップでは、チャンバ15
内圧力が約450torrの堆積圧力に安定化されたときに
液体TEOSを導入してTEOSとヘリウムのフローを
安定化させる。約1000 mgmのTEOS流速で、堆積
ステップ前の第3予備堆積ステップ中の約3秒間気化T
EOSガスがヘリウムキャリヤガスと混合する。
【0228】チャンバ圧力、温度及びTEOS/ヘリウ
ムガスフローが安定化しかつヒータ25の位置を調整し
たので、堆積処理が始められる。堆積ステップの始めに
O2フローが終わる。液体TEPOを約24 mgmの速度
で導入し、O3(酸素約8wt%)を約4000sccmの速度
で導入する。液体であるので、TEPO及びTEOS源
を液体注入系で気化させ、次に、不活性キャリヤガスヘ
リウムと混合する。この混合液をガス分配プレート20
からチャンバ15へ導入して反応性ガスをウェハ表面に
供給し、そこで熱誘導化学反応が起こって所望のPSG
膜を生じる。上記の条件により、約1780オングスト
ローム/分の速度で堆積したPSG膜が得られる。堆積
時間を制御することにより、厚さが約5300オングス
トロームのPSG膜が上記の処理条件で約404秒で形
成される。得られたPSG膜中のリンのwt%は約4wt%
である。
【0229】堆積後、終結ステップが行われ、即ち、水
分及び結晶化耐性を与えるために堆積PSG膜の安定性
を最適化する。約3秒間続く終結ステップでは、堆積条
件が維持され、TEPOフローが終わる。従って、終結
ステップは、上記のガス終結方法によってチャンバ15
内のその場方法でUSGキャッピング層を堆積する。U
SG層は、PSGバルク膜の厚さに比べて非常に薄い。
【0230】PSG堆積及びUSG堆積ステップ後、チ
ャンバ圧力のランピングダウンを制御するために及びガ
スシャットアウトを制御するために後堆積ステップが用
いられる。圧力及びガスシャットアウトを調整すること
により、後堆積ステップは、ウェハ汚染及び損傷を引き
起こすことがある粒子形成を減じるのを援助する。
【0231】個々の実施例においては、3段の後堆積ス
テップを用いた。上記終結ステップ直後の第1後堆積ス
テップでは、TEOSフローが終わり、ヒータ25がガ
ス分配プレート20から約15mm(約600mil)の位置
に移動する。また、スロットルバルブを定期的に開け、
約15秒続く第1後堆積ステップ中チャンバ圧力を徐々
にランプダウンする。第2後堆積ステップでは、バイパ
スバルブによってポンプで送ることによりチャンバ15
へのヘリウムフローが終わるように、スロットルバルブ
を定期的に開けて第3後堆積ステップ(排気ステップ)
のチャンバ圧力をランプダウンする。また、約15秒続
く第2後堆積ステップ中にヒータ25をプレート20か
ら約25mm(約999mil)の位置まで下に移動させる。
約3秒続く第3後堆積ステップでは、スロットルバルブ
を開け、最終バルブによってポンプで送ることによりチ
ャンバ15へのO3 フローを終わる。
【0232】PMD層として用いられるのに適したPS
G堆積用上記実験条件は、スループットの高い最良の膜
質を与えるのに最適である。表面拡散を高表面温度で高
めることにより、約600℃の温度でTEOS/O3 化
学を用いて堆積された熱PSG膜はステップカバレージ
が優れ、架橋構造が多く、P及びSiの酸化構造が安定
であり、優れた膜質を得た。PSG堆積膜は、フロー状
ステップカバレージ、水分耐性が高い、破壊電圧が高
い、表面の平坦、表面損傷がない(即ち、プラズマ損
傷)及び固定電荷がないことによって高品質であった。
PSG堆積膜は良好な膜厚均一性を示した。詳しくは、
PSG堆積膜の厚さ約1.2μm 厚の膜厚均一性(49p
t.1σ)は約1.5未満であることが測定された。
【0233】図52は、本発明の個々の実施例に従っ
て、600℃で堆積したPSG膜のas堆積ギャップフ
ィル性能を示す顕微鏡写真である。特に、600℃で堆
積されたPSG膜は、図52に見られるようにボイドを
形成せずに高さ(h)と間隔(w)を有する高アスペク
ト比ギャップを充填することができることがわかった。
図53は、図52に示された集積回路構造の断面の簡易
線図(縮尺は示されていない)である。図53に見られ
るように、基板1200は、形成されたゲート構造、詳
しくはケイ化タングステン(WSi)キャップ1240
のある電極1220をを積み重ねた。図52〜図53に
見られるように、積み重ねゲート構造上に酸化物層12
60を堆積させて点線で示されたhが約例35μm及び
wが約0.08μm の高アスペクト比を形成する。従っ
て、図52は、PMD層として用いられるPSG膜12
80で充填されるアスペクト比の高い(約4.3:1)具
体的構造を示す図である。上記の好適レシピを用いて約
600℃で堆積されたPSG膜は、典型的には約750
℃〜800℃で行われるリフローの必要がなく優れた高
アスペクト比のギャップフィル性能を示し、たいてい著
しく強い熱バジェットと一致しない。
【0234】高アスペクト比の優れたギャップフィル性
能のほかに、約600℃で堆積したPSG膜は水分吸収
に対する耐性の大きい密度の高い膜であることが有利で
ある。堆積したPSG堆積膜の水分吸収は、当業者に周
知である慣用のフーリエ変換赤外線スペクトル法(FT
IR)を用いて測定した。図54は、次の具体的な処理
条件下で約600℃で堆積したPSG膜のFTIRスペ
クトルを示す写真である。個々の実施例によれば、具体
的な処理条件は、約400torrの圧力で約1000 mgm
のTEOSフロー、約24 mgmのTEPOフロー、約6
000sccmのヘリウムフロー及び約4000sccmのオゾ
ン(酸素約12wt%)フロー及びヒータ25とガス分配
プレート20間の約8mm(約330mil)の間隔が含まれ
る。PSG堆積時間は約600秒であった。図54に示
されるように、約600℃で堆積したPSG膜のFTI
Rスペクトルは水分吸収を示すウォータースパイクを示
さず、堆積後の約155時間にわたって水分吸収の測定
可能な変化は見られず、長期間にわたるPSG膜の安定
性を示した。
【0235】図54に示されるように、PSG堆積膜は
密度が高く水分吸収耐性がある。高温、例えば、約60
0℃でのPSG膜の堆積は、膜へ吸収される水分を追い
出す傾向があり、密度の高い膜をもたらす。密度の高い
膜として、高温で堆積されたPSG膜は、膜の緻密化に
更にステップを必要としない利点がある。PSG堆積膜
の密度の高い種類は、約1000℃より高い温度での後
続のアニール或いは好ましくはCMPステップで平坦化
されるPMD層としての使用に適合する。水分吸収耐性
のほかに、高温で堆積した本PSG膜は良好な膜厚均一
性、及び後続のデバイス問題を引き起こすことがあるボ
イド又は弱いシームを形成することなく良好なギャップ
フィルを与えることができる。高温度PSG膜は、リン
の取込みが良好である(約2wt%〜8wt%)のでPMD
層として特に有用であり、デバイス内で移動しかつ短絡
を引き起こすナトリウム(Na+)イオンのような移動
イオンをゲッタリング又は捕捉するのに重要である。
【0236】PSG堆積膜を堆積しかつ特性を測定する
実験の上記の説明は、例えば、PMD層としての使用の
ための適合を示すものである。しかしながら、その説明
は本発明の範囲を限定するものとしてみなされるべきで
はない。
【0237】C.浅いトレンチ分離における酸化物充填
層の使用 本発明の実施例による装置及び方法の操作を証明するた
めに、USG膜を、例えば、浅いトレンチ分離のための
高品質酸化物充填層として堆積する実験を行った。高品
質酸化物充填層としてUSG膜を堆積させる前に、ウェ
ハを、典型的には、ゲート電極、酸化物側壁、分離トレ
ンチ等を形成するために複数の処理ステップに供した。
実験では、Applied Materials 社製の耐熱Giga Fill(登
録商標)Centuraチャンバ (全容量が約6リットルで20
0mmウェハに準備された閉鎖系)内でUSG膜を堆積さ
せた。
【0238】実験では、ウェハ上に充填層としてUSG
膜を堆積させる前に、チャンバ15を所望堆積圧力にし
かつガス/液体フローを安定化するために予備堆積ステ
ップを行った。異なる堆積レシピに最適であるように予
備堆積ステップが下記の説明(単に個々の具体的な実施
例である)から変動されてもよいことが認識されること
は当然のことである。予備堆積ステップはチャンバ壁上
に不要な堆積を減少させ、堆積膜の均一な深さのプロフ
ァイルを得るのに寄与する。予備堆積ステップが起こる
前に、ウェハを真空ロックドアを介してヒータ25上の
真空チャンバ15に装填し、閉鎖する。ヒータ25を約
550℃の処理温度まで加熱し、予備堆積ステップ、堆
積ステップ及び後堆積ステップの間中維持される。
【0239】第1予備堆積ステップでは、ヒータ25は
ガス分配プレート20から約15mm(約600mil)の位
置にある。スロットルバルブを約5秒間開けて、ヘリウ
ムを約7000sccmの流速で及びO2 を約2900sccm
の流速でチャンバ15へ導入する。中性ガス、ヘリウム
及びO2 は、流速が安定化するためにまずチャンバ15
へ導入される。ヘリウム及びO2 のそれらの流速は、予
備堆積ステップの間中維持される。
【0240】第2予備堆積ステップでは、スロットルバ
ルブが閉められ、チャンバ15内の圧力が堆積圧力まで
上げられる。第2予備堆積ステップは、約40秒未満続
き、はじめはいくぶん所望の堆積圧力前後を変動しても
よい圧力をチャンバ15内で安定化させる。ヒータ25
は、第2予備堆積ステップ中にガス分配プレートから約
9mm (約350mil)の処理位置へ移動させる。
【0241】第3予備堆積ステップでは、チャンバ15
内圧力が約600torrの堆積圧力に安定化されたときに
液体TEOSを導入してTEOSとヘリウム(又は窒
素)のフローを安定化させる。約2000 mgmのTEO
S流速で、堆積ステップ前の第3予備堆積ステップ中の
約5秒間気化TEOSガスがヘリウム(又は窒素)キャ
リヤガスと混合する。
【0242】チャンバ圧力、温度及びTEOS/ヘリウ
ムガスフローが安定化しかつヒータ25の位置を調整し
たので、堆積処理が始められる。堆積ステップの始めに
O2フローが終わり、O3(酸素約12.5wt%)を約50
00sccmの速度で導入する。液体であるので、TEOS
源を液体注入系で気化させ、次に、不活性キャリヤガス
ヘリウムと混合する。この混合液をガス分配プレート2
0からチャンバ15へ導入して反応性ガスをウェハ表面
に供給し、そこで熱誘導化学反応が起こって所望のUS
G膜を生じる。上記の条件により、約1450オングス
トローム/分の速度で堆積したPSG膜が得られる。堆
積時間を制御することにより、厚さが約10000オン
グストロームのUSG膜が上記の処理条件で約414秒
で形成される。
【0243】USG堆積後、パージステップが行われ、
即ち、水分耐性を与えるために堆積USG膜の安定性を
最適化する。約3秒間続くパージステップでは、堆積条
件が維持され、TEPOフローが終わる。
【0244】USG堆積ステップ及びパージステップ
後、チャンバ圧力のランピングダウンを制御するために
及びガスシャットアウトを制御するために後堆積ステッ
プが用いられる。圧力及びガスシャットアウトを調整す
ることにより、後堆積ステップは、ウェハ汚染及び損傷
を引き起こすことがある粒子形成を減じるのを援助す
る。
【0245】個々の実施例においては、3段の後堆積ス
テップを用いた。上記終結ステップ直後の第1後堆積ス
テップでは、バイパスバルブによってポンプで送ること
によりチャンバ15へのキャリヤガスフローが終わる。
スロットルバルブを定期的に開けて約15秒続く第1後
堆積ステップ中にチャンバ圧力を徐々にランプダウンす
るように、ヒータ25をガス分配プレート20から約1
5mm(約600mil)の位置に移動させる。第2後堆積ス
テップでは、スロットルバルブを定期的に開けてチャン
バ圧力のランピングダウンを続け、チャンバ15へのO
3 フローを続ける。また、約15秒続く第2後堆積ステ
ップ中にヒータ25をプレート20から約15mm(約6
00mil)の位置まで下に移動させる。約3秒続く第3後
堆積ステップでは、スロットルバルブを開け、最終バル
ブによってポンプで送ることによりチャンバ15へのO
3 フローを終わる。
【0246】高品質酸化物充填層として用いられるのに
適したUSG堆積用上記実験条件は、スループットの高
い最良の膜質を与えるのに最適である。浅いトレンチ分
離被覆では、堆積USG膜は非常に密度の高いかつ均一
な膜であることに加えてボイドのないギャップフィル
(典型的には約85°の適度な確度で)が可能でなけれ
ばならない。USG堆積膜は、良好な膜厚均一性を示し
た。詳しくは、USG堆積膜の約5000オングストロ
ーム厚の膜厚均一性(49pt.1σ)は約1.5未満で
あることが測定された。
【0247】図55及び図56は、本発明の個々の実施
例に従って、約1050℃でのリフロー及び6:1緩衝
化酸化物エッチング(BOE)後に各々約400℃及び
約550℃で堆積したTEOS/O3 USG膜の相対ギ
ャップフィル性能を示す顕微鏡写真である。特に、図5
5は、約1050℃でのリフロー後に約400℃で堆積
したUSG膜である充填層を有する幅が約0.35μm 及
び深さが約0.70μm(約2:1のアスペクト比のギャ
ップ)のトレンチ構造を示す写真である。図55は、U
SG膜中の大きなボイドを示す写真であり、約400℃
で堆積したUSG膜がほとんど密度が高くなくかつ縮み
やすく見えることを示している。ある程度までUSG膜
を緻密化することができる約1000℃より高い温度で
のリフロー後でさえ、約400℃の温度で堆積したUS
G膜はほとんど密度が高くなくかつボイドを開けずに高
温のアニール又は後続のウェットエッチング処理に耐え
られない。比較として、図56は、約1050℃でのリ
フロー及び後続のウェットエッチング処理後に約550
℃で堆積したUSG膜であるボイドのない充填層を有す
る幅が約0.18μm 及び深さが約0.45μm の(約2.
5:1アスペクト比ギャップ)トレンチ構造を示す写真
である。約550℃で堆積したUSG膜は、図55及び
図56から見られるように400℃で堆積したUSG膜
と異なりリフロー後にボイドを形成せずに高アスペクト
比ギャップを充填することができる。極めて均一なエッ
チング速度でのエッチング処理後、約550℃で堆積し
たUSG膜はボイドを開けずに優れたステップカバレー
ジを保持する。
【0248】約550℃で堆積したUSG膜の高アスペ
クト比ギャップ充填性能の証明として、本発明の個々の
実施例による約1000℃でのアニール及び後続のウェ
ットエッチング処理後の堆積USG膜のギャップフィル
性能を示す顕微鏡写真である。図57は、約1000℃
でのリフロー後に約550℃で堆積したUSG膜である
充填層を有する幅が約0.16μm 及び深さが約0.48μ
m の(アスペクト比約3:1のギャップ)トレンチ構造
を示す写真である。図57のトレンチ構造は、図55及
び図56に示されたトレンチ構造より小さい間隔及び大
きいアスペクト比を有し、約550℃で堆積したUSG
膜の優れたギャップ充填性能を示す。
【0249】高アスペクト比の優れたギャップフィル性
能のほかに、約550℃で堆積したUSG膜は水分吸収
に対する耐性の大きい密度の高い膜であることが有利で
ある。堆積したPSG堆積膜の水分吸収は、慣用のFT
IR法を用いて測定した。図54は、個々の実施例によ
り次の具体的な処理条件下で約550℃で堆積したPS
G膜のFTIRスペクトルを示す写真である。個々の実
施例によれば、具体的な処理条件は、約600torrの圧
力で約2000 mgmのTEOSフロー、約7000sccm
のヘリウムフロー及び約5000sccmのオゾン(酸素約
12.5wt%)フロー及びヒータ25とガス分配プレート
20間の約9mm(約350mil)の間隔が含まれる。図5
8に見られるように、約600℃で堆積したUSG膜の
FTIRスペクトルは低水分吸収を示した(約1wt%未
満の水分)。更に、図58は、約0.5wt%未満の水分の
増加が堆積後の約160時間にわたって見られることを
示し、長期間にわたるPSG膜の安定性を示した。
【0250】従って、図58によって支持されるよう
に、USG堆積膜は密度が高く水分吸収耐性がある。高
温、例えば、約550℃でのUSG膜の堆積は、膜へ吸
収される水分を追い出す傾向があり、密度の高い膜をも
たらす。密度の高い膜として、高温で堆積したUSG膜
は、低い温度で堆積されたUSG膜に比べてアニーリン
グステップ及び後続のウェットエッチング処理後にボイ
ド形成をもたらす縮みやすさが小さい利点がある。PS
G堆積膜の密度の高い種類は、浅いトレンチ分離被覆に
用いられるトレンチを充填する高品質酸化物層としての
使用に適合する。高密度のために、高温で堆積しかつ酸
化物充填層として用いられたUSG膜は、後続のアニー
ル或いはCMPステップによって平坦化され、ボイドを
開ける確度が最少になる。水分吸収耐性及び良好な膜厚
均一性のほかに、高温で堆積した本USG膜は、後続の
デバイス問題を引き起こすことがあるボイド又は弱いシ
ームを形成することなく優れた高アスペクト比を与える
ことができる。
【0251】通常、高圧O3/TEOS USG膜はパタ
ーン又は表面感受性作用を示すことがあり、一様でない
堆積を生じ望ましくない。一様でない堆積による問題は
高いO3/TEOS比で悪化することがわかった。有利に
は、少なくとも約550℃のような高温でのUSG膜の
堆積には十分な堆積速度を得るために多くのTEOSの
使用が必要である。従って、高温で堆積したUSG膜の
O3/TEOS比は低く(約5:1未満)、パターン又は
表面感受性作用を除去する。更に、膜質(例えば、密
度、縮み等)は高温で堆積したUSG膜が高い。高温堆
積USG膜の高密度のために、プラズマ緻密化処理又は
プラズマ酸化物キャップは必要なく、ウェハに対するプ
ラズマ損傷を回避する。従って、チャンバ内のそのよう
な処理からのプラズマの欠徐は金属混入の可能性及びウ
ェハでのデバイスの短絡の可能性を減少させる。たいて
いプラズマ緻密化処理又はプラズマ酸化物キャップが必
要でありかつアニール後にボイドを開けるために縮むこ
とがある低温熱USG膜に比べて、本発明に従って約5
50℃の温度で堆積した熱USG膜は、ギャップフィル
性能が優れ、縮みが最小であり、膜密度が均一であり、
プラズマ損傷することなく金属混入が少ない。
【0252】上記の実験の説明は、例として、浅いトレ
ンチ分離に対して高アスペクト比のトレンチを充填する
高品質酸化物層として使用するための堆積USG膜の適
合を示すものである。IMD被覆用に500℃より低い
温度でUSG膜を堆積するために同じCVD装置が用い
られる。上記の説明が本発明の範囲を制限するものとし
てみなされないことは当然のことである。
【0253】上記の説明は、例示であり限定されるもの
でないことは理解されるべきである。多くの実施例は、
上記の説明を再検討する際に当業者に明らかになるであ
ろう。例として、本発明は本明細書で主にUSG、BS
G、PSG及びBPSGプロセスレシピに関して示した
がそれに限定されない。例えば、他の実施例に従って形
成された誘電膜はヒ素ドープ酸化シリコン膜又は他のド
ープ膜とすることができる。他の例として、誘電膜の堆
積をヘリウムのようなキャリヤガスを用いて記載してき
たが、アルゴン又は窒素のような他のキャリヤも同様に
用いられる。更に、一例として誘電層をドープ接合形
成、PMD層、IMD層、酸化物充填層、キャッピング
層等を含む個々の被覆について記載してきた。上記の同
じCVD装置が約400℃より低い温度及び500℃よ
り高い温度で誘電層を堆積させるために用いられること
が認識されることは当然のことである。更に、本発明の
種々の態様が他の被覆にも用いられる。当業者は、本発
明の特許請求の範囲内に保ちつつ誘電層を堆積する他の
装置又は別の方法を認識するであろう。従って、本発明
の範囲は、上記の説明について決定されるべきではな
く、かかる特許請求の範囲が権利を与える等価物の完全
な範囲と共に上記特許請求の範囲について決定されるべ
きである。
【図面の簡単な説明】
【図1】本発明のCVD装置の縦断面図である。
【図2】マルチチャンバ系におけるシステムモニタ及び
CVD及び装置10の簡易線図である。
【図3】クリーンルームに位置したガス供給パネル80
に関するCVD装置10の概略図である。
【図4】個々の実施例によるシステム制御ソフトウェ
ア、コンピュータプログラム150の階層的制御構造の
ブロック図である。
【図5】具体的なヒータ制御サブルーチンのブロック図
である。
【図6】本発明のCVD装置10の好適実施例の組立分
解図である。
【図7】図2のライン3−3に沿って取った部分的に概
略の縦断面図である。
【図8】図2の装置の半導体処理チャンバの拡大断面図
である。
【図9】図2の装置のガス分配系の組立分解図である。
【図10】ガス分配系の一部を示すCVD装置10のリ
ッドアセンブリの部分的に切り離した平面図である。
【図11】洗浄ガスのバイパス導管を組込んでいるCV
D装置10の代替的リッドアセンブリの前断面図であ
る。
【図12】洗浄ガスのバイパス導管を組込んでいるCV
D装置10の代替的リッドアセンブリの平面図である。
【図13】本発明の実施例のチャンバライナーの側面図
である。
【図14】本発明の実施例のチャンバライナーの底面図
である。
【図15】図2のCVD装置10の排気系のポンプチャ
ネル及びガスフローパターンを示すライン8−8に沿っ
て取った図3の部分的略断面図である。
【図16】本発明の実施例のヒータ/リフトアセンブリ
の部分的略縦断面図である。
【図17】図16のヒータ/リフトアセンブリの底面部
分の拡大断面図である。
【図18】本発明の実施例の図16のペデスタル/ヒー
タアセンブリの側断面図である。
【図19】ヒータコイルを示すペデスタル/ヒータの底
面図である。
【図20】図16のヒータ/リフトアセンブリの組立分
解図である。
【図21】図17のペデスタル/ヒータ内の電気接続部
分の1つの拡大図である。
【図22】熱電対を入れるペデスタル/ヒータ内の孔を
示す拡大断面図である。
【図23】熱電対を入れるペデスタル/ヒータ内の熱電
対を示す断面図である。
【図24】本発明の実施例のウェハ及び/又はプロセス
チャンバを洗浄するリモートマイクロ波プラズマ系の簡
易線図である。
【図25】本発明の実施例の洗浄終点検出系の概略図で
ある。
【図26】本発明の実施例の洗浄終点検出系の概略図で
ある。
【図27】本発明の実施例の洗浄終点検出系の概略図で
ある。
【図28】本発明の実施例の洗浄終点検出系の概略図で
ある。
【図29】本発明の実施例に従って製造された半導体デ
バイスの簡易断面図である。
【図30】超薄ソース/ドレーン接合部分についての本
発明の方法及び装置の具体的適用の簡易断面図である。
【図31】超薄ソース/ドレーン接合部分についての本
発明の方法及び装置の具体的適用の簡易断面図である。
【図32】超薄ソース/ドレーン接合部分についての本
発明の方法及び装置の具体的適用の簡易断面図である。
【図33】超薄ソース/ドレーン接合部分についての本
発明の方法及び装置の具体的適用の簡易断面図である。
【図34】超薄ソース/ドレーン接合部分についての本
発明の方法及び装置の具体的適用の簡易断面図である。
【図35】超薄トレンチ分離についての本発明の方法及
び装置の他の具体的適用の簡易断面図である。
【図36】超薄トレンチ分離についての本発明の方法及
び装置の他の具体的適用の簡易断面図である。
【図37】超薄トレンチ分離についての本発明の方法及
び装置の他の具体的適用の簡易断面図である。
【図38】超薄トレンチ分離についての本発明の方法及
び装置の他の具体的適用の簡易断面図である。
【図39】超薄トレンチ分離についての本発明の方法及
び装置の他の具体的適用の簡易断面図である。
【図40】超薄トレンチ分離についての本発明の方法及
び装置の他の具体的適用の簡易断面図である。
【図41】超薄トレンチ分離についての本発明の方法及
び装置の他の具体的適用の簡易断面図である。
【図42】本発明の個々の実施例のリモートマイクロ波
プラズマ系55を備えた最適洗浄速度を与えるNF3 流
速とマイクロ波飽和電力間の関係を示すグラフである。
【図43】本発明の実施例に従って製造されたキャップ
したBSG膜を用いて生成された超薄接合部分のドーパ
ントプロファイルを示す実験結果を示すグラフである。
【図44】本発明の実施例に従って製造されたキャップ
したBSG膜を用いて生成された超薄接合部分のドーパ
ントプロファイルを示す実験結果を示すグラフである。
【図45】本発明の実施例に従って製造されたキャップ
したBSG膜を用いて生成された超薄接合部分のドーパ
ントプロファイルを示す実験結果を示すグラフである。
【図46】本発明の他の実施例の別のキャップしたBS
G膜を用いて生成された超薄接合部分のドーパントプロ
ファイルを示す実験結果を示す図である。
【図47】本発明の他の実施例の別のキャップしたBS
G膜を用いて生成された超薄接合部分のドーパントプロ
ファイルを示す実験結果を示す図である。
【図48】本発明の他の実施例の別のキャップしたBS
G膜を用いて生成された超薄接合部分のドーパントプロ
ファイルを示す実験結果を示す図である。
【図49】本発明の他の実施例の別のキャップしたBS
G膜を用いて生成された超薄接合部分の面積抵抗を示す
実験結果を示す図である。
【図50】本発明の他の実施例の別のキャップしたBS
G膜を用いて生成された超薄接合部分のドーパントプロ
ファイルを示す実験結果を示す図である。
【図51】本発明の他の実施例の別のキャップしたBS
G膜を用いて生成された超薄接合部分の面積抵抗を示す
実験結果を示す図である。
【図52】本発明の個々の実施例に従って600℃で堆
積したPSG膜のアズ堆積ギャップ充填能力を示す顕微
鏡写真である。
【図53】図52に示された構造部分の簡易線図であ
る。
【図54】個々の実施例の具体的なプロセス条件下で約
600℃で堆積したPSG膜のFTIRスペクトルを示
す図である。
【図55】本発明の個々の実施例の約1050℃で加熱
し引き続きウェットエッチング処理した後の約400℃
で堆積したTEOS/O3 USG膜の相対ギャップ充填
能力を示す顕微鏡写真である。
【図56】本発明の個々の実施例の約1050℃で加熱
し引き続きウェットエッチング処理した後の約550℃
で堆積したTEOS/O3 USG膜の相対ギャップ充填
能力を示す顕微鏡写真である。
【図57】本発明の個々の実施例の約1000℃で加熱
し引き続きウェッエッチング処理した後に約550℃で
堆積したUSG膜のギャップ充填能力を示す顕微鏡写真
である。
【図58】個々の実施例の具体的なプロセス条件下約5
50℃で堆積したUSG膜のFTIRスペクトルを示す
図である。
【符号の説明】
10…CVD装置、13…処理位置、15…チャンバ、
16…プロセスチャンバ、17…チャンバ壁、20…プ
レート、21…ウェハ表面、25…ヒータ、30…ヒー
タ/リフトアセンブリ、32…外部リッドアセンブリ、
35…チャンバライナー、40…ポンプチャネル、43
…供給ライン、導入管、47…導管、50…プロセッ
サ、55…リモートマイクロ波プラズマ系、57…ライ
ン、60…排気ライン、63…スロットルバルブ系、7
0…メモリ、73a…モニタ、73b…ライトペン、7
5…メーンフレームユニック、80…ガス供給パネル、
83…ライン、85…ライン、90…供給源、93…混
合系、95…バルブ、100…マスフローコントロー
ラ、157a〜c…チャンバマネージャーサブルーチ
ン、159…終点検出制御サブルーチン、160…基板
配置サブルーチン、163…プロセスガスサブルーチ
ン、165…圧力制御サブルーチン、167…ヒータ制
御サブルーチン、169…ゲッタリング制御サブルーチ
ン、170…プラズマ制御サブルーチン、200〜20
2…シャフト、205…ガス分配系、210…排気系、
215…液体冷却系、217、219…水接続部分、2
20…水流検出器、225…外部リッドアセンブリ、2
30…内部リッドアセンブリ、230′…リッドアセン
ブリ、233…カバー、235…切り抜き、237…リ
ッドクランプ、239…リッドヒンジ、241…ロッキ
ングラチェット機構、243…スリット、245…内
壁、250…ライナー、252…シェルフ、253…内
部、254…環状リッド、255…外部ライナー、25
9…エアギャップ、261、261…環状カバー、26
5…ベースプレート、270、277…クリーンガスマ
ニホールド、281…作動ハンドル、290…入口、2
91…内部ライナー、292…アプリケータ管、293
…通路、295…内部通路、297…外部通路、301
…ガス分散プレート、303、305…取り付けねじ、
311…みぞ、313…外部フランジ、315…孔、3
16…外部スタンドオフ、317…チャンバ、318…
スタンドオフ、320…チャンバ、321…円板、32
5…ガス分散孔、327…中央孔、333…スロットル
バルブ、343…みぞ、345…環状キャップ、351
…矢印、355…スロット形オリフィス、361…ガス
通路、排気口、363…真空シャットオフバルブ、37
1…分離バルブ、373…スロットルバルブ、381、
383…キャパシタンス、マノメータ、385…コント
ローラ、391…上支持シャフト、393…下支持シャ
フト、395…リフト管、400…駆動アセンブリ、4
03…ウェハ支持表面、405…フランジ、407…ス
テッパモータ、411、413…ベローズ、420…ス
トライクプレート、430…ウェハ支持/リフトフィン
ガ、432…ガイドスタッド、440…ヒータコイルア
センブリ、445…中空コア、453…開口、457…
シーリング部材、コンダクタワイヤ、461…垂直可動
支持体、466…入口、出口、471…ヒータコイル、
473…ヒータ要素、474…第2電気的接触部分、4
75…リード線、477…挿入部分、491…熱電対、
493…エロンゲード管、495…センサ、500…環
状冷却剤チャネル、502…環状流体チャネル、511
…ヒータワイヤ端、513…熱電対管端、701…整合
系、705…サーキュレータ、707…負荷、711…
マグネトロン、721…導波管区分、733…UV電
源、800…終点検出系、802…検出器、804…ハ
ウジング、806…通し穴、808、810…フラン
ジ、812、813…窓、814…供給源、816…検
出器、880…終点検出系、900…集積回路、90
3、906…トランジスタ、915…ドレーン領域、9
20…電界酸化物領域、921…含金属誘電層、924
…コンタクト、926…ビア、927〜929…内部誘
電層、930…平坦化活性化層、942、944、94
6…金属層、1000…トランジスタ、1002…ゲー
ト電極、1004…半導体材料、1006…電界酸化物
領域、1008…ドープ誘電層、1010、1012…
ソース/ドレーン領域、1020…超薄接合部分、10
30…キャッピング層、1100…半導体材料、110
2…トレンチ、1104…マスク、1106…ドープ誘
電層、1108…超薄チャネルストップ領域、1110
…キャップ層、1112、1114…デバイス。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 リ−チュン シア アメリカ合衆国, カリフォルニア州, サンタ クララ, ホームステッド ロー ド 3131, 13ディー (72)発明者 ポール ジー アメリカ合衆国, カリフォルニア州, サン ノゼ, シータ コート 471 (72)発明者 バング ニューエン アメリカ合衆国, カリフォルニア州, フリーモント, ブッシュ サークル 4456

Claims (21)

    【特許請求の範囲】
  1. 【請求項1】 チャンバ内で基板に超薄ドープ領域を形
    成する方法であって、 圧力が約100torr〜760torrの前記チャンバ内でシ
    リコン、酸素及びドーパントの反応から少なくとも50
    0℃の温度のヒータ上の前記基板上にドーパント原子を
    含むドープ酸化シリコン膜を堆積させるステップ;及び
    前記ドーパント原子を前記基板へ拡散させるために前記
    ドープ酸化シリコン膜を加熱して前記超薄ドープ領域を
    形成するステップ:を含む方法。
  2. 【請求項2】 前記加熱ステップが前記ドーパント原子
    を前記基板へ拡散させて深さが約0.35μm 未満の前
    記超薄領域を形成する請求項1記載の方法。
  3. 【請求項3】 前記加熱ステップが約950℃〜105
    0℃で約1分〜2分間である請求項1記載の方法。
  4. 【請求項4】 前記加熱ステップが前記チャンバ内で前
    記ヒータを加熱することによりその場で (in situ) 行
    われる請求項1記載の方法。
  5. 【請求項5】 前記シリコンがTEOSであり、前記酸
    素がオゾンである請求項1記載の方法。
  6. 【請求項6】 前記方法が前記加熱ステップ後に前記基
    板から前記ドープ酸化シリコン膜を除去するステップを
    更に含む請求項1記載の方法。
  7. 【請求項7】 前記ドープ酸化シリコン膜が、ホウケイ
    酸塩ガラス(BSG)膜及びリンケイ酸塩ガラス(PS
    G)膜からなる群より選ばれた膜を含む請求項5記載の
    方法。
  8. 【請求項8】 前記方法が前記ドープ酸化シリコン膜堆
    積ステップ後に行われる、前記チャンバ内でシリコンと
    酸素との反応から前記ドープ酸化シリコン膜上に非ドー
    プケイ酸塩ガラス(USG)を堆積させるステップ;及
    び前記加熱ステップ後に前記USG膜と前記ドープ酸化
    シリコン膜を除去するステップ:を更に含む方法。
  9. 【請求項9】 前記USG膜堆積ステップが前記チャン
    バ内で約50torr〜760torrの圧力で行われ、前記ヒ
    ータの温度が約200℃〜600℃である請求項8記載
    の方法。
  10. 【請求項10】 前記USG膜の堆積が、前記チャンバ
    内でその場 (in situ) 工程の前記ドープ酸化シリコン
    膜の堆積直後に行われる請求項8記載の方法。
  11. 【請求項11】 前記チャンバ内でその場 (in situ)
    工程の未変性酸化物の該基板を洗浄するステップを更に
    含む請求項1記載の方法。
  12. 【請求項12】 前記USG膜が、前記シリコンと酸素
    の導入を約1秒〜30秒間維持しつつ前記ドープ酸化シ
    リコン膜の形成の完了間近で前記ドーパントの導入を停
    止することにより堆積する請求項8記載の方法。
  13. 【請求項13】 前記除去ステップが前記チャンバ内で
    その場で (in situ)行われる請求項8記載の方法。
  14. 【請求項14】 下記の成分を含む基板処理系。処理チ
    ャンバ;第1プロセスガスを前記処理チャンバに送るた
    めに配置されたガス供給系;ウェハを保持することがで
    きかつ選定温度まで加熱することができるヒータを含む
    加熱系;前記処理チャンバ内で選定圧力を維持するため
    に配置された真空系;前記ガス供給系、前記加熱系及び
    前記真空系を制御するために配置されたコントローラ;
    及び前記基板処理系を操作するために具体化された、下
    記のセットを含むコンピュータ判読プログラムをもつコ
    ンピュータ判読メディアムを含む、前記コントローラに
    連結されたメモリ:ドープ酸化シリコンガラス層を形成
    するために前記処理チャンバに酸素、シリコン及びドー
    パントを含む第1プロセスガスを第1時間中に導入する
    前記ガス供給系を制御する、下記のサブセットを含むコ
    ンピュータ命令の第1セット:前記第1時間中に前記処
    理チャンバ内の圧力を約100torr〜760torrに維持
    する前記真空系を制御するコンピュータ命令の第1サブ
    セット;及び第2時間中に前記ドープ酸化シリコン層上
    で約900℃〜1050℃の温度で加熱ステップを行う
    ために前記加熱系を制御し、前記ドープ酸化シリコンが
    前記第2時間後に除去されるコンピュータ命令の第2セ
    ット。
  15. 【請求項15】 前記コンピュータ命令の第1セット
    が、前記処理チャンバを前記第1時間中に約200℃〜
    700℃の温度まで加熱するために前記加熱系を制御す
    るコンピュータ命令の第3サブセットを含む請求項14
    記載の装置。
  16. 【請求項16】 前記ガス供給系が第2プロセスガスを
    前記処理チャンバに送るように配置され;前記コンピュ
    ータ判読プログラムが下記のセット:シリコン及び酸素
    を含む前記第2プロセスガスを前記第3時間中に前記処
    理チャンバに導入して該ドープ酸化シリコンガラス層上
    に非ドープ酸化シリコンガラス層を形成する前記ガス供
    給系を制御する、第3時間が第1時間後であるコンピュ
    ータ命令の第3セット;を含み、前記コンピュータ命令
    の前記第3セットが下記のサブセット:前記第3時間中
    に前記処理チャンバ内の圧力を約50torr〜760torr
    に維持するために前記真空系を制御するコンピュータ命
    令の第4サブセット;を含み、 第2時間が第3時間後である請求項14記載の装置。
  17. 【請求項17】 第3プロセスガスからプラズマを生成
    するように配置されたプラズマ生成系を更に含み、前記
    コントローラが前記プラズマ生成系を制御するように配
    置された請求項14記載の装置。
  18. 【請求項18】 前記プラズマが第4時間中に前記装置
    内の前記基板から未変性酸化物をエッチングするために
    用いられ、前記第4時間が第3時間の前であり、前記プ
    ラズマ生成系がリモートマイクロ波プラズマ系である請
    求項17記載の装置。
  19. 【請求項19】 前記第3プロセスガスがNF3 を含む
    請求項18記載の装置。
  20. 【請求項20】 前記ガス供給系が第4プロセスガスを
    前記処理チャンバに送るように配置され;前記コンピュ
    ータ判読プログラムが、 第6時間中にフッ素又は塩素を含む第4プロセスガスを
    導入するために前記ガス供給系を制御する、下記のサブ
    セットを含むコンピュータ命令の第4セット;前記第6
    時間中に約500℃〜650℃の温度まで加熱するため
    に前記ヒータを制御する命令の第6サブセット;を含む
    請求項14記載の装置。
  21. 【請求項21】 前記第4プロセスガスがNF3 を含む
    請求項20記載の装置。
JP32549697A 1996-11-13 1997-11-11 ドープ酸化シリコン膜を用いて超薄ドープ領域を形成する方法 Expired - Fee Related JP4365459B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/748,094 US5994209A (en) 1996-11-13 1996-11-13 Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US08/748094 1996-11-13

Publications (2)

Publication Number Publication Date
JPH10189467A true JPH10189467A (ja) 1998-07-21
JP4365459B2 JP4365459B2 (ja) 2009-11-18

Family

ID=25007991

Family Applications (1)

Application Number Title Priority Date Filing Date
JP32549697A Expired - Fee Related JP4365459B2 (ja) 1996-11-13 1997-11-11 ドープ酸化シリコン膜を用いて超薄ドープ領域を形成する方法

Country Status (5)

Country Link
US (2) US5994209A (ja)
JP (1) JP4365459B2 (ja)
KR (1) KR100550422B1 (ja)
SG (1) SG70612A1 (ja)
TW (1) TW358971B (ja)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6905982B2 (en) 2001-05-15 2005-06-14 Renesas Technology Corp. Method of manufacturing a semiconductor integrated circuit device
JP2008252079A (ja) * 2007-03-07 2008-10-16 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法及び半導体製造装置
JP2012195493A (ja) * 2011-03-17 2012-10-11 Seiko Epson Corp 半導体基板及び半導体基板の製造方法
WO2013088932A1 (ja) 2011-12-16 2013-06-20 三菱瓦斯化学株式会社 成形品
JP2018011067A (ja) * 2011-09-23 2018-01-18 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10361076B2 (en) 2010-04-15 2019-07-23 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US10559468B2 (en) 2010-04-15 2020-02-11 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10679848B2 (en) 2016-07-01 2020-06-09 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10741458B2 (en) 2012-11-08 2020-08-11 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10957514B2 (en) 2016-06-30 2021-03-23 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control

Families Citing this family (138)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6114216A (en) * 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US5963840A (en) * 1996-11-13 1999-10-05 Applied Materials, Inc. Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
EP0904562A1 (en) * 1997-03-17 1999-03-31 Koninklijke Philips Electronics N.V. Optical switching device
US6077764A (en) * 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US6220091B1 (en) * 1997-11-24 2001-04-24 Applied Materials, Inc. Liquid level pressure sensor and method
US6098568A (en) * 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
KR100343134B1 (ko) * 1998-07-09 2002-10-25 삼성전자 주식회사 유전막형성방법
US6251800B1 (en) * 1999-01-06 2001-06-26 Advanced Micro Devices, Inc. Ultrathin deposited gate dielectric formation using low-power, low-pressure PECVD for improved semiconductor device performance
US6156122A (en) * 1999-06-30 2000-12-05 Winbond Electronics Corp. Depositor for depositing a dielectric layer with fewer metallic impurities and a method for reducing the content of the metallic impurities in the dielectric layer by using this depositor
US6150212A (en) * 1999-07-22 2000-11-21 International Business Machines Corporation Shallow trench isolation method utilizing combination of spacer and fill
US6602806B1 (en) * 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US6258729B1 (en) 1999-09-02 2001-07-10 Micron Technology, Inc. Oxide etching method and structures resulting from same
DE10010286A1 (de) * 2000-02-25 2001-09-13 Infineon Technologies Ag Verfahren zum Auffüllen von Vertiefungen in einer Oberfläche einer Halbleiterstruktur und eine auf diese Weise aufgefüllte Halbleiterstruktur
US6258735B1 (en) 2000-10-05 2001-07-10 Applied Materials, Inc. Method for using bypass lines to stabilize gas flow and maintain plasma inside a deposition chamber
TW478099B (en) * 2000-11-03 2002-03-01 Applied Materials Inc Shallow trench isolation manufacture method
US6777347B1 (en) 2001-01-19 2004-08-17 Taiwan Semiconductor Manufacturing Company Method to produce porous oxide including forming a precoating oxide and a thermal oxide
US6436194B1 (en) * 2001-02-16 2002-08-20 Applied Materials, Inc. Method and a system for sealing an epitaxial silicon layer on a substrate
KR100687531B1 (ko) * 2001-05-09 2007-02-27 에이에스엠 저펜 가부시기가이샤 반도체 장치용 저유전상수 절연막의 형성방법
US6559039B2 (en) * 2001-05-15 2003-05-06 Applied Materials, Inc. Doped silicon deposition process in resistively heated single wafer chamber
US7638161B2 (en) * 2001-07-20 2009-12-29 Applied Materials, Inc. Method and apparatus for controlling dopant concentration during BPSG film deposition to reduce nitride consumption
JP4068327B2 (ja) * 2001-10-11 2008-03-26 株式会社東芝 半導体製造装置と半導体装置の製造方法
KR100826792B1 (ko) * 2001-11-12 2008-04-30 동부일렉트로닉스 주식회사 트렌치 격리 구조의 반도체 소자 제조 방법
US7015568B2 (en) * 2003-08-21 2006-03-21 Texas Instruments Incorporated System for ultraviolet atmospheric seed layer remediation
US7431967B2 (en) * 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US7141483B2 (en) * 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US7456116B2 (en) * 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US6905940B2 (en) * 2002-09-19 2005-06-14 Applied Materials, Inc. Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
KR100480897B1 (ko) 2002-12-09 2005-04-07 매그나칩 반도체 유한회사 반도체소자의 소자분리막 형성방법
US7062141B2 (en) * 2002-12-12 2006-06-13 Applied Materials, Inc. Deposition of thick BPSG layers as upper and lower cladding for optoelectronics applications
JP2004214610A (ja) * 2002-12-20 2004-07-29 Renesas Technology Corp 半導体装置の製造方法
US6830996B2 (en) * 2003-03-24 2004-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Device performance improvement by heavily doped pre-gate and post polysilicon gate clean
US6933157B2 (en) * 2003-11-13 2005-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor wafer manufacturing methods employing cleaning delay period
US20050120958A1 (en) * 2003-12-07 2005-06-09 Frank Lin Reactor
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
JP4721235B2 (ja) * 2004-12-17 2011-07-13 コリア リサーチ インスティチュート オブ スタンダーズ アンド サイエンス 真空ポンプの故障保護と予知保全のための精密診断方法及びそのための精密診断システム
US20100151677A1 (en) * 2007-04-12 2010-06-17 Freescale Semiconductor, Inc. Etch method in the manufacture of a semiconductor device
US20090239363A1 (en) * 2008-03-24 2009-09-24 Honeywell International, Inc. Methods for forming doped regions in semiconductor substrates using non-contact printing processes and dopant-comprising inks for forming such doped regions using non-contact printing processes
JP2009260151A (ja) * 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US20100035422A1 (en) * 2008-08-06 2010-02-11 Honeywell International, Inc. Methods for forming doped regions in a semiconductor material
US8053867B2 (en) * 2008-08-20 2011-11-08 Honeywell International Inc. Phosphorous-comprising dopants and methods for forming phosphorous-doped regions in semiconductor substrates using phosphorous-comprising dopants
US7951696B2 (en) 2008-09-30 2011-05-31 Honeywell International Inc. Methods for simultaneously forming N-type and P-type doped regions using non-contact printing processes
US9155134B2 (en) 2008-10-17 2015-10-06 Applied Materials, Inc. Methods and apparatus for rapidly responsive heat control in plasma processing devices
US8518170B2 (en) * 2008-12-29 2013-08-27 Honeywell International Inc. Boron-comprising inks for forming boron-doped regions in semiconductor substrates using non-contact printing processes and methods for fabricating such boron-comprising inks
US20100190098A1 (en) * 2009-01-27 2010-07-29 Applied Materials, Inc. Infrared endpoint detection for photoresist strip processes
JP5710591B2 (ja) * 2009-04-20 2015-04-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プロセスチャンバ壁上にシリコンコーティングを使用した残留フッ素ラジカルの除去の促進
US8324089B2 (en) * 2009-07-23 2012-12-04 Honeywell International Inc. Compositions for forming doped regions in semiconductor substrates, methods for fabricating such compositions, and methods for forming doped regions using such compositions
US8951895B2 (en) * 2009-11-30 2015-02-10 Georgia Tech Research Corporation Complementary doping methods and devices fabricated therefrom
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8569158B2 (en) 2011-03-31 2013-10-29 Tokyo Electron Limited Method for forming ultra-shallow doping regions by solid phase diffusion
WO2012135599A1 (en) * 2011-03-31 2012-10-04 Tokyo Electron Limited Method for forming ultra-shallow doping regions by solid phase diffusion
US8580664B2 (en) 2011-03-31 2013-11-12 Tokyo Electron Limited Method for forming ultra-shallow boron doping regions by solid phase diffusion
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US9087872B2 (en) * 2011-07-27 2015-07-21 Stmicroelectronics (Crolles 2) Sas Method for forming an insulating trench in a semiconductor substrate and structure, especially CMOS image sensor, obtained by said method
US8629294B2 (en) 2011-08-25 2014-01-14 Honeywell International Inc. Borate esters, boron-comprising dopants, and methods of fabricating boron-comprising dopants
US8975170B2 (en) 2011-10-24 2015-03-10 Honeywell International Inc. Dopant ink compositions for forming doped regions in semiconductor substrates, and methods for fabricating dopant ink compositions
US8826857B2 (en) * 2011-11-21 2014-09-09 Lam Research Corporation Plasma processing assemblies including hinge assemblies
US8440552B1 (en) 2012-01-09 2013-05-14 International Business Machines Corporation Method to form low series resistance transistor devices on silicon on insulator layer
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9899224B2 (en) 2015-03-03 2018-02-20 Tokyo Electron Limited Method of controlling solid phase diffusion of boron dopants to form ultra-shallow doping regions
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US11011371B2 (en) * 2016-12-22 2021-05-18 Applied Materials, Inc. SiBN film for conformal hermetic dielectric encapsulation without direct RF exposure to underlying structure material
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
JP6913060B2 (ja) * 2018-07-24 2021-08-04 株式会社日立ハイテク プラズマ処理装置及びプラズマ処理方法
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4619719A (en) * 1982-01-28 1986-10-28 Owens-Illinois, Inc. Process for forming a doped oxide film and composite article
EP0204182B1 (de) * 1985-05-22 1991-06-05 Siemens Aktiengesellschaft Verfahren zum Herstellen von mit Bor und Phosphor dotierten Siliziumoxid-Schichten für integrierte Halbleiterschaltungen
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5272361A (en) * 1989-06-30 1993-12-21 Semiconductor Energy Laboratory Co., Ltd. Field effect semiconductor device with immunity to hot carrier effects
US5166101A (en) * 1989-09-28 1992-11-24 Applied Materials, Inc. Method for forming a boron phosphorus silicate glass composite layer on a semiconductor wafer
EP0441635B1 (en) * 1990-02-09 1995-05-24 Canon Kabushiki Kaisha Ink jet recording system
JPH03273630A (ja) * 1990-03-23 1991-12-04 Matsushita Electron Corp 半導体装置の製造方法および製造装置
US5308790A (en) * 1992-10-16 1994-05-03 Ncr Corporation Selective sidewall diffusion process using doped SOG
US5340752A (en) * 1992-10-23 1994-08-23 Ncr Corporation Method for forming a bipolar transistor using doped SOG
US5340770A (en) * 1992-10-23 1994-08-23 Ncr Corporation Method of making a shallow junction by using first and second SOG layers
KR100291971B1 (ko) * 1993-10-26 2001-10-24 야마자끼 순페이 기판처리장치및방법과박막반도체디바이스제조방법
KR960006004A (ko) * 1994-07-25 1996-02-23 김주용 반도체 소자 및 그 제조방법
US5504031A (en) * 1995-07-03 1996-04-02 Taiwan Semiconductor Manufacturing Company Ltd. Elevated source/drain with solid phase diffused source/drain extension for deep sub-micron mosfets

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6905982B2 (en) 2001-05-15 2005-06-14 Renesas Technology Corp. Method of manufacturing a semiconductor integrated circuit device
JP2008252079A (ja) * 2007-03-07 2008-10-16 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法及び半導体製造装置
US10361076B2 (en) 2010-04-15 2019-07-23 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US11133180B2 (en) 2010-04-15 2021-09-28 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US11011379B2 (en) 2010-04-15 2021-05-18 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10559468B2 (en) 2010-04-15 2020-02-11 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8986464B2 (en) 2011-03-17 2015-03-24 Seiko Epson Corporation Semiconductor substrate and method for producing semiconductor substrate
JP2012195493A (ja) * 2011-03-17 2012-10-11 Seiko Epson Corp 半導体基板及び半導体基板の製造方法
JP2018011067A (ja) * 2011-09-23 2018-01-18 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
WO2013088932A1 (ja) 2011-12-16 2013-06-20 三菱瓦斯化学株式会社 成形品
US10741458B2 (en) 2012-11-08 2020-08-11 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10957514B2 (en) 2016-06-30 2021-03-23 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10679848B2 (en) 2016-07-01 2020-06-09 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer

Also Published As

Publication number Publication date
US6099647A (en) 2000-08-08
TW358971B (en) 1999-05-21
KR100550422B1 (ko) 2006-04-21
KR19980042363A (ko) 1998-08-17
SG70612A1 (en) 2000-02-22
US5994209A (en) 1999-11-30
JP4365459B2 (ja) 2009-11-18

Similar Documents

Publication Publication Date Title
JP4365459B2 (ja) ドープ酸化シリコン膜を用いて超薄ドープ領域を形成する方法
JP4159126B2 (ja) 高温処理チャンバ用リッドアセンブリ
US6348099B1 (en) Methods and apparatus for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
US5812403A (en) Methods and apparatus for cleaning surfaces in a substrate processing system
US5935340A (en) Method and apparatus for gettering fluorine from chamber material surfaces
US5935334A (en) Substrate processing apparatus with bottom-mounted remote plasma system
US6114216A (en) Methods for shallow trench isolation
US5968587A (en) Systems and methods for controlling the temperature of a vapor deposition apparatus
US5939831A (en) Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5879574A (en) Systems and methods for detecting end of chamber clean in a thermal (non-plasma) process
US6444037B1 (en) Chamber liner for high temperature processing chamber
US6347636B1 (en) Methods and apparatus for gettering fluorine from chamber material surfaces
US6645303B2 (en) Heater/lift assembly for high temperature processing chamber
JPH10154703A (ja) 半導体ウェハの高温処理系及び方法
US6217658B1 (en) Sequencing of the recipe steps for the optimal low-dielectric constant HDP-CVD Processing
US20010033900A1 (en) Nitrogen treatment of polished halogen-doped silicon glass

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20041101

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050803

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080708

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080925

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20080925

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090428

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090723

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090818

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090821

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120828

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120828

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130828

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees