WO2012135599A1 - Method for forming ultra-shallow doping regions by solid phase diffusion - Google Patents

Method for forming ultra-shallow doping regions by solid phase diffusion Download PDF

Info

Publication number
WO2012135599A1
WO2012135599A1 PCT/US2012/031410 US2012031410W WO2012135599A1 WO 2012135599 A1 WO2012135599 A1 WO 2012135599A1 US 2012031410 W US2012031410 W US 2012031410W WO 2012135599 A1 WO2012135599 A1 WO 2012135599A1
Authority
WO
WIPO (PCT)
Prior art keywords
dopant
layer
substrate
boron
patterned
Prior art date
Application number
PCT/US2012/031410
Other languages
French (fr)
Inventor
Robert D. Clark
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/077,688 external-priority patent/US8580664B2/en
Priority claimed from US13/077,721 external-priority patent/US8569158B2/en
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to KR1020137028991A priority Critical patent/KR20140023960A/en
Priority to CN201280015501.6A priority patent/CN103477419B/en
Priority to KR1020187016837A priority patent/KR101932897B1/en
Priority to JP2014502829A priority patent/JP6085592B2/en
Publication of WO2012135599A1 publication Critical patent/WO2012135599A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2255Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer comprising oxides only, e.g. P2O5, PSG, H3BO3, doped oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66356Gated diodes, e.g. field controlled diodes [FCD], static induction thyristors [SITh], field controlled thyristors [FCTh]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/739Transistor-type devices, i.e. able to continuously respond to applied control signals controlled by field-effect, e.g. bipolar static induction transistors [BSIT]
    • H01L29/7391Gated diode structures

Abstract

A method for forming an ultra- shallow dopant region in a substrate is provided. In one embodiment, the method includes depositing a dopant layer in direct contact with the substrate, the dopant layer containing an oxide, a nitride, or an oxynitride, where the dopant layer contains a dopant selected from boron (B), aluminum (Al), gallium (Ga), indium (In), thallium (Tl), nitrogen (N), phosphorous (P), arsenic (As), antimony (Sb), and bismuth (Bi). The method further includes patterning the dopant layer, and forming the ultra-shallow dopant region in the substrate by diffusing the dopant from the patterned dopant layer into the substrate by a thermal treatment.

Description

TITLE OF THE INVENTION
METHOD FOR FORMING ULTRA-SHALLOW BORON DOPING REGIONS BY
SOLID PHASE DIFFUSION
CROSS-REFERENCE TO RELATED APPLICATIONS
[0001] The present application claims priority to U.S. Patent Application No. 13/077,721 (Docket No. TTCA-373), entitled "METHOD FOR FORMING ULTRA- SHALLOW DOPING REGIONS BY SOLID PHASE DIFFUSION,", and. U.S. Patent Application No. 13/077,688 (Docket No. TTCA-345), entitled "METHOD FOR FORMING ULTRA-SHALLOW BORON DOPING REGIONS BY SOLID PHASE DIFFUSION,". The entire contents of these applications are herein incorporated by reference in their entirety.
FIELD OF INVENTION
[0002] The present invention generally relates to semiconductor devices and methods for forming the same, and more particularly to ultra- shallow dopant region formation by solid phase diffusion from a dopant layer into a substrate layer.
BACKGROUND OF THE INVENTION
[0003] The semiconductor industry is characterized by a trend toward fabricating larger and more complex circuits on a given semiconductor chip. The larger and more complex circuits are achieved by reducing the size of individual devices within the circuits and spacing the devices closer together. As the dimensions of the individual components within a device such as a metal oxide semiconductor (MOS) or bipolar transistor are reduced and the device components brought closer together, improved electrical performance can be obtained. However, attention must be given to the formation of doped regions in the substrate to insure that deleterious electrical field conditions do not arise. [0004] As the size of device components such as the transistor gate in an MOS device and the emitter region in a bipolar device, are reduced, the junction depth of doped regions formed in the semiconductor substrate must also be reduced. The formation of shallow junctions having a uniform doping profile and a high surface concentration has proven to be very difficult. A commonly used technique is to implant dopant atoms into the substrate with an ion implantation apparatus. Using ion implantation, the high energy dopant atoms bombard the surface of the substrate at high velocity and are driven into the substrate. While this method has proven effective for the formation of doped regions having moderately deep junctions, the formation of ultra-shallow junctions using ion implantation is extremely difficult. Both the path of the energized dopant atoms within the substrate and the implant uniformity are difficult to control at the low energies necessary to form shallow implanted junctions. The implantation of energized dopant atoms damages the crystal lattice in the substrate which is difficult to repair. Dislocations resulting from the lattice damage can easily spike across a shallow junction giving rise to current leakage across the junction. Moreover, the implantation of p-type dopants such as boron, which diffuse rapidly in silicon, results in excessive dispersion of dopant atoms after they are introduced into the substrate. It then becomes difficult to form a highly confined concentration of p-type dopant atoms in a specified area in the substrate and especially at the surface of the substrate.
[0005] In addition, new device structures for transistors and memory devices are being implemented that utilize doped three-dimensional structures. Examples of such devices include, but are not limited to, FinFETs, tri-gate FETs, recessed channel transistors (RCATs), and embedded dynamic random access memory (EDRAM) trenches. In order to dope these structures uniformly it is desirable to have a doping method that is conformal. Ion implant processes are effectively line of site and therefore require special substrate orientations to dope fin and trench structures uniformly. In addition, at high device densities, shadowing effects make uniform doping of fin structures extremely difficult or even impossible by ion implant techniques. Conventional plasma doping and atomic layer doping are technologies that have demonstrated conformal doping of 3-dimensional semiconductor structures, but each of these is limited in the range of dopant density and depth that can be accessed under ideal conditions. Embodiments of the present invention provide a method for forming ultra- shallow doping regions that overcomes several of these difficulties.
SUMMARY OF THE INVENTION
[0006] A plurality of embodiments for ultra- shallow boron dopant region formation by solid phase diffusion from a boron dopant layer into a substrate layer is described. The dopant regions may be formed in planar substrates, in raised features on substrates, or in recessed features in substrates.
[0007] According to one embodiment, a method is provided for forming an ultra- shallow boron (B) dopant region in a substrate. The method includes depositing, by atomic layer deposition (ALD), a boron dopant layer in direct contact with the substrate, the boron dopant layer containing an oxide, a nitride, or an oxynitride formed by alternating gaseous exposures of a boron amide precursor or an
organoboron precursor and a reactant gas. The method further includes patterning the boron dopant layer, and forming the ultra-shallow boron dopant region in the substrate by diffusing boron from the patterned boron dopant layer into the substrate by a thermal treatment.
[0008] According to some embodiments, a method is provided for forming an ultra-shallow boron (B) dopant region in a raised feature or in a recessed feature in a substrate.
[0009] According to another embodiment, a method is provided for forming an ultra-shallow boron (B) dopant region in a substrate. The method includes depositing, by atomic layer deposition (ALD), a boron dopant layer in direct contact with the substrate, the boron dopant layer having a thickness of 4 nm or less and containing an oxide, a nitride, or an oxynitride formed by alternating gaseous exposures of a boron amide precursor or an organoboron precursor and a reactant gas, and depositing a cap layer on the patterned boron dopant layer. The method further includes patterning the boron dopant layer and the cap layer, forming the ultra- shallow boron dopant region in the substrate by diffusing boron from the patterned boron dopant layer into the substrate by a thermal treatment, and removing the patterned boron dopant layer and the patterned cap layer from the substrate.
[0010] According to one embodiment, a method is provided for forming an ultra- shallow dopant region in a substrate. The method includes depositing a dopant layer in direct contact with the substrate, the dopant layer containing an oxide, a nitride, or an oxynitride, wherein the dopant layer contains a dopant selected from aluminum (Al), gallium (Ga), indium (In), thallium (Tl), nitrogen (N), phosphorous (P), arsenic (As), antimony (Sb), and bismuth (Bi); patterning the dopant layer; and
forming the ultra- shallow dopant region in the substrate by diffusing the dopant from the patterned dopant layer into the substrate by a thermal treatment. According to some embodiments, a method is provided for forming an ultra-shallow dopant region in a raised feature or in a recessed feature in a substrate.
[0011] According to another embodiment, a method is provided for forming ultra- shallow dopant regions in a substrate. The method includes depositing, by atomic layer deposition (ALD), a first dopant layer containing a first dopant in direct contact with the substrate, and patterning the first dopant layer. The method further includes depositing, by ALD, a second dopant layer containing a second dopant in direct contact with the substrate adjacent the patterned first dopant layer, the first and second dopant layers containing an oxide, a nitride, or an oxynitride, where the first and second dopant layers contain an n-type dopant or a p-type dopant with the proviso that the first or second dopant layer do not contain the same dopant, and where the n-type dopant and the p-type dopant are selected from boron (B), aluminum (Al), gallium (Ga), indium (In), thallium (Tl), nitrogen (N), phosphorous (P), arsenic (As), antimony (Sb), and bismuth (Bi). The method further includes diffusing, by a thermal treatment, the first dopant from the first dopant layer into the substrate to form a first ultra- shallow dopant region in the substrate, and diffusing, by the thermal treatment, the second dopant from the second dopant layer into the substrate to form a second ultra- shallow dopant region in the substrate.
[0012] According to yet another embodiment, a method is provided for forming ultra-shallow dopant regions in a substrate. The method includes forming a patterned layer on the substrate, a patterned cap layer on the patterned layer, and a sidewall spacer abutting the substrate, the patterned cap layer, and the patterned layer, depositing, by atomic layer deposition (ALD), a first dopant layer containing a first dopant in direct contact with the substrate adjacent the sidewall spacer, depositing a first cap layer on the first dopant layer, and planarizing the first cap layer and the first dopant layer. The method further includes removing the patterned cap layer and the patterned layer, depositing a second dopant layer containing a second dopant in direct contact with the substrate adjacent the sidewall spacer, and depositing a second cap layer on the second dopant layer, the first and second dopant layers containing an oxide, a nitride, or an oxynitride, where the first and second dopant layers contain an n-type dopant or a p-type dopant with the proviso that the first or second dopant layer do not contain the same dopant, and where the n-type dopant and the p-type dopant are selected from boron (B), aluminum (Al), gallium (Ga), indium (In), thallium (Tl), nitrogen (N), phosphorous (P), arsenic (As), antimony (Sb), and bismuth (Bi). The method further includes, diffusing, by a thermal treatment, the first dopant from the first dopant layer into the substrate to form a first ultra-shallow dopant region in the substrate, and diffusing, by the thermal treatment, the second dopant from the second dopant layer into the substrate to form a second ultra-shallow dopant region in the substrate.
BRIEF DESCRIPTION OF THE DRAWINGS
[0013] In the accompanying drawings: [0014] FIGS. 1A - IE show schematic cross-sectional views of a process flow for forming an ultra-shallow dopant region in a substrate according to an embodiment of the invention;
[0015] FIGS. 2A - 2E show schematic cross-sectional views of a process flow for forming ultra-shallow dopant regions in a substrate according to another embodiment of the invention;
[0016] FIGS. 3 A - 3D show schematic cross-sectional views of a process flow for forming ultra-shallow dopant regions in a substrate according to yet another embodiment of the invention;
[0017] FIGS. 4A - 4F show schematic cross-sectional views of a process flow for forming ultra-shallow dopant regions in a substrate according to still another embodiment of the invention;
[0018] FIGS. 5A - 5E show schematic cross-sectional views of a process flow for forming ultra-shallow dopant regions in a substrate according to another embodiment of the invention;
[0019] FIG. 6A shows a schematic cross-sectional view of a raised feature that embodiments of the invention may be applied to; and
[0020] FIG. 6B shows a schematic cross-sectional view of a conformal dopant layer deposited on the raised feature of FIG. 6A.
[0021] FIG. 7A shows a schematic cross-sectional view of a recessed feature that embodiments of the invention may be applied to; and
[0022] FIG. 7B shows a schematic cross-sectional view of a conformal dopant layer deposited in the recessed feature of FIG. 7B.
DETAILED DESCRIPTION OF SEVERAL EMBODIMENTS
[0023] Methods for forming ultra- shallow dopant regions in semiconductor devices by solid phase diffusion from a dopant layer into a substrate layer are disclosed in various embodiments. The dopant regions can include, for example, ultra-shallow source-drain extensions for planar transistors, FinFETs, or tri-gate FETs. Other applications of ultra- shallow dopant region formation can include channel doping in replacement gate process flows, and for FinFET, or extremely thin silicon on insulator (ET-SOI) devices. Devices with extremely thin alternative semiconductor channels may also be doped using the disclosed method, for instance germanium on insulator devices (GeOI) or Ge FinFETs, and III-V channel devices such as GaAs, InGaAs, or InGaSb FinFETs. In addition, devices formed in amorphous Si or polycrystalline Si layers, such as EDRAM devices may utilize the disclosed method to adjust the Si doping level.
[0024] One skilled in the relevant art will recognize that the various embodiments may be practiced without one or more of the specific details, or with other replacement and/or additional methods, materials, or components. In other instances, well-known structures, materials, or operations are not shown or described in detail to avoid obscuring aspects of various embodiments of the invention. Similarly, for purposes of explanation, specific numbers, materials, and configurations are set forth in order to provide a thorough understanding of the invention. Furthermore, it is understood that the various embodiments shown in the drawings are illustrative representations and are not necessarily drawn to scale.
[0025] Reference throughout this specification to "one embodiment" or "an embodiment" means that a particular feature, structure, material, or characteristic described in connection with the embodiment is included in at least one embodiment of the invention, but do not denote that they are present in every embodiment. Thus, the appearances of the phrase "in one embodiment" in various places throughout this specification are not necessarily referring to the same embodiment of the invention.
[0026] FIGS. 1A - IE show schematic cross-sectional views of a process flow for forming an ultra-shallow dopant region in a substrate according to an embodiment of the invention. FIG. 1A shows a schematic cross-sectional view of substrate 100. The substrate 100 can be of any size, for example a 200 mm substrate, a 300 mm substrate, or an even larger substrate. According to one embodiment, the substrate 100 can contain Si, for example crystalline Si, polycrystalline Si, or amorphous Si. In one example, the substrate 102 can be a tensile- strained Si layer. According to another embodiment, the substrate 100 may contain Ge or SixGei_x compounds, where x is the atomic fraction of Si, 1-x is the atomic fraction of Ge, and 0 < x < 1.
Exemplary SixGei_x compounds include Sio.iGe0.9, Sio.2Ge0.8, Sio.3Ge0.7, Sio.4Ge0.6, Sio.5Ge0.5, Sio.6Ge0.4, Sio.7Ge0.3, Sio.8Ge0.2, and Si0.9Ge0.i. In one example, the substrate 100 can be a compressive-strained Ge layer or a tensile-strained SixGei_x (x>0.5) deposited on a relaxed Sio.sGeo.s buffer layer. According to some embodiments, the substrate 100 can include a silicon-on-insulator (SOI).
[0027] FIG. IB shows a dopant layer 102 that may be deposited by atomic layer deposition (ALD) in direct contact with the substrate 100, and thereafter a cap layer 104 may be deposited on the dopant layer 102. In some examples, the cap layer 104 may be omitted from the film structures in FIGS. IB - ID. The dopant layer 102 can include an oxide layer (e.g., Si02), a nitride layer (e.g., SiN), or an oxynitride layer (e.g., SiON), or a combination of two or more thereof. The dopant layer 102 can include one or more dopants from Group IIIA of the Periodic Table of the Elements: boron (B), aluminum (Al), gallium (Ga), indium (In), and thallium (Tl); and Group VA: nitrogen (N), phosphorous (P), arsenic (As), antimony (Sb), and bismuth (Bi). According to some embodiments, the dopant layer 102 can contain low dopant levels, for example between about 0.5 and about 5 atomic % dopant. According to other embodiments, the dopant layer 102 can contain medium dopant levels, for example between about 5 and about 20 atomic % dopant. According to yet other
embodiments, the dopant layer can contain high dopant levels, for example greater than 20 atomic percent dopant. In some examples, a thickness of the dopant layer 102 can be 4 nanometers (nm) or less, for example between 1 nm and 4 nm, between 2 nm and 4 nm, or between 3 nm and 4 nm. However, other thicknesses may be used.
[0028] According to other embodiments, the dopant layer 102 can contain or consist of a doped high-k dielectric material in the form of an oxide layer, a nitride layer, or an oxynitride layer. The dopants in the high-k dielectric material may be selected from the list of dopants above. The high-k dielectric material can contain one or more metal elements selected from alkaline earth elements, rare earth elements, Group IIIA, Group IVA, and Group IVB elements of the Periodic Table of the Elements. Alkaline earth metal elements include beryllium (Be), magnesium (Mg), calcium (Ca), strontium (Sr), and barium (Ba). Exemplary oxides include magnesium oxide, calcium oxide, and barium oxide, and combinations thereof. Rare earth metal elements may be selected from the group of scandium (Sc), yttrium (Y), lutetium (Lu), lanthanum (La), cerium (Ce), praseodymium (Pr), neodymium (Nd), samarium (Sm), europium (Eu), gadolinium (Gd), terbium (Tb), dysprosium (Dy), holmium (Ho), erbium (Er), thulium (Tm), and ytterbium (Yb). The Group IVB elements include titanium (Ti), hafnium (Hf), and zirconium (Zr). According to some embodiments of the invention, the high-k dielectric material may contain Hf02, HfON, HfSiON, Zr02, ZrON, ZrSiON, Ti02, TiON, A1203, La203, W203, Ce02, Y203, or Ta205, or a combination of two or more thereof. However, other dielectric materials are contemplated and may be used. Precursor gases that may be used in ALD of high-k dielectric materials are described in United States patent no.
7,772,073, the entire contents of which are hereby incorporated by reference.
[0029] The cap layer 104 may be an oxide layer, a nitride layer, or oxynitride layer, and can include Si and/or one or more of the high-k dielectric materials described above. The cap layer 104 may be deposited by chemical vapor deposition (CVD), or ALD, for example. In some examples, a thickness of the cap layer 104 can be between 1 nm and 100 nm, between 2 nm and 50 nm, or between 2 nm and 20 nm.
[0030] According to embodiments of the invention, film structure depicted in FIG. IB may be patterned to form the patterned films structure schematically shown in FIG. 1C. For example, conventional photolithographic patterning and etching methods may be used to form the patterned dopant layer 106 and the patterned cap layer 108.
[0031] Thereafter, the patterned film structure in FIG. 1C may be thermally treated to diffuse a dopant 110 (e.g., B, Al, Ga, In, Tl, N, P, As, Sb, or Bi) from the patterned dopant layer 106 into the substrate 100 and form an ultra-shallow dopant region 112 in the substrate 100 underneath the patterned dopant layer 106 (FIG. ID). The thermal treatment can include heating the substrate 100 in an inert atmosphere (e.g., argon (Ar) or nitrogen (N2)) or in an oxidizing atmosphere (e.g., oxygen (02) or water (H20)) to a temperature between 100°C and 1000°C for between 10 seconds and 10 minutes. Some thermal treating examples include substrate temperatures between 100°C and 500°C, between 200°C and 500°C, between 300°C and 500°C, and between 400°C and 500°C. Other examples include substrate temperatures between 500°C and 1000°C, between 600°C and 1000°C, between 700°C and 1000°C, between 800°C and 1000°C, and between 900°C and 1000°C. In some examples, the thermal treating may include rapid thermal annealing (RTA), a spike anneal, or a laser spike anneal.
[0032] In some examples, a thickness of the ultra-shallow dopant region 112 can be between 1 nm and 10 nm or between 2 nm and 5 nm. However, those skilled in the art will readily realize that the lower boundary of the ultra- shallow dopant region 112 in the substrate 100 may not be abrupt but rather characterized by gradual decrease in dopant concentration.
[0033] Following the thermal treatment and formation of the ultra-shallow dopant region 112, the patterned dopant layer 106 and the patterned cap layer 108 may be removed using a dry etching process or a wet etching process. The resulting structure is depicted in FIG. IE. Additionally, a dry or wet cleaning process may be performed to remove any etch residues from the substrate 100 following the thermal treatment.
[0034] According to another embodiment of the invention, following deposition of a dopant layer 102 on the substrate 100, the dopant layer 102 may be patterned to form the patterned dopant layer 106, and thereafter, a cap layer may be conformally deposited over the patterned dopant layer 106. Subsequently the film structure in may be further processed as described in FIGS. ID - IE to form the ultra- shallow dopant region 112 in the substrate 100.
[0035] FIG. 6A shows a schematic cross-sectional view of a raised feature 601 that embodiments of the invention may be applied to. The exemplary raised feature 601 is formed on the substrate 600. The material of the substrate 600 and the raised feature 601 may include one or more of the materials described above for substrate 100 in FIG. 1A. In one example, the substrate 600 and the raised feature 601 can contain or consist of the same material (e.g., Si). Those skilled in the art will readily appreciate that embodiments of the invention may be applied to other simple or complex raised features on a substrate.
[0036] FIG. 6B shows a schematic cross-sectional view of a conformal dopant layer 602 deposited on the raised feature 601 of FIG. 6A. The material of the conformal dopant layer 602 may include one or more of the materials described above for dopant layer 102 in FIG. IB. The film structure in FIG. 6B may subsequently be processed similar to that described in FIG. 1C-1E, including, for example, depositing a cap layer (not shown) on the dopant layer 602, patterning the dopant layer 602 (not shown) and the cap layer (not shown) as desired, thermally treating the patterned layer dopant layer (not shown) to diffuse a dopant from the patterned dopant layer (not shown) into the substrate 600 and/or into the raised feature 601, and removing the patterned dopant layer (not shown) and the patterned cap layer (not shown).
[0037] FIG. 7A shows a schematic cross-sectional view of a recessed feature 701 that embodiments of the invention may be applied to. The exemplary recessed feature 701 is formed in the substrate 700. The material of the substrate 700 may include one or more of the materials described above for substrate 100 in FIG. 1A. In one example, the substrate 600 can contain or consist of Si. Those skilled in the art will readily appreciate that embodiments of the invention may be applied to other simple or complex recessed features on a substrate.
[0038] FIG. 7B shows a schematic cross-sectional view of a conformal dopant layer 702 deposited in the recessed feature 701 of FIG. 7A. The material of the conformal dopant layer 702 may include one or more of the materials described above for dopant layer 102 in FIG. IB. The film structure in FIG. 7B may subsequently be processed similar to that described in FIG. 1C-1E, including, for example, depositing a cap layer (not shown) on the dopant layer 702, patterning the dopant layer 702 (not shown) and the cap layer (not shown) as desired, thermally treating the patterned layer dopant layer (not shown) to diffuse a dopant from the patterned dopant layer (not shown) into the substrate 700 in the recessed feature 701, and removing the patterned dopant layer (not shown) and the patterned cap layer (not shown).
[0039] FIGS. 2A - 2E show schematic cross-sectional views of a process flow for forming an ultra-shallow dopant region in a substrate according to another
embodiment of the invention. One or more of the materials (e.g., substrate, dopant layer, dopants, and cap layer compositions), processing conditions (e.g., deposition methods and thermal treating conditions), and layer thicknesses described above in reference to FIGS. 1A - IE may readily be used in the embodiment schematically described in FIGS. 2A - 2E.
[0040] FIG. 2A shows a schematic cross-sectional view of substrate 200. FIG. 2B shows a patterned mask layer 202 formed on the substrate 200 to define a dopant window (well) 203 in the patterned mask layer 202 above the substrate 200. The patterned mask layer 202 may, for example, be a nitride hard mask (e.g., SiN hard mask) that can be formed using conventional photolithographic patterning and etching methods.
[0041] FIG. 2C shows a dopant layer 204 deposited by ALD in direct contact with the substrate 200 in the dopant window 203 and on the patterned mask layer 202, and a cap layer 206 be deposited on the dopant layer 204. The dopant layer 204 can contain a n-type dopant or a p-type dopant. In some examples, the cap layer 206 may be omitted from the film structures in FIGS. 2C - 2D.
[0042] Thereafter, the film structure in FIG. 2C may be thermally treated to diffuse a dopant 208 from the dopant layer 204 into the substrate 200 and form an ultra-shallow dopant region 210 in the substrate 200 underneath the dopant layer 204 in the dopant window 203 (FIG. 2D). In some examples, a thickness of the ultra- shallow dopant region 210 can be between 1 nm and 10 nm or between 2 nm and 5 nm. However, those skilled in the art will readily realize that the lower boundary of the ultra-shallow dopant region 210 in the substrate 200 may not be abrupt but rather characterized by gradual decrease in dopant concentration.
[0043] Following the thermal treatment and formation of the ultra- shallow dopant region 210, the patterned mask layer 202, the dopant layer 204, and the cap layer 206 may be removed using a dry etching process or a wet etching process (FIG. 2E). Additionally, a dry or wet cleaning process may be performed to remove any etch residues from the substrate 200 following the thermal treatment.
[0044] FIGS. 3 A - 3D show schematic cross-sectional views of a process flow for forming ultra-shallow dopant regions in a substrate according to yet another embodiment of the invention. The process flow shown in FIGS. 3A - 3D can, for example, include channel doping in planar SOI, FinFET, or ET SOI. Further, the process flow may be utilized for forming self-aligned ultra-shallow source/drain extensions. One or more of the materials (e.g., substrate, dopant layer, dopants, and cap layer compositions), processing conditions (e.g., deposition methods and thermal treating conditions), and layer thicknesses described above in reference to FIGS. 1A - IE may readily be used in the embodiment schematically described in FIGS. 3A - 3D.
[0045] FIG. 3A shows a schematic cross-sectional view of a film structure similar to that of FIG. 1C and contains a patterned first dopant layer 302 directly in contact with a substrate 300 and a patterned cap layer 304 on the patterned first dopant layer 302. The patterned first dopant layer 302 can contain a n-type dopant or a p-type dopant.
[0046] FIG. 3B shows a second dopant layer 306 that may be conformally deposited over the patterned cap layer 304 and directly on the substrate 300 adjacent the patterned first dopant layer 302, and a second cap layer 308 deposited over the second dopant layer 306. In some examples, the second cap layer 308 may be omitted from the film structures in FIGS. 3B - 3C. The second dopant layer 306 can contain a n-type dopant or a p-type dopant with the proviso that second dopant layer 306 does not contain the same dopant as the patterned first dopant layer 302 and that only one of the patterned first dopant layer 302 and the second dopant layer 306 contains a p-type dopant and only one of the patterned first dopant layer 302 and the second dopant layer 306 contains a n-type dopant.
[0047] Thereafter, the film structure in FIG. 3B may be thermally treated to diffuse a first dopant 310 from the patterned first dopant layer 302 into the substrate 300 to form a first ultra- shallow dopant region 312 in the substrate 300 underneath the patterned first dopant layer 302. Further, the thermal treatment diffuses a second dopant 314 from the second dopant layer 306 into the substrate 300 to form a second ultra- shallow dopant region 316 in the substrate 300 underneath the second dopant layer 306 (FIG. 3C).
[0048] Following the thermal treatment, the first patterned dopant layer 302, patterned cap layer 304, second dopant layer 306, and second cap layer 308 may be removed using a dry etching process or a wet etching process (FIG. 3D).
Additionally, a cleaning process may be performed to remove any etch residues from the substrate 300 following the thermal treatment.
[0049] FIGS. 4A - 4F show schematic cross-sectional views of a process flow for forming ultra-shallow dopant regions in a substrate according to still another embodiment of the invention. The process flow shown in FIGS. 4A - 4E may, for example, be utilized in a process for forming a gate last dummy transistor with self- aligned source/drain extensions. One or more of the materials (e.g., substrate, dopant layer, dopants, and cap layer compositions), processing conditions (e.g., deposition methods and thermal treating conditions), and layer thicknesses described above in reference to FIGS. 1A - IE may readily be used in the embodiment schematically FIGS. 4A - 4F.
[0050] FIG. 4A shows a schematic cross-sectional view of a film structure containing a patterned first dopant layer 402 on a substrate 400, a patterned cap layer 404 on the patterned first dopant layer 402, and patterned dummy gate electrode layer 406 (e.g., poly-Si) on the patterned cap layer 404. The patterned first dopant layer 402 can contain a n-type dopant or a p-type dopant. In some examples, the patterned cap layer 404 may be omitted from the film structures in FIGS. 4A - 4E.
[0051] FIG. 4B schematically shows a first sidewall spacer layer 408 abutting the patterned dummy gate electrode layer 406, the patterned cap layer 404, and the patterned first dopant layer 402. The first sidewall spacer layer 408 may contain an oxide (e.g., Si02) or a nitride (e.g., SiN), and may be formed by depositing a conformal layer over the film structure in FIG. 4A and anisotropically etching the conformal layer.
[0052] FIG. 4C shows a second dopant layer 410 that may be conformally deposited over the film structure shown in FIG. 4B, including in direct contact with the substrate 400 adjacent the first sidewall spacer layer 408. Further, a second cap layer 420 is conformally deposited over the second dopant layer 410. The second dopant layer 410 can contain a n-type dopant or a p-type dopant with the proviso that the second dopant layer 410 does not contain the same dopant as the patterned first dopant layer 402 and that only one of the patterned first dopant layer 402 and the second dopant layer 410 contains a p-type dopant and only one of the patterned first dopant layer 402 and the second dopant layer 410 contains a n-type dopant. In some examples, the second cap layer 420 may be omitted from the film structures in FIGS. 4C - 4D.
[0053] Thereafter, the film structure in FIG. 4C may be thermally treated to diffuse a first dopant 412 from the patterned first dopant layer 402 into the substrate 400 and form a first ultra-shallow dopant region 414 in the substrate 400 underneath the patterned first dopant layer 402. Further, the thermal treatment diffuses a second dopant 416 from the second dopant layer 410 into the substrate 400 to form a second ultra- shallow dopant region 418 underneath the second dopant layer 410 in direct contact with the substrate 400 to form a second ultra- shallow dopant region 418 in the substrate 400.
[0054] Following the thermal treatment, the second dopant layer 410 and the second cap layer 420 may be removed using a dry etching process or a wet etching process to form the film structure schematically shown in FIG. 4E. Additionally, a cleaning process may be performed to remove any etch residues from the substrate 400 following the thermal treatment.
[0055] Next a second sidewall spacer layer 422 may be formed abutting the first sidewall spacer layer 408. This is schematically shown in FIG. 4F. The second sidewall spacer layer 422 may contain an oxide (e.g., Si02) or a nitride (e.g., SiN), and may be formed by depositing a conformal layer over the film structure and anisotropically etching the conformal layer.
[0056] Thereafter, the film structure shown in FIG. 4F may be further processed. The further processing can include forming additional source/drain extensions or performing a replacement gate process flow that includes ion implants, liner deposition, etc.
[0057] FIGS. 5A - 5E show schematic cross-sectional views of a process flow for forming ultra-shallow dopant regions in a substrate according to another embodiment of the invention. The process flow shown in FIGS. 5A - 5E may, for example, be utilized in a process for forming a spacer-defined P-i-N junction for band-to-band tunneling transistor. One or more of the materials (e.g., substrate, dopant layer, dopants, and cap layer compositions), processing conditions (e.g., deposition methods and thermal treating conditions), and layer thicknesses described above in reference to FIGS. 1A - IE may readily be used in the embodiment schematically FIGS. 5A - 5E.
[0058] FIG. 5A shows a schematic cross-sectional view of a film structure that contains a patterned layer 502 (e.g., oxide, nitride, or oxynitride layer) on a substrate 500 and a patterned cap layer 504 (e.g., poly-Si) on the patterned layer 502. FIG. 5A further shows a sidewall spacer layer 506 abutting the substrate 500, the patterned cap layer 504, and the patterned layer 502. The sidewall spacer layer 506 may contain an oxide (e.g., Si02) or a nitride (e.g., SiN), and may be formed by depositing a conformal layer and anisotropically etching the conformal layer. [0059] FIG. 5B shows a schematic cross-sectional view of a first dopant layer 508 containing a first dopant deposited by ALD in direct contact with the substrate 500 adjacent the sidewall spacer layer 506 and a first cap layer 510 (e.g., an oxide layer) deposited on the first dopant layer 508. The resulting film structure may be planarized (e.g., by chemical mechanical polishing, CMP) to form the film structure shown in FIG. 5B.
[0060] Thereafter, the patterned layer 502 and the patterned cap layer 504 may be removed using a dry etching process or a wet etching process. Subsequently, a second dopant layer 512 containing a second dopant may be deposited in direct contact with the substrate 500 and a second cap layer 514 (e.g., an oxide layer) deposited on the second dopant layer 512. The resulting film structure may be planarized (e.g., by CMP) to form the planarized film structure shown in FIG. 5C. The first dopant layer 508 and the second dopant layer 512 can contain a n-type dopant or a p-type dopant with the proviso that the first dopant layer 508 and the second dopant layer 512 do not contain the same dopant and only one of the first dopant layer 508 and the second dopant layer 512 contains a p-type dopant and only one of the first dopant layer 508 and the second dopant layer 512 contains a n-type dopant.
[0061] Thereafter, the film structure in FIG. 5C may be thermally treated to diffuse a first dopant 516 from the first dopant layer 508 into the substrate 500 and form a first ultra-shallow dopant region 518 in the substrate 500 underneath the first dopant layer 508. Further, the thermal treatment diffuses a second dopant 520 from the second dopant layer 512 into the substrate 500 to form a second ultra-shallow dopant region 522 underneath the second dopant layer 512 to form a second ultra- shallow dopant region 522 in the substrate 500 (FIG. 5D). FIG. 5E shows the spacer defined first and second ultra-shallow dopant regions 518 and 522 in the substrate 500.
[0062] Exemplary methods for depositing dopant layers on a substrate will now be described according to various embodiments of the invention. [0063] According to one embodiment, a boron dopant layer may include boron oxide, boron nitride, or boron oxynitride. According to other embodiments, the boron dopant layer can contain or consist of a boron doped high-k material in the form of an oxide layer, a nitride layer, or an oxynitride layer. In one example, a boron oxide dopant layer may be deposited by ALD by a) providing a substrate in a process chamber configured for performing an ALD process, b) exposing the substrate to a vapor phase boron amide or an organoborane precursor, c) purging/evacuating the process chamber, d) exposing the substrate to a reactant gas containing H20, 02, or O3, a combination thereof, e) purging/evacuating the process chamber, and f) repeating steps b) - e) any number of times until the boron oxide dopant layer has a desired thickness. According to other embodiments, a boron nitride dopant layer may be deposited using a reactant gas containing NH3 in step d), or a boron oxynitride dopant layer may be deposited using in step d) a reactant gas containing 1) H20, 02, or O3, and NH3, or 2) NO, N02, or N20, and optionally one or more of H20, 02, 03, and NH3.
[0064] According to embodiments of the invention, the boron amide may be include a boron compound of the form LnB(NR 1 R 2 )3 where L is a neutral Lewis base, n is 0 or 1, and each of R 1 and R 2 may be selected from alkyls, aryls, fluoroalkyls, fluoroaryls, alkoxyalkyls, and aminoalkyls. Examples of boron amides include B(NMe2)3, (Me3)B(NMe2)3, and B[N(CF3)2]3. According to embodiments of the invention, the organoborane may include a boron compound of the form Ln BR 1 R2 R 3 where L is a neutral Lewis base, n is 0 or 1, and each of R 1 , R2 and R 3 may be selected from alkyls, aryls, fluoroalkyls, fluoroaryls, alkoxyalkyls, and aminoalkyls. Examples of boron amides include BMe3, (Me3N)BMe3, B(CF3)3, and
(Me3N)B(C6F3).
[0065] According to one embodiment, an arsenic dopant layer may include arsenic oxide, arsenic nitride, or arsenic oxynitride. According to other embodiments, the arsenic dopant layer can contain or consist of an arsenic doped high-k material in the form of an oxide layer, a nitride layer, or an oxynitride layer. In one example, an arsenic oxide dopant layer may be deposited by ALD by a) providing a substrate in a process chamber configured for performing an ALD process, b) exposing the substrate to a vapor phase precursor containing arsenic, c) purging/evacuating the process chamber, d) exposing the substrate to H20, 02, or 03, a combination thereof, e) purging/evacuating the process chamber, and f) repeating steps b) - e) any number of times until the arsenic oxide dopant layer has a desired thickness. According to other embodiments, an arsenic nitride dopant layer may be deposited using NH3 in step d), or an arsenic oxynitride dopant layer may be deposited using in step d): 1) H20, 02, or O3, and NH3, or 2) NO, N02, or N20, and optionally one or more of H20, 02, 03, and NH3. According to some embodiments of the invention, the vapor phase precursor containing arsenic can include an arsenic halide, for example AsCl3, AsBr3, or Asl3.
[0066] According to one embodiment, a phosphorous dopant layer may include phosphorous oxide, phosphorous nitride, or phosphorous oxynitride. According to other embodiments, the phosphorous dopant layer can contain or consist of a phosphorous doped high-k material in the form of an oxide layer, a nitride layer, or an oxynitride layer. In one example, a phosphorous oxide dopant layer may be deposited by ALD by a) providing a substrate in a process chamber configured for performing an ALD process, b) exposing the substrate to a vapor phase precursor containing phosphorous, c) purging/evacuating the process chamber, d) exposing the substrate to a reactant gas containing H20, 02, or 03, a combination thereof, e) purging/evacuating the process chamber, and f) repeating steps b) - e) any number of times until the boron oxide dopant layer has a desired thickness. According to other embodiments, a phosphorous nitride dopant layer may be deposited using a reactant gas containing NH3 in step d), or a phosphorous oxynitride dopant layer may be deposited using a reactant gas containing in step d): 1) H20, 02, or 03, and NH3, or 2) NO, N02, or N20, and optionally one or more of H20, 02, 03, and NH3.
According to some embodiments of the invention, the vapor phase precursor containing arsenic can include [(CH3)2N]3PO, P(CH3)3, PH3, OP(C6H5)3, OPCl3, PC13, PBr3, [(CH3)2N]3P, P(C4H9)3.
[0067] A plurality of embodiments for ultra- shallow dopant region formation by solid phase diffusion from a dopant layer into a substrate layer has been described. The foregoing description of the embodiments of the invention has been presented for the purposes of illustration and description. It is not intended to be exhaustive or to limit the invention to the precise forms disclosed. This description and the claims following include terms that are used for descriptive purposes only and are not to be construed as limiting. For example, the term "on" as used herein (including in the claims) does not require that a film "on" a substrate is directly on and in immediate contact with the substrate; there may be a second film or other structure between the film and the substrate.
[0068] Persons skilled in the relevant art can appreciate that many modifications and variations are possible in light of the above teaching. Persons skilled in the art will recognize various equivalent combinations and substitutions for various components shown in the Figures. It is therefore intended that the scope of the invention be limited not by this detailed description, but rather by the claims appended hereto.

Claims

What is claimed is:
1. A method for forming an ultra- shallow boron (B) dopant region in a substrate, the method comprising:
depositing, by atomic layer deposition (ALD), a boron dopant layer in direct contact with the substrate, the boron dopant layer containing an oxide, a nitride, or an oxynitride formed by alternating gaseous exposures of a boron amide precursor or an organoboron precursor and a reactant gas;
patterning the boron dopant layer; and
forming the ultra-shallow boron dopant region in the substrate by diffusing boron from the patterned boron dopant layer into the substrate by a thermal treatment.
2. The method of claim 1, further comprising
removing the patterned boron dopant layer from the substrate.
3. The method of claim 1, further comprising
depositing a cap layer on the boron dopant layer or on the patterned boron dopant layer.
4. The method of claim 1, wherein the boron dopant layer contains an oxide and the reactant gas includes H20, 02, or 03, or a combination of two or more thereof.
5. The method of claim 1, wherein the boron dopant layer contains a nitride and the reactant gas includes NH3.
6. The method of claim 1, wherein the boron dopant layer contains an oxynitride and the reactant gas includes a) H20, 02, or 03, and NH3, or b) NO, N02, or N20, and optionally one or more of H20, 02, 03, and NH3.
7. The method of claim 1, wherein a thickness of the boron dopant layer is 4 nm or less.
8. The method of claim 1, wherein the substrate includes a patterned mask layer defining a dopant window above the substrate and wherein the boron dopant layer is deposited in direct contact with the substrate in the dopant window.
9. The method of claim 1, wherein the substrate comprises Si, Ge, In, Ga, As, Sb, GaAs, InGaAs, InGaSb, or SixGei_x wherein 0<x<l.
10. A method for forming an ultra-shallow boron (B) dopant region in a substrate, the method comprising:
depositing, by atomic layer deposition (ALD), a boron dopant layer in direct contact with the substrate, the boron dopant layer having a thickness of 4 nm or less and containing an oxide, a nitride, or an oxynitride formed by alternating gaseous exposures of a boron amide precursor or an organoboron precursor and a reactant gas;
depositing a cap layer on the patterned boron dopant layer; patterning the boron dopant layer and the cap layer;
forming the ultra-shallow boron dopant region in the substrate by diffusing boron from the patterned boron dopant layer into the substrate by a thermal treatment; and
removing the patterned boron dopant layer and the patterned cap layer from the substrate.
11. The method of claim 10, wherein the boron dopant layer contains an oxide and the reactant gas includes H20, 02, or 03, or a combination of two or more thereof.
12. The method of claim 10, wherein the boron dopant layer contains a nitride and the reactant gas includes NH3.
13. The method of claim 10, wherein the boron dopant layer contains an
oxynitride and the reactant gas includes a) H20, 02, or 03, and NH3, or b) NO, N02, or N20, and optionally one or more of H20, 02, 03, and NH3.
14. The method of claim 10, wherein the substrate includes a patterned mask layer defining a dopant window above the substrate and the boron dopant layer is deposited in direct contact with the substrate in the dopant window.
15. A method for forming an ultra-shallow boron (B) dopant region, the method comprising:
providing a substrate containing a raised feature or a recessed feature, conformally depositing a boron dopant layer in direct contact with the raised feature or with the interior of the recessed feature;
patterning the boron dopant layer; and
forming the ultra-shallow boron dopant region in the raised feature or in the recessed feature by diffusing boron from the patterned boron dopant layer into the raised feature or into the substrate in the recessed feature by a thermal treatment.
16. The method of claim 15, wherein the boron dopant layer contains an oxide, a nitride, or an oxynitride deposited by atomic layer deposition (ALD) using alternating gaseous exposures of a boron amide precursor or an organoboron precursor and a reactant gas.
17. The method of claim 15, further comprising
removing the patterned boron dopant layer from the substrate.
18. The method of claim 15, wherein the boron dopant layer contains an oxide and the reactant gas includes H20, 02, or 03, or a combination of two or more thereof.
19. The method of claim 15, wherein the boron dopant layer contains a nitride and the reactant gas includes NH3.
20. The method of claim 15, wherein the boron dopant layer contains an
oxynitride and the reactant gas includes a) H20, 02, or 03, and NH3, or b) NO, N02, or N20, and optionally one or more of H20, 02, 03, and NH3.
21. A method for forming an ultra-shallow dopant region in a substrate, the
method comprising:
depositing a dopant layer in direct contact with the substrate, the dopant layer containing an oxide, a nitride, or an oxynitride, wherein the dopant layer contains a dopant selected from aluminum (Al), gallium (Ga), indium (In), thallium (Tl), nitrogen (N), phosphorous (P), arsenic (As), antimony (Sb), and bismuth (Bi);
patterning the dopant layer; and
forming the ultra- shallow dopant region in the substrate by diffusing the dopant from the patterned dopant layer into the substrate by a thermal treatment.
22. The method of claim 21, further comprising:
removing the patterned dopant layer from the substrate.
23. The method of claim 21, further comprising
depositing a cap layer on the dopant layer or on the patterned dopant layer.
24. The method of claim 23, further comprising:
removing the patterned dopant layer and the cap layer from the substrate.
25. The method of claim 21, wherein the dopant layer contains an oxide deposited by atomic layer deposition (ALD) using a reactant gas containing H20, 02, or 03, or a combination of two or more thereof.
26. The method of claim 21, wherein the dopant layer contains a nitride deposited by atomic layer deposition (ALD) using a reactant gas containing NH3.
27. The method of claim 21, wherein the dopant layer contains an oxynitride deposited by atomic layer deposition (ALD) using a reactant gas containing a) H20, 02, or O3, and NH3, or b) NO, N02, or N20, and optionally one or more of H20, 02, 03, and NH3.
28. The method of claim 21, wherein the substrate comprises Si, Ge, In, Ga, As, Sb, GaAs, InGaAs, InGaSb, or SixGei_x wherein 0<x<l.
29. The method of claim 21, wherein a thickness of the dopant layer is 4 nm or less.
30. The method of claim 21, wherein the substrate includes a patterned mask layer defining a dopant window above the substrate and the dopant layer is deposited in direct contact with the substrate in the dopant window.
31. A method for forming an ultra- shallow dopant region in a raised feature, the method comprising:
providing a substrate containing the raised feature,
conformally depositing a dopant layer in direct contact with the raised feature, wherein the dopant layer contains a dopant selected from aluminum (Al), gallium (Ga), indium (In), thallium (Tl), nitrogen (N), phosphorous (P), arsenic (As), antimony (Sb), and bismuth (Bi);
patterning the dopant layer; and
forming the ultra- shallow dopant region in the raised feature by diffusing the dopant from the patterned dopant layer into the raised feature by a thermal treatment.
32. The method of claim 31, further comprising
removing the patterned dopant layer from the substrate.
33. The method of claim 31, wherein the dopant layer contains an oxide, a nitride, or an oxynitride deposited by atomic layer deposition (ALD) using a reactant gas.
34. The method of claim 31, wherein the dopant layer contains an oxide and the reactant gas includes H20, 02, or 03, or a combination of two or more thereof.
35. The method of claim 31, wherein the boron dopant layer contains a nitride and the reactant gas includes NH3.
36. The method of claim 31, wherein the dopant layer contains an oxynitride and the reactant gas includes a) H20, 02, or 03, and NH3, or b) NO, N02, or N20, and optionally one or more of H20, 02, 03, and NH3.
37. A method for forming ultra- shallow dopant regions in a substrate, the method comprising:
depositing, by atomic layer deposition (ALD), a first dopant layer containing a first dopant in direct contact with the substrate;
patterning the first dopant layer;
depositing, by ALD, a second dopant layer containing a second dopant in direct contact with the substrate adjacent the patterned first dopant layer, the first and second dopant layers containing an oxide, a nitride, or an oxynitride, wherein the first and second dopant layers contain an n-type dopant or a p-type dopant with the proviso that the first or second dopant layer do not contain the same dopant, and wherein the n-type dopant and the p-type dopant are selected from boron (B), aluminum (Al), gallium (Ga), indium (In), thallium (Tl), nitrogen (N), phosphorous (P), arsenic (As), antimony (Sb), and bismuth (Bi); and
diffusing, by a thermal treatment, the first dopant from the first dopant layer into the substrate to form a first ultra-shallow dopant region in the substrate, and diffusing, by the thermal treatment, the second dopant from the second dopant layer into the substrate to form a second ultra-shallow dopant region in the substrate.
38. The method of claim 37, further comprising:
removing the patterned first dopant layer and the second dopant layer from the substrate.
39. The method of claim 37, further comprising
forming a cap layer on the patterned first dopant layer.
40. The method of claim 37, further comprising forming a patterned cap layer on the patterned first dopant layer, a patterned dummy gate electrode layer on the patterned cap layer, and a sidewall spacer abutting the patterned dummy gate electrode layer, the patterned cap layer, and the patterned first dopant layer.
41. The method of claim 37, further comprising
following the diffusing, removing the second dopant layer and forming a second sidewall spacer abutting the first sidewall spacer and the second ultra-shallow dopant region.
42. A method for forming ultra-shallow dopant regions in a substrate, the method comprising:
forming a patterned layer on the substrate, a patterned cap layer on the patterned layer, and a sidewall spacer abutting the substrate, the patterned cap layer, and the patterned layer;
depositing, by atomic layer deposition (ALD), a first dopant layer containing a first dopant in direct contact with the substrate adjacent the sidewall spacer;
depositing a first cap layer on the first dopant layer;
planarizing the first cap layer and the first dopant layer;
removing the patterned cap layer and the patterned layer; depositing a second dopant layer containing a second dopant in direct contact with the substrate adjacent the sidewall spacer;
depositing a second cap layer on the second dopant layer, the first and second dopant layers containing an oxide, a nitride, or an oxynitride, wherein the first and second dopant layers contain an n-type dopant or a p-type dopant with the proviso that the first or second dopant layer do not contain the same dopant, and wherein the n-type dopant and the p-type dopant are selected from boron (B), aluminum (Al), gallium (Ga), indium (In), thallium (Tl), nitrogen (N), phosphorous (P), arsenic (As), antimony (Sb), and bismuth (Bi); and diffusing, by a thermal treatment, the first dopant from the first dopant layer into the substrate to form a first ultra- shallow dopant region in the substrate, and diffusing, by the thermal treatment, the second dopant from the second dopant layer into the substrate to form a second ultra-shallow dopant region in the substrate.
43. The method of claim 42, further comprising
planarizing the second cap layer and the second dopant layer.
PCT/US2012/031410 2011-03-31 2012-03-30 Method for forming ultra-shallow doping regions by solid phase diffusion WO2012135599A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020137028991A KR20140023960A (en) 2011-03-31 2012-03-30 Method for forming ultra-shallow doping regions by solid phase diffusion
CN201280015501.6A CN103477419B (en) 2011-03-31 2012-03-30 Method for forming an ultra shallow doped region by solid-state diffusion
KR1020187016837A KR101932897B1 (en) 2011-03-31 2012-03-30 Method for forming ultra-shallow doping regions by solid phase diffusion
JP2014502829A JP6085592B2 (en) 2011-03-31 2012-03-30 Method for forming ultra shallow doping region by solid phase diffusion

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US13/077,688 US8580664B2 (en) 2011-03-31 2011-03-31 Method for forming ultra-shallow boron doping regions by solid phase diffusion
US13/077,721 US8569158B2 (en) 2011-03-31 2011-03-31 Method for forming ultra-shallow doping regions by solid phase diffusion
US13/077,688 2011-03-31
US13/077,721 2011-03-31

Publications (1)

Publication Number Publication Date
WO2012135599A1 true WO2012135599A1 (en) 2012-10-04

Family

ID=46931932

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2012/031410 WO2012135599A1 (en) 2011-03-31 2012-03-30 Method for forming ultra-shallow doping regions by solid phase diffusion

Country Status (4)

Country Link
JP (1) JP6085592B2 (en)
KR (2) KR20140023960A (en)
CN (1) CN103477419B (en)
WO (1) WO2012135599A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017521875A (en) * 2014-05-28 2017-08-03 グラナハン,マーク,イー. Simplified charge balance in semiconductor devices.
US10522541B2 (en) 2016-09-30 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Forming doped regions in semiconductor strips

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104282556B (en) * 2014-06-23 2017-06-23 上海先进半导体制造股份有限公司 The doping method of emitter bipolar transistor
US9640400B1 (en) * 2015-10-15 2017-05-02 Applied Materials, Inc. Conformal doping in 3D si structure using conformal dopant deposition
CN106960790A (en) * 2016-01-11 2017-07-18 中芯国际集成电路制造(上海)有限公司 A kind of semiconductor devices and its manufacture method, electronic installation
US10068981B2 (en) * 2016-03-02 2018-09-04 Lam Research Corporation Rare earth metal surface-activated plasma doping on semiconductor substrates
US10032628B2 (en) * 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
JP6630237B2 (en) 2016-06-06 2020-01-15 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus, and program
US10522354B2 (en) * 2017-06-08 2019-12-31 Lam Research Corporation Antimony co-doping with phosphorus to form ultrashallow junctions using atomic layer deposition and annealing
CN107369622B (en) * 2017-06-30 2020-02-14 上海集成电路研发中心有限公司 Preparation method of ultra-shallow junction
JP6988216B2 (en) * 2017-07-12 2022-01-05 富士電機株式会社 Manufacturing method of semiconductor device

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6099647A (en) * 1996-11-13 2000-08-08 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US20080254606A1 (en) * 2005-12-06 2008-10-16 In Bok Baek Method of Manufacturing Semiconductor Device
US20100048005A1 (en) * 2008-03-19 2010-02-25 Seebauer Edmund G Preparation of ultra-shallow semiconductor junctions using intermediate temperature ramp rates and solid interfaces for defect engineering

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS551117A (en) * 1978-06-16 1980-01-07 Matsushita Electric Ind Co Ltd Manufacture of semiconductor device
JPH01165113A (en) * 1987-12-21 1989-06-29 Seiko Instr & Electron Ltd Formation of diffused layer
JPH07162014A (en) * 1993-12-07 1995-06-23 Honda Motor Co Ltd Manufacture of semiconductor device
JPH097962A (en) * 1995-06-15 1997-01-10 Hitachi Ltd Formation method of boron-doped layer and semiconductor device using it
JP2000091254A (en) * 1998-09-11 2000-03-31 Oki Electric Ind Co Ltd METHOD FOR DIFFUSING SOLID PHASE OF Zn AND LIGHT EMITTING ELEMENT USING THE SAME
JP2003158263A (en) * 2001-11-26 2003-05-30 Hitachi Ltd Method of manufacturing semiconductor device
JP2004031529A (en) * 2002-06-25 2004-01-29 Matsushita Electric Ind Co Ltd Semiconductor device and its manufacturing method
JP2006093216A (en) * 2004-09-21 2006-04-06 Toshiba Corp Semiconductor device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6099647A (en) * 1996-11-13 2000-08-08 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US20080254606A1 (en) * 2005-12-06 2008-10-16 In Bok Baek Method of Manufacturing Semiconductor Device
US20100048005A1 (en) * 2008-03-19 2010-02-25 Seebauer Edmund G Preparation of ultra-shallow semiconductor junctions using intermediate temperature ramp rates and solid interfaces for defect engineering

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017521875A (en) * 2014-05-28 2017-08-03 グラナハン,マーク,イー. Simplified charge balance in semiconductor devices.
US10522541B2 (en) 2016-09-30 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Forming doped regions in semiconductor strips
US10720430B2 (en) 2016-09-30 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Forming doped regions in semiconductor strips

Also Published As

Publication number Publication date
JP2014513416A (en) 2014-05-29
JP6085592B2 (en) 2017-02-22
KR20140023960A (en) 2014-02-27
KR20180070713A (en) 2018-06-26
KR101932897B1 (en) 2018-12-27
CN103477419B (en) 2017-07-07
CN103477419A (en) 2013-12-25

Similar Documents

Publication Publication Date Title
US9012316B2 (en) Method for forming ultra-shallow boron doping regions by solid phase diffusion
US8877620B2 (en) Method for forming ultra-shallow doping regions by solid phase diffusion
JP6085592B2 (en) Method for forming ultra shallow doping region by solid phase diffusion
US9899224B2 (en) Method of controlling solid phase diffusion of boron dopants to form ultra-shallow doping regions
US8703593B2 (en) Techniques for FinFET doping
US7838887B2 (en) Source/drain carbon implant and RTA anneal, pre-SiGe deposition
US7094639B2 (en) Method for fabricating semiconductor device
US7893502B2 (en) Threshold voltage improvement employing fluorine implantation and adjustment oxide layer
KR20140112358A (en) Asymmetric cyclic deposition and etch process for epitaxial formation mechanism of source and drain regions
JP2004165470A (en) Semiconductor device and its manufacturing method
US9865731B2 (en) Semiconductor device and manufacturing method thereof
US20230058186A1 (en) Ultra-shallow dopant and ohmic contact regions by solid state diffusion
US20230061683A1 (en) Method of Forming 3-Dimensional Spacer
KR20240051949A (en) Ultrafine dopants and ohmic contact areas by solid-state diffusion
JP2006019615A (en) Semiconductor device and its manufacturing method
JP2005244066A (en) Semiconductor device, and manufacturing method thereof

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 12762811

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2014502829

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20137028991

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 12762811

Country of ref document: EP

Kind code of ref document: A1