KR101932897B1 - Method for forming ultra-shallow doping regions by solid phase diffusion - Google Patents

Method for forming ultra-shallow doping regions by solid phase diffusion Download PDF

Info

Publication number
KR101932897B1
KR101932897B1 KR1020187016837A KR20187016837A KR101932897B1 KR 101932897 B1 KR101932897 B1 KR 101932897B1 KR 1020187016837 A KR1020187016837 A KR 1020187016837A KR 20187016837 A KR20187016837 A KR 20187016837A KR 101932897 B1 KR101932897 B1 KR 101932897B1
Authority
KR
South Korea
Prior art keywords
dopant
layer
boron
substrate
dopant layer
Prior art date
Application number
KR1020187016837A
Other languages
Korean (ko)
Other versions
KR20180070713A (en
Inventor
로버트 디 클라크
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/077,721 external-priority patent/US8569158B2/en
Priority claimed from US13/077,688 external-priority patent/US8580664B2/en
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20180070713A publication Critical patent/KR20180070713A/en
Application granted granted Critical
Publication of KR101932897B1 publication Critical patent/KR101932897B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2255Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer comprising oxides only, e.g. P2O5, PSG, H3BO3, doped oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66356Gated diodes, e.g. field controlled diodes [FCD], static induction thyristors [SITh], field controlled thyristors [FCTh]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/739Transistor-type devices, i.e. able to continuously respond to applied control signals controlled by field-effect, e.g. bipolar static induction transistors [BSIT]
    • H01L29/7391Gated diode structures

Abstract

기판에 극히 얕은 도펀트 영역을 형성하기 위한 방법이 제공된다. 일 실시형태에서, 이 방법은 기판과 직접 접촉하여 도펀트층을 증착하는 단계를 포함하며, 상기 도펀트층은 산화물, 질화물 또는 산질화물을 함유하며, 여기서 도펀트층은, 붕소(B), 알루미늄(Al), 갈륨(Ga), 인듐(In), 탈륨(Tl), 질소(N), 인(P), 비소(As), 안티몬(Sb), 및 비스무트(Bi)로부터 선택되는 도펀트를 함유한다. 이 방법은 도펀트층을 패터닝하는 단계와, 그 패턴화된 도펀트층으로부터 기판으로 열 처리에 의해 도펀트를 확산시킴으로써 기판에 극히 얕은 도펀트 영역을 형성하는 단계를 더 포함한다.A method for forming an extremely shallow dopant region in a substrate is provided. In one embodiment, the method comprises depositing a dopant layer in direct contact with a substrate, the dopant layer containing an oxide, a nitride or an oxynitride, wherein the dopant layer is selected from the group consisting of boron (B), aluminum ), Gallium (Ga), indium (In), thallium (Tl), nitrogen (N), phosphorous (P), arsenic (As), antimony (Sb) and bismuth (Bi). The method further includes patterning the dopant layer and forming an extremely shallow dopant region in the substrate by diffusing the dopant from the patterned dopant layer to the substrate by heat treatment.

Description

고상 확산에 의해 극히 얕은 도핑 영역을 형성하기 위한 방법{METHOD FOR FORMING ULTRA-SHALLOW DOPING REGIONS BY SOLID PHASE DIFFUSION}METHOD FOR FORMING ULTRA-SHALLOW DOPING REGIONS BY SOLID PHASE DIFFUSION < RTI ID = 0.0 >

관련 출원의 상호 참조Cross reference of related application

본 출원은 명칭이 "METHOD FOR FORMING ULTRA-SHALLOW DOPING REGIONS BY SOLID PHASE DIFFUSION"이며, 미국 특허 출원 제13/077,721호(Docket No. TTCA-373), 및 명칭이 "METHOD FOR FORMING ULTRA-SHALLOW BORON DOPING REGIONS BY SOLID PHASE DIFFUSION"이며, 미국 특허 출원 제13/077,688호(Docket No. TTCA-345)에 대한 우선권을 주장한다. 이들 출원의 전체 내용은 본원에 그 전체가 참고로 통합되어 있다.This application claims the benefit of US Provisional Application No. 13 / 077,721 (Docket No. TTCA-373), entitled " METHOD FOR FORMING ULTRA-SHALLOW BORON DOPING ", which is entitled METHOD FOR FORMING ULTRA-SHALLOW DOPING REGIONS BY SOLID PHASE DIFFUSION. REGIONS BY SOLID PHASE DIFFUSION ", which claims priority to U.S. Patent Application No. 13 / 077,688 (Docket No. TTCA-345). The entire contents of these applications are incorporated herein by reference in their entirety.

본 발명은 일반적으로 반도체 디바이스 및 이 반도체 디바이스를 형성하기 위한 방법에 관한 것으로, 보다 구체적으로는 도펀트층으로부터 기판층으로의 고상(solid phase) 확산에 의해 극히 얕은 도펀트 영역을 형성하는 것에 관한 것이다.The present invention relates generally to semiconductor devices and methods for forming the same, and more particularly to forming extremely shallow dopant regions by solid phase diffusion from a dopant layer to a substrate layer.

반도체 산업은 주어진 반도체 칩 상에 더 크고 더 복잡한 회로를 제조하기 위한 트렌드에 의해 특징지워진다. 더 크고 더 복잡한 회로는, 회로 내의 개별 디바이스들의 크기를 감소시키고, 이 디바이스들을 서로 더 가까이 배치함으로써 달성된다. 금속 산화물 반도체(MOS) 또는 바이폴라 트랜지스터와 같은 디바이스 내의 개별 구성요소의 치수가 감소됨에 따라, 디바이스 구성요소는 서로 더 밀접하게 되고, 전기적 성능이 개선될 수 있다. 그러나, 불량한 전기장 상태가 발생하지 않는다는 것을 보장하기 위하여, 기판 내에 도핑된 영역을 형성하는 것에 주목해야 한다. The semiconductor industry is characterized by trends for manufacturing larger and more complex circuits on a given semiconductor chip. Larger and more complex circuits are achieved by reducing the size of individual devices in the circuit and placing them closer together. As the dimensions of discrete components in a device, such as metal oxide semiconductor (MOS) or bipolar transistors, are reduced, the device components become closer together and the electrical performance can be improved. However, it should be noted that in order to ensure that a bad electric field condition does not occur, a doped region is formed in the substrate.

MOS 디바이스에서의 트랜지스터 게이트 및 바이폴라 디바이스에서의 에미터 영역과 같은 디바이스 구성요소의 크기가 감소됨에 따라서, 반도체 기판에 형성된 도핑된 영역의 접합 깊이도 또한 감소되어야 한다. 균일한 도핑 프로파일 및 높은 표면 농도를 가진 얕은 접합을 형성하는 것이 매우 어렵다는 것이 입증되었다. 통상적으로 사용되는 기술은 이온 주입 장치를 이용하여 기판에 도펀트 원자를 주입하는 것이다. 이온 주입을 이용하여, 높은 에너지 도펀트 원자는 고속으로 기판의 표면과 충돌하여, 기판으로 유도된다. 이 방법은 적절히 깊은 접합을 가지는 도핑 영역의 형성에 있어서 효과적인 것으로 증명되었지만, 이온 주입을 이용하여 극히 얕은 접합을 형성하기에는 매우 곤란하였다. 기판 내의 활성화된 도펀트 원자의 경로 및 주입 균일성 양자는, 주입된 얕은 접합을 형성하는데 필요한 낮은 에너지에서 제어하기가 어려웠다. 활성화된 도펀트 원자의 주입은 기판의 결정 격자를 손상시키고, 이는 복구하는 것이 어려웠다. 격자 손상에 기인한 변위는 얕은 접합 전체에 걸쳐 용이하게 스파이킹하여 그 접합 전체에 걸쳐 전류 누설을 야기한다. 또한, 실리콘에서 급속하게 확산하는 붕소와 같은 p형 도펀트를 주입하면, 이 도펀트가 기판에 도입된 이후에, 도펀트 원자의 확산이 과도하게 된다. 그 후, 기판의 지정된 영역에서 특히, 기판의 표면에서 매우 제한된 농도의 p형 도펀트 원자를 형성하는 것은 어렵게 된다.As the size of device components, such as transistor gates in MOS devices and emitter regions in bipolar devices, is reduced, the junction depth of the doped regions formed in the semiconductor substrate must also be reduced. It has proved very difficult to form a shallow junction with a uniform doping profile and high surface concentration. A commonly used technique is implanting dopant atoms into a substrate using an ion implantation apparatus. Using ion implantation, high energy dopant atoms collide with the surface of the substrate at high speed and are directed to the substrate. Although this method has proven effective in forming a doped region with a suitably deep junction, it has been very difficult to form an extremely shallow junction using ion implantation. Both the path and the injection uniformity of the activated dopant atoms in the substrate were difficult to control at the low energy required to form the implanted shallow junctions. The injection of activated dopant atoms damages the crystal lattice of the substrate, which is difficult to recover. Displacements due to lattice damage are easily spiked throughout the shallow junction, causing current leakage across the junction. Further, when a p-type dopant such as boron which is rapidly diffused in silicon is implanted, diffusion of the dopant atoms becomes excessive after the dopant is introduced into the substrate. Thereafter, it becomes difficult to form a very limited concentration of p-type dopant atoms at a specified region of the substrate, particularly at the surface of the substrate.

또한, 도핑된 3차원 구조를 이용하는, 트랜지스터 및 메모리 디바이스에 대한 새로운 디바이스 구조가 실행되고 있다. 이러한 디바이스의 일례는, FinFET, 트리-게이트 FET, 리세스형 채널 트랜지스터(RCAT), 및 매립형 동적 랜덤 액세스 메모리(EDRAM) 트렌치를 포함하지만, 이들로 한정되지는 않는다. 이들 구조를 균일하게 도핑하기 위하여, 컨포멀(conformal)한 도핑 방법을 갖는 것이 바람직하다. 이온 주입 프로세스는 사이트의 라인에 효과적이므로, 핀(fin) 및 트렌치 구조를 균일하게 도핑하기 위하여 특별한 기판 오리엔테이션을 요구한다. 또한, 높은 디바이스 밀도에서, 새도우 효과는 핀 구조의 균일한 도핑을 매우 어렵게 하거나 또는 심지어 이온 주입 기술에 의한 도핑도 불가능하게 한다. 종래의 플라즈마 도핑 및 원자층 도핑은 3차원 반도체 구조의 컨포멀 도핑을 입증하는 기술이지만, 이들 각각은, 이상적인 조건 하에서 액세스될 수 있는 깊이 및 도펀트 밀도의 범위로 제한된다. 본 발명의 실시형태는 일부 이러한 문제점을 극복하는 극히 얕은 도핑 영역을 형성하기 위한 방법을 제공한다. In addition, new device structures for transistors and memory devices, utilizing doped three-dimensional structures, are being implemented. An example of such a device includes, but is not limited to, a FinFET, a tri-gate FET, a recessed channel transistor (RCAT), and a buried dynamic random access memory (EDRAM) trench. In order to uniformly dope these structures, it is desirable to have a conformal doping method. Since the ion implantation process is effective on the lines of the site, a special substrate orientation is required to uniformly dope the fin and trench structures. Also, at high device densities, the shadow effect makes the uniform doping of the fin structure very difficult, or even impossible, by doping by ion implantation techniques. Conventional plasma doping and atomic layer doping are techniques that demonstrate conformal doping of a three-dimensional semiconductor structure, but each of these is limited to a range of depth and dopant density that can be accessed under ideal conditions. Embodiments of the present invention provide a method for forming an extremely shallow doped region that overcomes some of these problems.

붕소 도펀트층으로부터 기판층으로 고상 확산에 의해 극히 얕은 붕소 도펀트 영역을 형성하는 것에 대한 복수의 실시형태를 설명한다. 도펀트 영역은 평면 기판에, 기판 상의 볼록한(raised) 피처(feature)에, 또는 기판의 오목한 피처에 형성될 수도 있다.A plurality of embodiments for forming an extremely shallow boron dopant region by solid phase diffusion from a boron dopant layer to a substrate layer will be described. The dopant region may be formed on a planar substrate, a raised feature on the substrate, or a concave feature of the substrate.

일 실시형태에 따르면, 기판에 극히 얕은 붕소(B) 도펀트 영역을 형성하기 위한 방법이 제공된다. 이 방법은, 원자층 증착(ALD)에 의해, 기판과 직접 접촉하는 붕소 도펀트층을 증착하는 단계를 포함하며, 상기 붕소 도펀트층은 붕소 아미드 전구체 또는 유기붕소 전구체의 기상(gaseous) 노출 및 반응 가스의 기상 노출을 교번함으로써 형성된 산화물, 질화물 또는 산질화물을 함유한다. 이 방법은 상기 붕소 도펀트층을 패터닝하는 단계와, 그 패턴화된 붕소 도펀트층으로부터 상기 기판으로 붕소를 열 처리에 의해 확산시킴으로써 상기 기판에 극히 얕은 붕소 도펀트 영역을 형성하는 단계를 더 포함한다.According to one embodiment, a method is provided for forming an extremely shallow boron (B) dopant region in a substrate. The method includes depositing a boron dopant layer in direct contact with the substrate by atomic layer deposition (ALD), wherein the boron dopant layer is formed by gaseous exposure of the boronamide precursor or organoboron precursor, Nitride, or oxynitride formed by alternating vapor phase exposures of the oxide, nitride, or oxynitride. The method further includes patterning the boron dopant layer and forming an extremely shallow boron dopant region in the substrate by diffusing boron from the patterned boron dopant layer to the substrate by heat treatment.

일부 실시형태에 따르면, 이 방법에는, 기판에서의 볼록한 피처에 또는 오목한 피처에 극히 얕은 붕소(B) 도펀트 영역을 형성하는 단계가 제공된다.According to some embodiments, the method provides a step of forming a shallow boron (B) dopant region in a convex feature in a substrate or in a concave feature.

다른 실시형태에 따르면, 이 방법에는 기판에 극히 얕은 붕소(B) 도펀트 영역을 형성하는 방법이 제공된다. 이 방법은 원자층 증착(ALD)에 의해, 기판과 직접 접촉하는 붕소 도펀트층을 증착하는 단계로서, 상기 붕소 도펀트층은, 4 nm 이하의 두께를 가지며, 붕소 아미드 전구체 또는 유기붕소 전구체의 기상 노출 및 반응 가스의 기상 노출을 교번함으로써 형성된 산화물, 질화물 또는 산질화물을 함유하는 것인 붕소 도펀트층을 증착하는 단계와, 패턴화된 붕소 도펀트층 상에 캡 층을 증착하는 단계를 포함한다. 이 방법은, 상기 붕소 도펀트층 및 상기 캡 층을 패터닝하는 단계와, 상기 패턴화된 붕소 도펀트층으로부터 상기 기판으로 붕소를 열 처리에 의해 확산시킴으로써 상기 기판에 극히 얕은 붕소 도펀트 영역을 형성하는 단계와, 상기 기판으로부터 패턴화된 붕소 도펀트층 및 패턴화된 캡 층을 제거하는 단계를 포함한다.According to another embodiment, the method provides a method of forming an extremely shallow boron (B) dopant region in a substrate. The method includes the steps of depositing a boron dopant layer in direct contact with a substrate by atomic layer deposition (ALD), the boron dopant layer having a thickness of 4 nm or less, wherein the boron amide precursor or organoboron precursor is exposed to a gas phase Depositing a boron dopant layer that is formed of an oxide, nitride, or oxynitride formed by alternating vapor phase exposure of the reactive gas; and depositing a cap layer on the patterned boron dopant layer. The method includes the steps of: patterning the boron dopant layer and the cap layer; forming an extremely shallow boron dopant region in the substrate by diffusing boron from the patterned boron dopant layer to the substrate by heat treatment; , Removing the patterned boron dopant layer and the patterned cap layer from the substrate.

일 실시형태에 따르면, 기판에 극히 얕은 도펀트 영역을 형성하기 위한 방법이 제공된다. 이 방법은 상기 기판과 직접 접촉되며, 산화물, 질화물 또는 산질화물을 함유하는 도펀트층을 증착하는 단계로서, 상기 도펀트층은, 알루미늄(Al), 갈륨(Ga), 인듐(In), 탈륨(Tl), 질소(N), 인(P), 비소(As), 안티몬(Sb), 및 비스무트(Bi)로부터 선택된 도펀트를 함유하는 것인 도펀트층을 증착하는 단계와, 상기 도펀트층을 패터닝하는 단계와, 그 패턴화된 도펀트층으로부터 상기 기판으로 도펀트를 열 처리에 의해 확산시킴으로써 상기 기판에 극히 얕은 도펀트 영역을 형성하는 단계를 포함한다. 일부 실시형태에 따르면, 기판에서의 볼록한 피처 또는 오목한 피처에 극히 얕은 도펀트 영역을 형성하기 위한 방법이 제공된다.According to one embodiment, a method is provided for forming an extremely shallow dopant region in a substrate. The method includes the steps of depositing a dopant layer in direct contact with the substrate and containing an oxide, a nitride or an oxynitride, wherein the dopant layer is selected from the group consisting of aluminum (Al), gallium (Ga), indium (In) Depositing a dopant layer containing a dopant selected from nitrogen (N), phosphorus (P), arsenic (As), antimony (Sb), and bismuth (Bi); and patterning the dopant layer And forming an extremely shallow dopant region in the substrate by diffusing the dopant from the patterned dopant layer to the substrate by heat treatment. According to some embodiments, a method is provided for forming an extremely shallow dopant region in a convex feature or a concave feature in a substrate.

다른 실시형태에 따르면, 기판에 극히 얕은 도펀트 영역을 형성하기 위한 방법이 제공된다. 이 방법은, 원자층 증착(ALD)에 의해, 제1 도펀트를 함유하는 제1 도펀트층을 상기 기판과 직접 접촉하여 증착하는 단계와, 상기 제1 도펀트층을 패터닝하는 단계를 포함한다. 이 방법은, ALD에 의해, 제2 도펀트를 함유하는 제2 도펀트층을 그 패턴화된 제1 도펀트층에 인접한 기판과 직접 접촉하여 증착하는 단계를 더 포함하며, 상기 제1 및 제2 도펀트층은, 산화물, 질화물 또는 산질화물을 함유하며, 상기 제1 및 제2 도펀트층은, 상기 제1 또는 제2 도펀트층이 동일한 도펀트를 함유하지 않는 것을 조건으로 하여, n형 도펀트 또는 p형 도펀트를 함유하고, 상기 n형 도펀트 및 p형 도펀트는, 붕소(B), 알루미늄(Al), 갈륨(Ga), 인듐(In), 탈륨(Tl), 질소(N), 인(P), 비소(As), 안티몬(Sb), 및 비스무트(Bi)로부터 선택된다. 이 방법은 상기 기판에 극히 얕은 제1 도펀트 영역을 형성하기 위하여 상기 제1 도펀트층으로부터 상기 기판으로 제1 도펀트를 열 처리에 의해 확산시키는 단계와, 상기 기판에 극히 얕은 제2 도펀트 영역을 형성하기 위하여 상기 제2 도펀트층으로부터 상기 기판으로 제2 도펀트를 열 처리에 의해 확산시키는 단계를 더 포함한다.According to another embodiment, a method for forming an extremely shallow dopant region in a substrate is provided. The method includes depositing, by atomic layer deposition (ALD), a first dopant layer containing a first dopant in direct contact with the substrate, and patterning the first dopant layer. The method further comprises depositing, by ALD, a second dopant layer containing a second dopant in direct contact with the substrate adjacent to the patterned first dopant layer, wherein the first and second dopant layers Wherein the first and second dopant layers comprise an n-type dopant or a p-type dopant, provided that the first or second dopant layer does not contain the same dopant Wherein the n-type dopant and the p-type dopant are selected from the group consisting of boron (B), aluminum (Al), gallium (Ga), indium (In), thallium (Tl), nitrogen (N) As, antimony (Sb), and bismuth (Bi). The method includes diffusing a first dopant from the first dopant layer to the substrate by heat treatment to form a very shallow first dopant region in the substrate, forming a second shallow dopant region in the substrate And diffusing the second dopant from the second dopant layer to the substrate by heat treatment.

또 다른 실시형태에 따르면, 기판에 극히 얕은 도펀트 영역을 형성하기 위한 방법이 제공된다. 이 방법은 기판 상에 패턴화된 층을, 상기 패턴화된 층 상에 패턴화된 캡 층을, 그리고 상기 기판, 상기 패턴화된 캡 층 및 상기 패턴화된 층에 인접하는 측벽 스페이서를 형성하는 단계와, 원자층 증착(ALD)에 의해, 제1 도펀트를 함유하는 제1 도펀트층을 상기 측벽 스페이서와 인접한 기판과 직접 접촉하여 증착하는 단계와, 상기 제1 도펀트층 상에 제1 캡 층을 증착하는 단계와, 상기 제1 캡 층과 상기 제1 도펀트층을 평탄화하는 단계를 포함한다. 이 방법은 상기 패턴화된 캡 층과 상기 패턴화된 층을 제거하는 단계와, 제2 도펀트를 함유하는 제2 도펀트층을 상기 측벽 스페이서와 인접한 기판과 직접 접촉하여 증착하는 단계와, 상기 제2 도펀트층 상에 제2 캡 층을 증착하는 단계를 포함하며, 상기 제1 및 제2 도펀트층은 산화물, 질화물 또는 산질화물을 함유하며, 상기 제1 및 제2 도펀트층은, 상기 제1 또는 제2 도펀트층이 동일한 도펀트를 함유하지 않는 것을 조건으로 하여 n형 도펀트 또는 p형 도펀트를 함유하고, 상기 n형 도펀트 또는 상기 p형 도펀트는, 붕소(B), 알루미늄(Al), 갈륨(Ga), 인듐(In), 탈륨(Tl), 질소(N), 인(P), 비소(As), 안티몬(Sb), 및 비스무트(Bi)로부터 선택된다. 이 방법은, 상기 기판에 극히 얕은 제1 도펀트 영역을 형성하기 위하여 상기 제1 도펀트층으로부터 상기 기판으로 제1 도펀트를 열 처리에 의해 확산시키고, 상기 기판에 극히 얕은 제2 도펀트 영역을 형성하기 위하여 상기 제2 도펀트층으로부터 상기 기판으로 제2 도펀트를 열 처리에 의해 확산시키는 단계를 포함한다.According to yet another embodiment, a method is provided for forming an extremely shallow dopant region in a substrate. The method includes forming a patterned layer on a substrate, a patterned cap layer on the patterned layer, and a sidewall spacer adjacent the substrate, the patterned cap layer, and the patterned layer Depositing a first dopant layer containing a first dopant in direct contact with the substrate adjacent to the sidewall spacer by atomic layer deposition (ALD); depositing a first cap layer on the first dopant layer And planarizing the first cap layer and the first dopant layer. The method comprising: removing the patterned cap layer and the patterned layer; depositing a second dopant layer containing a second dopant in direct contact with the substrate adjacent the sidewall spacer; And depositing a second cap layer on the dopant layer, wherein the first and second dopant layers contain an oxide, a nitride, or an oxynitride, and wherein the first and second dopant layers are deposited on the first or second (B), aluminum (Al), gallium (Ga), or the like, provided that the n-type dopant or the p-type dopant does not contain the same dopant, , Indium (In), thallium (Tl), nitrogen (N), phosphorus (P), arsenic (As), antimony (Sb), and bismuth (Bi). The method includes the steps of diffusing a first dopant from the first dopant layer to the substrate by heat treatment to form a very shallow first dopant region in the substrate and forming a second shallow second dopant region in the substrate And diffusing the second dopant from the second dopant layer to the substrate by heat treatment.

도 1a 내지 도 1e는 본 발명의 실시형태에 따른 기판에서 극히 얕은 도펀트 영역을 형성하기 위한 처리 흐름의 개략적인 횡단면도를 나타낸다.
도 2a 내지 도 2e는 본 발명의 다른 실시형태에 따른 기판에서 극히 얕은 도펀트 영역을 형성하기 위한 처리 흐름의 개략적인 횡단면도를 나타낸다.
도 3a 내지 도 3d는 본 발명의 또 다른 실시형태에 따른 기판에서 극히 얕은 도펀트 영역을 형성하기 위한 처리 흐름의 개략적인 횡단면도를 나타낸다.
도 4a 내지 도 4f는 본 발명의 또 다른 실시형태에 따른 기판에서 극히 얕은 도펀트 영역을 형성하기 위한 처리 흐름의 개략적인 횡단면도를 나타낸다.
도 5a 내지 도 5e는 다른 실시형태에 따른 기판에서 극히 얕은 도펀트 영역을 형성하기 위한 처리 흐름의 개략적인 횡단면도를 나타낸다.
도 6a는 본 발명의 실시형태가 적용될 수 있는 볼록한 피처의 개략적인 횡단면도를 나타낸다.
도 6b는 도 6a의 볼록한 피처에 증착된 컨포멀(confromal) 도펀트층의 개략적인 횡단면도를 나타낸다.
도 7a는 본 발명의 실시형태가 적용될 수 있는 오목한 피처의 개략적인 횡단면도를 나타낸다.
도 7b는 도 7b의 오목한 피처에 증착된 컨포멀 도펀트층의 개략적인 횡단면도를 나타낸다.
BRIEF DESCRIPTION OF THE DRAWINGS Figures 1A-1E show schematic cross-sectional views of a process flow for forming an extremely shallow dopant region in a substrate according to an embodiment of the present invention.
Figures 2A-2E show a schematic cross-sectional view of a process flow for forming an extremely shallow dopant region in a substrate according to another embodiment of the present invention.
Figures 3A-3D illustrate schematic cross-sectional views of a process flow for forming an extremely shallow dopant region in a substrate according to another embodiment of the present invention.
Figures 4A-4F show schematic cross-sectional views of a process flow for forming an extremely shallow dopant region in a substrate according to another embodiment of the present invention.
Figures 5A-5E illustrate a schematic cross-sectional view of a process flow for forming an extremely shallow dopant region in a substrate according to another embodiment.
Figure 6A shows a schematic cross-sectional view of a convex feature to which an embodiment of the present invention may be applied.
Figure 6b shows a schematic cross-sectional view of a confromal dopant layer deposited on the convex features of Figure 6a.
Figure 7A shows a schematic cross-sectional view of a concave feature to which an embodiment of the present invention may be applied.
Figure 7b shows a schematic cross-sectional view of a conformal dopant layer deposited on the concave feature of Figure 7b.

도펀트층으로부터 기판층까지 고상 확산에 의해 반도체 디바이스에 극히 얕은 도펀트 영역을 형성하는 방법을 여러 가지 실시형태에서 개시한다. 도펀트 영역은 예컨대, 평면 트래지스터, FinFET 또는 트리게이트 FET에 대한 극히 얕은 소스-드레인 연장부를 포함할 수 있다. 극히 얕은 도펀트 영역 형성에 대한 다른 애플리케이션은 대체 게이트 프로세스 흐름에 있어서의 채널 도핑이거나, FinFET에 대하여, 극히 얇은 SOI(ET-SOI) 디바이스를 포함할 수 있다. 또한, 극히 얇은 대안적인 반도체 채널을 가진 디바이스는 개시된 방법 예컨대, GeOI(germanium on insulator device) 또는 Ge FinFET 및 GaAs, InGaAs, 또는 InGaSb FinFET와 같은 III-V 채널 디바이스를 이용하여 도핑될 수도 있다. 또한, EDRAM 디바이스와 같은 비정질 Si 층 또는 다결정 Si 층에 형성된 디바이스는 Si 도핑 레벨을 조정하기 위하여 개시된 방법을 이용할 수도 있다.A method of forming an extremely shallow dopant region in a semiconductor device by solid phase diffusion from a dopant layer to a substrate layer is disclosed in various embodiments. The dopant region may comprise, for example, an extremely shallow source-drain extension for a planar transistor, a FinFET or a tri-gate FET. Other applications for very shallow dopant region formation may be channel doping in alternate gate process flow, or may include extremely thin SOI (ET-SOI) devices for FinFETs. Devices with extremely thin alternative semiconductor channels may also be doped using the disclosed methods, such as germanium on insulator devices (GeOI) or Ge-FinFETs and III-V channel devices such as GaAs, InGaAs, or InGaSb FinFETs. In addition, a device formed in an amorphous Si layer or a polycrystalline Si layer such as an EDRAM device may use the disclosed method to adjust the Si doping level.

당업자는 여러 가지 실시형태들이 하나 이상의 특정 세부사항 없이 또는 다른 대체물 및/또는 추가적인 방법, 재료 또는 구성요소를 가지고 실행될 수도 있음을 인지한다. 다른 일례에서, 공지된 구조, 재료 또는 동작은 본 발명의 여러 가지 실시형태들의 양태를 모호하게 하는 것을 방지하기 위하여, 상세히 도시되거나 설명되지는 않는다. 이와 유사하게, 설명을 위하여, 본 발명의 완전한 이해를 위하여 특정 수, 재료들 및 구성들을 설명한다. 또한, 도면에 도시된 여러 가지 실시형태들은 예시적인 표현이며, 반드시 동일한 축척으로 도시되어 있지 않음을 이해해야 한다.Those skilled in the art will recognize that the various embodiments may be practiced without one or more of the specific details, or with other alternatives and / or additional methods, materials, or components. In other instances, well-known structures, materials, or operations are not shown or described in detail in order to avoid obscuring aspects of various embodiments of the present invention. Similarly, for purposes of explanation, specific numbers, materials, and configurations are set forth in order to provide a thorough understanding of the present invention. It should also be understood that the various embodiments shown in the drawings are exemplary and not necessarily drawn to scale.

본 명세서에 걸쳐 “일 실시형태” 또는 “실시형태”라는 용어는, 실시형태와 관련되어 설명된 특정 피처(feature), 구조, 재료 또는 특징이 본 발명의 적어도 하나의 실시형태에 포함된다는 것을 의미하고, 이들이 모든 실시형태에서 존재한다는 것을 나타내지는 않는다. 이에 따라, 이 명세서에 걸쳐 다양한 곳에서의 “일 실시형태에서”라는 문구의 출현은, 본 발명의 동일한 실시형태를 반드시 참조하지는 않는다.Throughout this specification, the term "one embodiment" or "an embodiment" means that a particular feature, structure, material, or characteristic described in connection with the embodiment is included in at least one embodiment of the invention And does not indicate that they are present in all embodiments. Accordingly, the appearances of the phrase " in one embodiment " in various places throughout this specification are not necessarily all referring to the same embodiment of the present invention.

도 1a 내지 도 1e는 본 발명의 실시형태에 따른 기판에서 극히 얕은 도펀트 영역을 형성하기 위한 처리 흐름의 개략적인 횡단면도를 나타낸다. 도 1a는 기판(100)의 개략적인 횡단면도를 나타낸다. 기판(100)은 예컨대 200 mm 기판, 300 mm 기판 또는 심지어 더 큰 기판인 임의의 크기일 수 있다. 일 실시형태에 따르면, 기판(100)은 Si 예컨대 결정 Si, 다결정 Si 또는 비정질 Si를 함유할 수 있다. 일례에서, 기판(100)은 인장 변형된 Si 층일 수 있다. 다른 실시형태에 따르면, 다른 실시예에 따르면, 기판(100)은 Ge 또는 SixGe1 -x 화합물을 함유할 수도 있고, 여기서 x는 Si의 원자 분율이고, 1-x는 Ge의 원자 분율이고, 0 < (1-x) < 1이다. 예시적인 SixGe1-x 화합물들은, Si0 . 1Ge0 .9, Si0 . 2Ge0 .8, Si0 . 3Ge0 .7, Si0 . 4Ge0 .6, Si0 . 5Ge0 .5, Si0 . 6Ge0 .4, Si0.7Ge0.3, Si0 . 8Ge0 .2, 및 Si0 . 9Ge0 .1을 포함한다. 일례에서, 기판(100)은, 완화된(relaxed) Si0 . 5Ge0 .5 버퍼층 상에 증착된 압축 변형된 Ge 층 또는 인장 변형된 SixGe1-x(x > 0.5)일 수 있다. 일부 실시형태들에 따르면, 기판(100)은 SOI를 포함할 수 있다.BRIEF DESCRIPTION OF THE DRAWINGS Figures 1A-1E show schematic cross-sectional views of a process flow for forming an extremely shallow dopant region in a substrate according to an embodiment of the present invention. 1A shows a schematic cross-sectional view of a substrate 100. Fig. The substrate 100 may be any size, for example a 200 mm substrate, a 300 mm substrate, or even a larger substrate. According to one embodiment, the substrate 100 may contain Si such as crystalline Si, polycrystalline Si, or amorphous Si. In one example, the substrate 100 may be a strained Si layer. According to another embodiment, according to another embodiment, the substrate 100 may contain a Ge or Si x Ge 1 -x compound, where x is the atomic fraction of Si, 1-x is the atomic fraction of Ge , 0 < (1-x) < 1. Exemplary Si x Ge 1-x compounds include Si 0 . 1 Ge 0 .9 , Si 0 . 2 Ge 0 .8, Si 0. 3 Ge 0 .7 , Si 0 . 4 Ge 0 .6 , Si 0 . 5 Ge 0 .5, Si 0. 6 Ge 0 .4, Si 0.7 Ge 0.3, Si 0. 8 Ge 0 .2 , and Si 0 . 9 Ge 0 .1 . In one example, the substrate 100 has a relaxed Si 0 . 5 Ge 0 .5 the deposited Ge layer on the buffer layer compressive strain or tensile strain of Si x Ge 1-x (x > 0.5) can be. According to some embodiments, the substrate 100 may comprise SOI.

도 1b는 기판(100)과 직접 접촉하여 원자층 증착(ALD)에 의해 증착될 수 있고, 그 후 캡 층(104)은 도펀트층(102) 상에 증착될 수도 있다. 일부 예에 있어서, 캡 층(104)은 도 1b 내지 도 1d에서 필름 구조로부터 생략될 수도 있다. 도펀트층(102)은 산화물 층(예컨대, SiO2), 질화물 층(예컨대, SiN), 또는 산질화물 층(예컨대, SiON), 또는 이들 중 2 이상의 조합을 포함할 수 있다. 도펀트층(102)은 원소 주기율표의 ⅢA 족 : 붕소(B), 알루미늄(Al), 갈륨(Ga), 인듐(In) 및 탈륨(Tl); 및 VA 족 : 질소(N), 인(P), 비소(As), 안티몬(Sb) 및 비스무트(Bi)로부터 하나 이상의 도펀트를 포함할 수 있다. 일부 실시형태에 따르면, 도펀트층(102)은 예컨대 약 0.5 원자% 도펀트와 약 5 원자% 도펀트 사이의 낮은 도펀트 레벨을 포함할 수 있다. 다른 실시형태에 따르면, 도펀트층(102)은 예컨대 약 5 원자% 도펀트와 약 20 원자% 도펀트 사이의 중간 도펀트 레벨을 포함할 수 있다. 또 다른 실시형태에 따르면, 도펀트층은 예컨대 20 원자% 도펀트보다 더 큰 높은 도펀트 레벨을 포함할 수 있다. 일부 예에 있어서, 도펀트층(102)의 두께는, 예컨대 1 nm 내지 4 nm, 2 nm 내지 4 nm 또는 3 nm 내지 4 nm와 같이 4 nm 이하일 수 있다. 그러나, 다른 두께를 이용할 수도 있다.1B may be deposited by atomic layer deposition (ALD) in direct contact with the substrate 100, after which the cap layer 104 may be deposited on the dopant layer 102. In some examples, the cap layer 104 may be omitted from the film structure in Figures 1B-1D. Dopant layer 102 may include a combination of an oxide layer (e.g., SiO 2), a nitride layer (e.g., SiN), or an oxynitride layer (e.g., SiON), or two or more of them. The dopant layer 102 may be formed of Group IIIA: boron (B), aluminum (Al), gallium (Ga), indium (In) and thallium (Tl) in the Periodic Table of Elements; And one or more dopants from group VA: nitrogen (N), phosphorous (P), arsenic (As), antimony (Sb) and bismuth (Bi). According to some embodiments, the dopant layer 102 may comprise, for example, a low dopant level between about 0.5 atomic% dopant and about 5 atomic% dopant. According to another embodiment, the dopant layer 102 may comprise an intermediate dopant level, for example between about 5 atomic% dopant and about 20 atomic% dopant. According to another embodiment, the dopant layer may comprise a high dopant level, for example greater than 20 atomic% dopant. In some examples, the thickness of the dopant layer 102 may be 4 nm or less, such as 1 nm to 4 nm, 2 nm to 4 nm, or 3 nm to 4 nm. However, other thicknesses may be used.

다른 실시형태에 따르면, 도펀트층(102)은, 산화물 층, 질화물 층 또는 산질화물 층의 형태의 도핑된 하이-k 유전체 재료를 포함하거나 또는 이 재료로 구성될 수 있다. 하이-k 유전체 재료에서의 도펀트는 전술한 도펀트의 리스트로부터 선택될 수도 있다. 하이-k 유전체 재료는, 알칼리 토류 원소, 희토류 원소, 원소 주기율표의 IIIA족, IVA족, 및 IVB족 원소로부터 선택된 하나 이상의 금속 원소를 포함할 수 있다. 알칼리 토류 금속 원소는 베릴늄(Be), 마그네슘(Mg), 칼슘(Ca), 스트론튬(Sr) 및 바륨(Ba)을 포함한다. 예시적인 산화물은 산화 마그네슘, 산화 칼슘, 산화 바륨 및 그 조합을 포함한다. 희토류 금속 원소는 스칸듐(Sc), 이트륨(Y), 루테튬(Lu), 란타넘(La), 세륨(Ce), 프라세오디뮴(Pr), 네오디뮴(Nd), 사마륨(Sm), 유로퓸(Eu), 가돌리늄(Gd), 터븀(Tb), 디스프로슘(Dy), 홀뮴(Ho), 에르븀(Er), 툴륨(Tm), 및 이테르븀(Yb)의 그룹으로부터 선택될 수도 있다. 본 발명의 일부 실시형태에 따르면, 하이-k 유전체 재료는 Hf02, HfON, HfSiON, Zr02, ZrON, ZrSiON, Ti02, TiON, A1203, La203, W203, Ce02, Y203, 또는 Ta205, 또는 이들 중 2 이상의 조합을 포함할 수도 있다. 그러나, 다른 유전체 재료가 고려되며, 이들이 이용될 수도 있다. 하이-k 유전체 재료의 ALD에 이용될 수 있는 전구체 가스는 미국 특허 제7,772,073호에 개시되며, 이것의 전체 내용은 참고로 여기에 통합된다.According to another embodiment, the dopant layer 102 may comprise or consist of a doped high-k dielectric material in the form of an oxide layer, a nitride layer or an oxynitride layer. The dopant in the high-k dielectric material may be selected from the list of dopants described above. The high-k dielectric material may comprise at least one metal element selected from alkaline earth elements, rare earth elements, IIIA, IVA, and IVB elements of the Periodic Table of the Elements. The alkaline earth metal element includes beryllium (Be), magnesium (Mg), calcium (Ca), strontium (Sr) and barium (Ba). Exemplary oxides include magnesium oxide, calcium oxide, barium oxide, and combinations thereof. The rare earth metal element is at least one element selected from the group consisting of scandium (Sc), yttrium (Y), lutetium (Lu), lanthanum (La), cerium (Ce), praseodymium (Pr), neodymium (Nd), samarium (Sm) May be selected from the group of gadolinium (Gd), terbium (Tb), dysprosium (Dy), holmium (Ho), erbium (Er), thulium (Tm), and ytterbium (Yb). According to some embodiments of the present invention, high -k dielectric material Hf0 2, HfON, HfSiON, Zr0 2, ZrON, ZrSiON, Ti0 2, TiON, A1 2 0 3, La 2 0 3, W 2 0 3, Ce0 2 , Y 2 O 3 , or Ta 2 O 5 , or a combination of two or more thereof. However, other dielectric materials are contemplated and may be utilized. Precursor gases that can be used for ALD of high-k dielectric materials are disclosed in U.S. Patent No. 7,772,073, the entire contents of which are incorporated herein by reference.

캡 층(104)은 산화물 층, 질화물 층 또는 산질화물 층일 수 있으며, Si 및/또는 전술한 하이-k 유전체 재료 중 하나 이상을 포함할 수 있다. 캡 층(104)은 예컨대 화학적 기상 증착(CVD) 또는 ALD에 의해 증착될 수도 있다. 일부 예에 있어서, 캡 층(104)의 두께는 1 nm 내지 100 nm, 2 nm 내지 50 nm, 또는 2 nm 내지 20 nm일 수 있다.The cap layer 104 may be an oxide layer, a nitride layer, or an oxynitride layer, and may include one or more of Si and / or a high-k dielectric material as described above. The cap layer 104 may be deposited, for example, by chemical vapor deposition (CVD) or ALD. In some examples, the thickness of the cap layer 104 may be between 1 nm and 100 nm, between 2 nm and 50 nm, or between 2 nm and 20 nm.

본 발명의 실시형태에 따르면, 도 1b에 도시된 필름 구조는 도 1c에 개략적으로 도시된 패턴화된 필름 구조를 형성하도록 패턴화될 수도 있다. 예컨대, 종래의 포토리소그래픽 패터닝 및 에칭 방법은 패턴환된 도펀트층(106) 및 패턴화된 캡 층(108)을 형성하는데 이용될 수도 있다.According to an embodiment of the present invention, the film structure shown in Fig. 1B may be patterned to form the patterned film structure schematically shown in Fig. 1C. For example, conventional photolithographic patterning and etching methods may be used to form the patterned doped layer 106 and the patterned cap layer 108.

그 후, 도 1c의 패턴화된 필름 구조는 패턴화된 도펀트층(106)으로부터 기판(100)으로 도펀트(110)(예컨대, B, Al, Ga, In, Tl, N, P, As, Sb, 또는 Bi)를 확산시키도록 열처리될 수 있어, 기판(100)에 있어서 패턴화된 도펀트층(106) 아래에 극히 얕은 도펀트 영역(112)을 형성한다(도 1d 참조). 열 처리는 불활성 분위기(예컨대, 아르곤(Ar) 또는 질소(N2))에서 또는 산화 분위기(예컨대, 산소(O2) 또는 물(H2O))에서 기판(100)을, 10초 내지 10분 동안에 약 100℃ 내지 1000℃의 온도로 가열하는 것을 포함할 수 있다. 일부 열 처리 예는 100℃ 내지 500℃, 200℃ 내지 500℃, 300℃ 내지 500℃ 및 400℃ 내지 500℃의 기판 온도를 포함한다. 다른 예는 500℃ 내지 1000℃, 600℃ 내지 1000℃, 700℃ 내지 1000℃, 800℃ 내지 1000℃, 및 900℃ 내지 1000℃의 기판 온도를 포함한다. 일부 예에서, 열 처리는 급속 열 어닐링(RTA), 스파이크 어닐 또는 레이저 스파이크 어닐을 포함할 수도 있다.The patterned film structure of Figure 1C may then be doped with a dopant 110 (e.g., B, Al, Ga, In, Tl, N, P, As, Sb , Or Bi) to form an extremely shallow dopant region 112 below the patterned dopant layer 106 in the substrate 100 (see FIG. 1D). Heat treatment is an inert atmosphere (e.g., argon (Ar) or nitrogen (N 2)) substrate 100, in an atmosphere (oxygen (O 2) for example, or water (H 2 O)) or oxidation in 10 seconds to 10 Min to a temperature of about 100 &lt; 0 &gt; C to 1000 &lt; 0 &gt; C. Some heat treatment examples include a substrate temperature of 100 ° C to 500 ° C, 200 ° C to 500 ° C, 300 ° C to 500 ° C, and 400 ° C to 500 ° C. Other examples include a substrate temperature of 500 캜 to 1000 캜, 600 캜 to 1000 캜, 700 캜 to 1000 캜, 800 캜 to 1000 캜, and 900 to 1000 캜. In some instances, thermal processing may include rapid thermal annealing (RTA), spike annealing, or laser spike annealing.

일부 예에서, 극히 얕은 도펀트 영역(102)의 두께는 1 nm 내지 10 nm 또는 2 nm 내지 5 nm일 수 있다. 그러나, 당업자는 기판(100)에서의 극히 얕은 도펀트 영역(112)의 하부 경계가 급격하지 않고 오히려 도펀트 농도가 서서히 감소함으로써 특징지워질 수 있음을 쉽게 알 수 있다.In some instances, the thickness of the extremely shallow dopant region 102 may be between 1 nm and 10 nm, or between 2 nm and 5 nm. However, one of ordinary skill in the art will readily recognize that the lower boundary of the extremely shallow dopant region 112 in the substrate 100 may not be abrupt, but rather may be characterized by a gradual decrease in dopant concentration.

극히 얕은 도펀트 영역(112)의 열처리 및 형성에 이어서, 패턴화된 도펀트층(106) 및 패턴화된 캡 층(108)이 건식 에칭 프로세스 또는 습식 에칭 프로세스를 이용하여 제거될 수도 있다. 제거 후의 구조를 도 1e에 나타낸다. 부가적으로, 열 처리에 이어서 기판(100)으로부터 어떤 에칭 잔류물을 제거하기 위하여 건식 또는 습식 클리닝 프로세스를 수행할 수도 있다.Following the heat treatment and formation of the extremely shallow dopant region 112, the patterned dopant layer 106 and the patterned cap layer 108 may be removed using a dry etch process or a wet etch process. The structure after removal is shown in Fig. Additionally, a dry or wet cleaning process may be performed to remove any etch residue from the substrate 100 following thermal treatment.

본 발명의 다른 실시형태에 따르면, 기판(100)에 도펀트층(102)을 증착하는 것에 이어서, 도펀트층(102)은 패턴화된 도펀층(106)을 형성하도록 패턴화될 수 있고, 그 후, 캡 층은 그 패턴화된 도펀트층(106) 위에 컨포멀하게 증착될 수도 있다. 이 후에, 필름 구조는 도 1d 및 도 1e에 설명되는 바와 같이 추가로 처리되어 기판(100)에 극히 얕은 도펀트 영역(112)을 형성한다.In accordance with another embodiment of the present invention, following deposition of a dopant layer 102 on a substrate 100, a dopant layer 102 may be patterned to form a patterned donor layer 106, , The cap layer may be conformally deposited over the patterned dopant layer 106. Thereafter, the film structure is further processed as described in FIG. 1d and FIG. 1e to form an extremely shallow dopant region 112 in the substrate 100.

도 6a는 본 발명의 실시형태가 적용될 수 있는 볼록한 피처(601)의 개략적인 횡단면도를 나타낸다. 예시적인 볼록한 피처(601)는 기판(600)에 형성된다. 기판(600) 및 볼록한 피처(601)의 재료는 도 1a에서의 기판(100)에 대하여 전술한 하나 이상의 재료를 포함할 수도 있다. 일 예에 있어서, 기판(600) 및 볼록한 피처(601)는 동일한 재료(예컨대, Si)를 함유하거나 또는 이 재료로 구성될 수 있다. 당업자는 본 발명의 실시형태가 기판의 다른 단순한 또는 복잡한 볼록한 피처에 적용될 수도 있음을 쉽게 알 수 있다. 6A shows a schematic cross-sectional view of a convex feature 601 to which an embodiment of the present invention may be applied. An exemplary convex feature 601 is formed in the substrate 600. The material of the substrate 600 and the convex features 601 may comprise one or more of the materials described above relative to the substrate 100 in FIG. 1A. In one example, the substrate 600 and the convex features 601 may contain or consist of the same material (e.g., Si). One of ordinary skill in the art will readily recognize that embodiments of the present invention may be applied to other simple or complex convex features of the substrate.

도 6b는 도 6a의 볼록한 피처(601)에 증착된 컨포멀 도펀트층(602)의 개략적인 횡단면도를 나타낸다. 컨포멀 도펀트층(602)의 재료는 도 1b에서의 도펀층(102)에 대하여 전술한 하나 이상의 재료를 포함할 수도 있다. 도 6b의 필름 구조는, 예컨대 도펀트층(602)에 캡 층(미도시)을 증착하는 단계, 원하는 대로 도펀트층(602)(미도시) 및 캡 층(미도시)을 패터닝하는 단계, 패턴화된 도펀트층(미도시)으로부터 기판(600)으로 및/또는 볼록한 피처(601)로 도펀트를 확산시키도록 패턴화된 도펀트층(미도시)을 열처리하는 단계, 및 패턴화된 도펀트층(미도시) 및 패턴화된 캡 층(미도시)을 제거하는 단계를 포함하여, 도 1c-도 1e에 설명된 처리와 유사하게 후속 처리될 수도 있다.FIG. 6B shows a schematic cross-sectional view of a conformal dopant layer 602 deposited on the convex features 601 of FIG. 6A. The material of the conformal dopant layer 602 may comprise one or more of the materials described above for the donor layer 102 in FIG. 1B. The film structure of FIG. 6B may include, for example, depositing a cap layer (not shown) in the dopant layer 602, patterning the dopant layer 602 (not shown) and the cap layer (not shown) (Not shown) to diffuse the dopant from the deposited dopant layer (not shown) to the substrate 600 and / or the convex feature 601, and depositing a patterned dopant layer (not shown) , And removing the patterned cap layer (not shown), as shown in Figures 1C-1E.

도 7a는 본 발명의 실시형태가 적용될 수 있는 오목한 피처(701)의 개략적인 횡단면도를 나타낸다. 예시적인 오목한 피처(701)는 기판(700) 내에 형성된다. 기판(700)의 재료는 도 1a에서의 기판(100)에 대하여 전술한 하나 이상의 재료를 포함할 수도 있다. 일례에 있어서, 기판(700)은 Si를 함유하거나 또는 Si로 구성될 수 있다. 당업자는 기판의 다른 단순하거나 또는 복잡한 오목한 피처에 적용될 수도 있음을 쉽게 알 수 있다. FIG. 7A shows a schematic cross-sectional view of a concave feature 701 to which an embodiment of the present invention may be applied. An exemplary recessed feature 701 is formed in the substrate 700. The material of the substrate 700 may comprise one or more of the materials described above relative to the substrate 100 in FIG. 1A. In one example, the substrate 700 may contain Si or be composed of Si. One of ordinary skill in the art will readily recognize that it may be applied to other simple or complex recessed features of the substrate.

도 7b는 도 7a의 오목한 피처(701)에 증착된 컨포멀 도펀트층(702)의 개략적인 횡단면도를 나타낸다. 컨포멀 도펀트층(702)의 재료는 도 1b에서의 도펀트층(102)에 대하여 전술한 하나 이상의 재료를 포함할 수도 있다. 도 7b에서의 필름 구조는, 예컨대 도펀트층(702)에 캡 층(미도시)을 증착하는 단계, 원하는 대로 도펀트층(702)(미도시) 및 캡 층(미도시)을 패터닝하는 단계, 오목한 피처(701)에 있어서 패턴화된 도펀트층(미도시)으로부터 기판(700)으로 도펀트를 확산시키도록 패턴화된 도펀트층(미도시)을 열처리하는 단계, 및 패턴화된 도펀트층(미도시) 및 패턴화된 캡 층(미도시)을 제거하는 단계를 포함하여, 도 1c-도 1e에 설명된 처리와 유사하게 후속 처리될 수도 있다. FIG. 7B shows a schematic cross-sectional view of the conformal dopant layer 702 deposited on the concave feature 701 of FIG. 7A. The material of the conformal dopant layer 702 may comprise one or more of the materials described above for the dopant layer 102 in FIG. 1B. The film structure in FIG. 7B may include, for example, depositing a cap layer (not shown) on the dopant layer 702, patterning the dopant layer 702 (not shown) and the cap layer (not shown) Heat treating the patterned dopant layer (not shown) to diffuse the dopant from the patterned dopant layer (not shown) in the feature 701 to the substrate 700, and forming a patterned dopant layer (not shown) And removing the patterned cap layer (not shown), similar to the process described in Figures 1C-1E.

도 2a 내지 도 2e는 본 발명의 다른 실시형태에 따른 기판에서 극히 얕은 도펀트 영역을 형성하기 위한 처리 흐름의 개략적인 횡단면도를 나타낸다. 도 1a-도 1e를 참조하여 전술한 재료(예컨대, 기판, 도펀트층, 도펀트 및 캡 층 조성), 처리 조건(예컨대, 증착 방법 및 열 처리 조건), 및 층 두께 중 하나 이상은, 도 2a-도 2e에 개략적으로 설명된 실시형태에서 용이하게 이용될 수도 있다.Figures 2A-2E show a schematic cross-sectional view of a process flow for forming an extremely shallow dopant region in a substrate according to another embodiment of the present invention. At least one of the materials (e.g., substrate, dopant layer, dopant and cap layer composition), processing conditions (e.g., deposition method and heat treatment conditions), and layer thickness described above with reference to Figures 1 A- May be readily utilized in the embodiment schematically illustrated in Figure 2E.

도 2a는 기판(200)의 개략적인 횡단면도를 나타낸다. 도 2b는 기판(200) 위의 패턴화된 마스크층(202)에서 도펀트 윈도우(우물)(203)을 규정하도록 기판(200)에 형성된 패턴화된 마스크층(202)을 나타낸다. 패턴화된 마스크층(202)은, 예를 들어 종래의 포토리소그래픽 패터닝 및 에칭 방법을 이용하여 형성될 수 있는 질화물 하드 마스크(예컨대, SiN 하드 마스크)일 수도 있다.Figure 2a shows a schematic cross-sectional view of a substrate 200. Figure 2B shows a patterned mask layer 202 formed on the substrate 200 to define a dopant window (well) 203 in the patterned mask layer 202 on the substrate 200 The patterned mask layer 202 may be, for example, a nitride hard mask (e.g., a SiN hard mask) that may be formed using conventional photolithographic patterning and etching methods.

도 2c는 도펀트 윈도우(203)에서 기판(200)과 직접 접촉하며 패턴화된 마스트층(202)에 ALD에 의해 증착된 도펀트층(204) 및 그 도펀트층(204)에 증착된 캡 층(206)을 나타낸다. 도펀트 층(204)은 n형 도펀트 또는 p형 도펀트를 함유할 수 있다. 일부 예에 있어서, 캡 층(206)은 도 2c-도 2d의 막 구조로부터 생략될 수도 있다.2C shows a dopant layer 204 deposited by ALD in a patterned mast layer 202 in direct contact with the substrate 200 in the dopant window 203 and a cap layer 206 deposited on the dopant layer 204 ). The dopant layer 204 may contain an n-type dopant or a p-type dopant. In some examples, the cap layer 206 may be omitted from the film structure of Figures 2C-2D.

그 후, 도 2c의 필름 구조는 도펀트층(204)으로부터 기판(200)으로 도펀트(208)를 확산시켜, 도펀트 윈도우(203)에서의 도펀트층(204) 아래에 기판(200) 내의 극히 얕은 도펀트 영역(210)을 형성하도록 열처리될 수도 있다. 일부 예에서 있어서, 극히 얕은 도펀트 영역(210)의 두께는 1 nm 내지 10 nm 또는 2 nm 내지 5 nm일 수 있다. 그러나, 당업자는 극히 얕은 도펀트 영역(210)의 하부 경계가 급격하지 않고 오히려 도펀트 농도가 서서히 감소함으로써 특징지워질 수 있음을 쉽게 알 수 있다.The film structure of Figure 2C then diffuses the dopant 208 from the dopant layer 204 to the substrate 200 to form an extremely shallow dopant in the substrate 200 below the dopant layer 204 in the dopant window 203. [ May be heat treated to form regions 210. In some examples, the thickness of the extremely shallow dopant region 210 may be between 1 nm and 10 nm, or between 2 nm and 5 nm. However, one of ordinary skill in the art will readily recognize that the lower boundary of the extremely shallow dopant region 210 is not abrupt, but rather that the dopant concentration may be characterized by a gradual decrease.

극히 얕은 도펀트 영역(210)의 열처리 및 형성에 이어서, 패턴화된 마스크층(202), 도펀트층(204) 및 캡 층(206)이 건식 에칭 프로세스 또는 습식 에칭 프로세스를 이용하여 제거될 수도 있다(도 2e 참조). 부가적으로, 열 처리에 이어서 기판(200)으로부터 어떤 에칭 잔류물을 제거하기 위하여 건식 또는 습식 클리닝 프로세스를 수행할 수도 있다.Following the heat treatment and formation of the extremely shallow dopant region 210, the patterned mask layer 202, the dopant layer 204, and the cap layer 206 may be removed using a dry etch process or a wet etch process 2e). Additionally, a dry or wet cleaning process may be performed to remove any etch residue from the substrate 200 following thermal treatment.

도 3a-도 3d는 본 발명의 또 다른 실시형태에 따른 기판에서 극히 얕은 도펀트 영역을 형성하기 위한 처리 흐름의 개략적인 횡단면도를 나타낸다. 도 3a-도 3d에 도시된 처리 흐름은 예컨대 평면 SOI, FinFET 또는 ET SOI에서의 채널 도핑을 포함할 수 있다. 또한, 처리 흐름은 자가 정렬된 극히 얕은 소스/드레인 연장부를 형성하기 위하여 이용될 수도 있다. 도 1a-도 1e를 참조하여 전술한 재료(예컨대, 기판, 도펀트층, 도펀트 및 캡 층 조성), 처리 조건(예컨대, 증착 방법 및 열 처리 조건), 및 층 두께 중 하나 이상은, 도 3a-도 3d에 개략적으로 설명된 실시형태에서 용이하게 이용될 수도 있다.FIGS. 3A-3D illustrate a schematic cross-sectional view of a process flow for forming an extremely shallow dopant region in a substrate according to another embodiment of the present invention. The process flow shown in FIGS. 3A-3D may include, for example, channel doping in planar SOI, FinFET or ET SOI. Also, the process flow may be used to form self-aligned extremely shallow source / drain extensions. At least one of the materials (e.g., substrate, dopant layer, dopant and cap layer composition), processing conditions (e.g., deposition method and heat treatment conditions), and layer thickness described above with reference to Figures 1 A- May be readily utilized in the embodiment schematically illustrated in FIG. 3D.

도 3a는 도 1c의 필름 구조와 유사한 필름 구조의 개략적인 횡단면도를 나타내며, 기판(300)과 직접으로 접촉하는 패턴화된 제1 도펀트층(302) 및 이 패턴화된 제1 도펀트층(302) 상의 패턴화된 캡 층(304)을 포함한다. 패턴화된 제1 도펀트층(302)은 n형 도펀트 또는 p형 도펀트를 포함할 수 있다.Figure 3a shows a schematic cross-sectional view of a film structure similar to the film structure of Figure 1c and includes a patterned first dopant layer 302 in direct contact with the substrate 300 and a patterned first dopant layer 302, (Not shown). The patterned first dopant layer 302 may comprise an n-type dopant or a p-type dopant.

도 3b는 패턴화된 캡 층(304) 위에 컨포멀하게 그리고 패턴화된 제1 도펀트층(302)에 인접하여 기판(300) 상에 직접적으로 증착될 수 있는 제2 도펀트층(306), 및 상기 제2 도펀트층(306) 위에 증착된 제2 캡 층(308)을 나타낸다. 일부 예에 있어서, 제2 캡 층(308)은 도 3b-도 3c의 필름 구조로부터 생략될 수도 있다. 제2 도펀트층(306)은, 제2 도펀트층(306)이 패턴화된 제1 도펀트층(302)과 동일한 도펀트를 함유하지 않고, 패턴화된 제1 도펀트층(302)과 제2 도펀트층(306) 중 하나만이 p형 도펀트를 함유하고, 패턴화된 제1 도펀트층(302)과 제2 도펀트층(306) 중 하나만이 n형 도펀트를 함유하는 것을 조건으로 하여, n형 도펀트 또는 p형 도펀트를 함유할 수 있다.Figure 3B illustrates a second dopant layer 306 that can be deposited directly on the substrate 300 adjacent the conformal and patterned first dopant layer 302 over the patterned cap layer 304, And a second cap layer 308 deposited over the second dopant layer 306. In some examples, the second cap layer 308 may be omitted from the film structure of Figures 3B-3C. The second dopant layer 306 is formed such that the second dopant layer 306 does not contain the same dopant as the patterned first dopant layer 302 and the first dopant layer 302 and the second dopant layer 302, Type dopant or p-type dopant, provided that only one of the first dopant layer 306 and the second dopant layer 306 contains a p-type dopant, and only one of the patterned first dopant layer 302 and the second dopant layer 306 contains an n-type dopant. Type dopant.

그 후, 도 3b의 필름 구조는, 패턴화된 제1 도펀트층(302)으로부터 기판(300)으로 제1 도펀트(310)를 확산시켜 기판(300) 내에서 패턴화된 제1 도펀트층(302) 아래에 극히 얕은 제1 도펀트 영역(312)을 형성하도록 열처리될 수도 있다. 또한, 열처리는 제2 도펀트층(306)으로부터 기판(300)으로 제2 도펀트(314)를 확산시켜, 기판(300) 내에서 제2 도펀트층(306) 아래에 극히 얕은 제2 도펀트 영역(316)을 형성한다(도 3c 참조).The film structure of Figure 3b is then formed by diffusing a first dopant 310 from the patterned first dopant layer 302 to the substrate 300 to form a patterned first dopant layer 302 Lt; RTI ID = 0.0 &gt; 312 &lt; / RTI &gt; The heat treatment also diffuses the second dopant 314 from the second dopant layer 306 to the substrate 300 to form an extremely shallow second dopant region 316 below the second dopant layer 306 in the substrate 300 (See Fig. 3C).

열 처리에 이어서, 제1 패턴화된 도펀트층(302), 패턴화된 캡 층(304), 제2 도펀트층(306) 및 제2 캡 층(308)은 건식 에칭 처리 또는 습식 에칭 처리를 이용하여 제거될 수도 있다(도 3d 참조). 부가적으로, 클리닝 처리는 열 처리에 이어서 기판(300)으로부터 어떤 에칭 잔류물을 제거하도록 수행될 수도 있다.Following the thermal treatment, the first patterned dopant layer 302, the patterned cap layer 304, the second dopant layer 306, and the second cap layer 308 may be patterned using a dry etch process or a wet etch process (See Fig. 3d). Additionally, the cleaning process may be performed to remove any etching residues from the substrate 300 following thermal processing.

도 4a-도 4f는 본 발명의 또 다른 실시형태에 따른 기판에서 극히 얕은 도펀트 영역을 형성하기 위한 처리 흐름의 개략적인 횡단면도를 나타낸다. 도 4a-도 4e에 도시된 처리 흐름은, 예컨대 자가 정렬된 소스/드레인 연장부를 가진 최종 게이트 더미 트랜지스터를 형성하기 위한 프로세스에 이용될 수도 있다. 도 1a-도 1e를 참조하여 전술한 재료(예컨대, 기판, 도펀트층, 도펀트 및 캡 층 조성), 처리 조건(예컨대, 증착 방법 및 열 처리 조건), 및 층 두께 중 하나 이상은, 도 4a-도 4f에 개략적으로 설명된 실시형태에서 용이하게 이용될 수도 있다.Figures 4A-4F show schematic cross-sectional views of a process flow for forming an extremely shallow dopant region in a substrate according to another embodiment of the present invention. The process flow shown in Figs. 4A-4E may be used in a process for forming a final gate dummy transistor, for example, with self-aligned source / drain extensions. One or more of the materials (e.g., substrate, dopant layer, dopant and cap layer composition), process conditions (e.g., deposition method and heat treatment conditions), and layer thickness described above with reference to Figures 1 A- May be readily utilized in the embodiment schematically illustrated in Figure 4f.

도 4a는 기판(400) 상의 패턴화된 제1 도펀트층(402), 패턴화된 제1 도펀트층(402) 상의 패턴화된 캡 층(404), 및 패턴화된 캡 층(404) 상에 패턴화된 더미 게이트 전극층(406)(예컨대, 폴리-Si)을 포함하는 필름 구조의 개략적인 횡단면도를 나타낸다. 패턴화된 제1 도펀트층(402)은 n형 도펀트 또는 p형 도펀트를 함유할 수 있다. 일부 예에서, 패턴화된 캡 층(404)은 도 4a-도 4e의 필름 구조로부터 생략될 수도 있다.4A shows a patterned first dopant layer 402 on a substrate 400, a patterned cap layer 404 on a patterned first dopant layer 402 and a patterned cap layer 404 on a patterned cap layer 404 Sectional view of a film structure comprising a patterned dummy gate electrode layer 406 (e.g., poly-Si). The patterned first dopant layer 402 may contain an n-type dopant or a p-type dopant. In some instances, the patterned cap layer 404 may be omitted from the film structure of Figures 4A-4E.

도 4b는 패턴화된 더미 게이트 전극층(406), 패턴화된 캡 층(404) 및 패턴화된 제1 도펀트층(402)에 인접하는 제1 측벽 스페이서층(408)을 개략적으로 나타낸다. 제1 측벽 스페이서층(408)은 산화물(예컨대, SiO2) 또는 질화물(예컨대, SiN)을 함유할 수도 있고, 도 4a의 필름 구조 위에 컨포멀층을 증착시키고 이 컨포멀층을 이방적으로 에칭함으로써 형성될 수도 있다.4B schematically illustrates a patterned dummy gate electrode layer 406, a patterned cap layer 404 and a first sidewall spacer layer 408 adjacent to the patterned first dopant layer 402. [ A first sidewall spacer layer 408 may be an oxide (e.g., SiO 2) or nitride and may contain the (e. G., SiN), and depositing a conformal layer on the film structure of Figure 4a etching the conformal layer to anisotropic .

도 4c는 제1 측벽 스페이서층(408)에 인접하게 기판(400)과 직접 접촉하면서, 도 4b에 도시된 필름 구조 위에 컨포멀하게 증착될 수 있는 제2 도펀트층(410)을 나타낸다. 또한, 제2 캡 층(420)은 제2 도펀트층(410) 위에 컨포멀하게 증착된다. 제2 도펀트층(410)은, 제2 도펀트층(410)이 패턴화된 제1 도펀트층(402)과 동일한 도펀트를 함유하지 않고, 패턴화된 제1 도펀트층(402)과 제2 도펀트층(306) 중 하나만이 p형 도펀트를 함유하고, 패턴화된 제1 도펀트층(402)과 제2 도펀트층(410) 중 하나만이 n형 도펀트를 함유하는 것을 조건으로 하여, n형 도펀트 또는 p형 도펀트를 함유할 수 있다. 일부 예에서, 제2 캡 층(420)은 도 4c-도 4d의 필름 구조로부터 생략될 수도 있다.FIG. 4C shows a second dopant layer 410 that can be conformally deposited over the film structure shown in FIG. 4B, in direct contact with the substrate 400 adjacent the first sidewall spacer layer 408. In addition, the second cap layer 420 is conformally deposited over the second dopant layer 410. The second dopant layer 410 is formed such that the second dopant layer 410 does not contain the same dopant as the patterned first dopant layer 402 and the first dopant layer 402 and the second dopant layer 402, Type dopant or p-type dopant, provided that only one of the first dopant layer 306 and the second dopant layer 306 contains a p-type dopant and only one of the patterned first dopant layer 402 and the second dopant layer 410 contains an n-type dopant. Type dopant. In some instances, the second cap layer 420 may be omitted from the film structure of Figures 4C-4D.

그 후, 도 4c의 필름 구조는 패턴화된 제1 도펀트층(402)으로부터 기판(400)으로 제1 도펀트(412)를 확산시켜, 기판(400) 내에서 패턴화된 제1 도펀트층(402) 아래에 극히 얕은 제1 도펀트 영역(414)을 형성하도록 열 처리될 수도 있다. 또한, 열 처리는 제2 도펀트층(410)으로부터 기판(400)으로 제2 도펀트(416)를 확산시켜, 기판(400) 내에서 기판(400)과 직접 접촉하는 제2 도펀트층(410) 아래에 극히 얕은 제2 도펀트 영역(418)을 형성한다.The film structure of Figure 4C then diffuses the first dopant 412 from the patterned first dopant layer 402 to the substrate 400 to form a patterned first dopant layer 402 Lt; RTI ID = 0.0 &gt; 414 &lt; / RTI &gt; The thermal treatment also diffuses the second dopant 416 from the second dopant layer 410 to the substrate 400 to form a second dopant layer 410 in direct contact with the substrate 400 in the substrate 400 Lt; RTI ID = 0.0 &gt; 418 &lt; / RTI &gt;

열 처리에 이어서, 제2 도펀트층(410) 및 제2 캡 층(420)은 도 4e에 개략적으로 도시된 필름 구조를 형성하기 위하여 건식 에칭 프로세스 또는 습식 에칭 프로세스를 이용하여 제거될 수도 있다. 부가적으로, 클리닝 프로세스는 열 처리에 이어서 기판(400)으로부터 어떤 에칭 잔류물을 제거하도록 수행될 수도 있다.Following the thermal treatment, the second dopant layer 410 and the second cap layer 420 may be removed using a dry etch process or a wet etch process to form the film structure schematically shown in Figure 4e. Additionally, the cleaning process may be performed to remove any etching residues from the substrate 400 following thermal processing.

다음으로, 제2 측벽 스페이서층(422)은 제1 측벽 스페이서층(408)에 인접하게 형성될 수도 있다. 이는 도 4f에 개략적으로 도시된다. 제2 측벽 스페이서층(422)은 산화물(예컨대, SiO2) 또는 질화물(예컨대, SiN)을 함유할 수도 있고, 필름 구조 위에 컨포멀층을 증착하고 이 컨포멀층을 이방적으로 에칭함으로써 형성될 수도 있다.Next, a second sidewall spacer layer 422 may be formed adjacent the first sidewall spacer layer 408. This is schematically shown in Figure 4f. First depositing a conformal layer over the second sidewall spacer layer 422 may be an oxide (e.g., SiO 2) or nitride and may contain the (e. G., SiN), a film structure is formed by etching the conformal layer to anisotropic It is possible.

그 후, 도 4f에 도시된 필름 구조는 추가로 처리될 수도 있다. 추가적인 프로세싱은 부가적인 소스/드레인 연장부를 형성하는 것 또는 이온 주입, 라이너 증착 등을 포함하는 대체 게이트 프로세스 흐름을 수행하는 것을 포함할 수 있다.Thereafter, the film structure shown in Fig. 4F may be further processed. Additional processing may include forming additional source / drain extensions or performing alternate gate process flows including ion implantation, liner deposition, and the like.

도 5a-도 5e는 본 발명의 다른 실시형태에 따른 기판에서 극히 얕은 도펀트 영역을 형성하기 위한 처리 흐름의 개략적인 횡단면도를 나타낸다. 도 5a-도 5e에 도시된 처리 흐름은, 예컨대 밴드간 터널링 트랜지스터에 대한 스페이서 규정된 P-i-N 접합을 형성하기 위한 프로세스에 이용될 수도 있다. 도 1a-도 1e를 참조하여 전술한 재료(예컨대, 기판, 도펀트층, 도펀트 및 캡 층 조성), 처리 조건(예컨대, 증착 방법 및 열 처리 조건), 및 층 두께 중 하나 이상은, 도 5a-도 5e에 개략적으로 설명된 실시형태에서 용이하게 이용될 수도 있다.Figures 5A-5E illustrate schematic cross-sectional views of a process flow for forming an extremely shallow dopant region in a substrate according to another embodiment of the present invention. The process flow shown in Figs. 5A to 5E may be used in a process for forming a spacer-defined P-i-N junction, for example, for a band-to-band tunneling transistor. One or more of the materials (e.g., substrate, dopant layer, dopant and cap layer composition), process conditions (e.g., deposition method and heat treatment conditions), and layer thickness described above with reference to Figures 1 A- May be readily utilized in the embodiment schematically illustrated in Figure 5E.

도 5a는 기판(500) 상의 패턴화된 층(502)(예컨대, 산화물, 질화물 또는 산질화물 층) 및 그 패턴화된 층(502) 상의 패턴화된 캡 층(504)(예컨대, 폴리-Si)을 포함하는 필름 구조의 개략적인 횡단면도를 나타낸다. 도 5a는 기판(500), 패턴화된 캡 층(504) 및 패턴화된 층(502)에 인접하는 측벽 스페이서 층(506)을 추가로 나타낸다. 이 측벽 스페이서 층(506)은 산화물(예컨대, SiO2) 또는 질화물(예컨대, SiN)을 함유할 수도 있고, 컨포멀층을 증착하고 그 컨포멀층을 이방적으로 에칭함으로써 형성될 수도 있다.5A illustrates a patterned layer 502 (e.g., an oxide, nitride, or oxynitride layer) on a substrate 500 and a patterned cap layer 504 (e.g., poly-Si Lt; RTI ID = 0.0 &gt; of: &lt; / RTI &gt; Figure 5A additionally shows a substrate 500, a patterned cap layer 504, and a sidewall spacer layer 506 adjacent to the patterned layer 502. [ The side wall spacer layer 506 may be an oxide (e.g., SiO 2) or nitride (e.g., SiN), it may be formed by depositing a conformal layer and etching the conformal layer to anisotropic.

도 5b는 측벽 스페이서 층(506)에 인접하게 기판(500)과 직접 접촉하여 ALD에 의해 증착되며 제1 도펀트를 함유하는 제1 도펀트층(508), 및 그 제1 도펀트층(508) 상에 증착된 제1 캡 층(510)(예컨대, 산화물 층)을 나타낸다. 생성된 필름 구조는 도 5b에 도시된 필름 구조를 형성하도록 평탄화(예컨대, 화학적 기계적 연마(CMP)에 의함)될 수도 있다.Figure 5b illustrates a first dopant layer 508 deposited by ALD in direct contact with the substrate 500 adjacent to the sidewall spacer layer 506 and containing a first dopant and a second dopant layer 508 deposited on the first dopant layer 508 And a first cap layer 510 (e.g., an oxide layer) deposited. The resulting film structure may be planarized (e.g., by chemical mechanical polishing (CMP)) to form the film structure shown in Figure 5B.

그 후, 패턴화된 층(502) 및 패턴화된 캡 층(504)은 건식 에칭 프로세스 또는 습식 에칭 프로세스를 이용하여 제거될 수도 있다. 이후에, 제2 도펀트를 함유하는 제2 도펀트층(512)은 기판(500)과 직접적으로 증착될 수도 있고, 이 제2 도펀트층(512) 위에 제2 캡 층(514)(예컨대, 산화물 층)이 증착될 수도 있다. 이렇게 하여 생성된 필름 구조는, 도 5c에 도시된 평탄화된 필름 구조를 형성하도록 평탄화(예컨대, CMP에 의함)될 수도 있다. 제1 도펀트층(508) 및 제2 도펀트층(512)은, 제1 도펀트층(508) 및 제2 도펀트층(512)이 동일한 도펀트를 함유하지 않고, 제1 도펀트층(508) 및 제2 도펀트층(512) 중 하나만이 p형 도펀트를 함유하고, 제1 도펀트층(508) 및 제2 도펀트층(512) 중 하나만이 n형 도펀트를 함유한다는 것을 조건으로 하여, n형 도펀트 또는 p형 도펀트를 함유할 수 있다.The patterned layer 502 and the patterned cap layer 504 may then be removed using a dry etch process or a wet etch process. A second dopant layer 512 containing a second dopant may then be deposited directly on the substrate 500 and a second cap layer 514 (e.g., an oxide layer May be deposited. The resulting film structure may be planarized (e.g., by CMP) to form the planarized film structure shown in Figure 5C. The first dopant layer 508 and the second dopant layer 512 are formed such that the first dopant layer 508 and the second dopant layer 512 do not contain the same dopant and the first dopant layer 508 and the second Provided that only one of the dopant layers 512 contains a p-type dopant and only one of the first and second dopant layers 508 and 512 contains an n-type dopant, an n-type dopant or a p- May contain a dopant.

그 후, 도 5c의 필름 구조는 제1 도펀트층(508)로부터 기판(500)으로 제1 도펀트(516)를 확산시켜 기판(500) 내에서 제1 도펀트층(508) 아래에 극히 얕은 제1 도펀트 영역(518)을 형성하도록 열 처리될 수도 있다. 또한, 열 처리는 제2 도펀트층(512)으로부터 기판(500)으로 제2 도펀트(520)를 확산시켜, 기판(500) 내에서 제2 도펀트층(512) 아래에 극히 얕은 제2 도펀트 영역(522)을 형성한다(도 5d 참조). 도 5e는 기판(500) 내의 스페이서 규정된 극히 얕은 제1 및 제2 도펀트 영역(518 및 522)을 나타낸다.The film structure of Figure 5C then diffuses the first dopant 516 from the first dopant layer 508 to the substrate 500 to form a first shallow first layer 518 below the first dopant layer 508 in the substrate 500. [ May be thermally processed to form a dopant region 518. The thermal processing also diffuses the second dopant 520 from the second dopant layer 512 to the substrate 500 to form an extremely shallow second dopant region in the substrate 500 below the second dopant layer 512 522) (see Fig. 5D). FIG. 5E shows the first and second very shallow dopant regions 518 and 522 defined by the spacers in the substrate 500.

다음으로, 기판 상에 도펀트층을 증착하기 위한 예시적인 방법을 본 발명의 여러 가지 실시형태들에 따라서 설명한다.Next, an exemplary method for depositing a dopant layer on a substrate will be described in accordance with various embodiments of the present invention.

일 실시형태에 따르면, 붕소 도펀트층은 산화 붕소, 질화 붕소 또는 산질화 붕소를 포함할 수도 있다. 다른 실시형태들에 따르면, 붕소 도펀트층은 산화물 층, 질화물 층, 또는 산질화물 층의 형태의 붕소 도핑된 하이-k 재료를 포함하거나 또는 이 재료로 구성될 수 있다. 일례에서, 산화 붕소 도펀트층은, a) ALD 프로세스를 수행하기 위하여 구성된 프로세스 챔버 내에 기판을 제공하는 단계, b) 상기 기판을 기상의 붕소 아미드 또는 유기붕소 전구체에 노출시키는 단계, c) 프로세스 챔버를 정화/배출하는 단계, d) 상기 기판을 H2O, O2 또는 O3, 또는 이들의 조합을 함유하는 반응 가스에 노출시키는 단계, e) 프로세스 챔버를 정화/배출하는 단계, 및 f) 산화 붕소 도펀트층이 원하는 두께를 가질때 까지 단계 b)-e)를 임의의 횟수로 반복하는 단계를 통하여, ALD에 의해 증착될 수도 있다. 다른 실시형태들에 따르면, 질화 붕소 도펀트층은 단계 d)에서 NH3를 함유하는 반응 가스를 이용하여 증착될 수도 있거나, 또는 산질화 붕소 도펀트층은 단계 d)에서 1) H20, 02, 또는 O3, 및 NH3, 또는 2) NO, N02, 또는 N20, 및 선택적으로 H20, 02, 03, 및 NH3 중 하나 이상을 함유하는 반응 가스를 이용하여 증착될 수도 있다.According to one embodiment, the boron dopant layer may comprise boron oxide, boron nitride or boron oxynitride. According to other embodiments, the boron dopant layer may comprise or consist of a boron-doped high-k material in the form of an oxide layer, a nitride layer, or an oxynitride layer. In one example, the boron oxide dopant layer comprises a) providing a substrate in a process chamber configured to perform an ALD process, b) exposing the substrate to a gaseous boron amide or organoboron precursor, c) D) exposing the substrate to a reaction gas containing H 2 O, O 2 or O 3 , or a combination thereof, e) purifying / discharging the process chamber, and f) oxidizing May be deposited by ALD through repeating steps b) -e) any number of times until the boron dopant layer has the desired thickness. According to other embodiments, the boron nitride dopant layer may be deposited using a reaction gas containing NH 3 in step d), or the boron oxynitride dopant layer may be deposited in step d) using 1) H 2 O, O 2 , or O 3, and NH 3, or 2) NO, N0 2, or N 2 0, and optionally H 2 0, 0 2, 0 3, and NH 3 deposited using a reaction gas containing at least one of .

본 발명이 실시형태에 따르면, 붕소 아미드는 LnB(NR1R2)3 형태의 붕소 화합물을 포함할 수도 있고, 여기서, L은 중성의 루이스 염기이며, n은 0 또는 1이며, R1 및 R2 의 각각은 알킬, 아릴, 플루오로알킬, 플루오로아릴, 알콕시알킬 및 아미노알킬로부터 선택될 수도 있다. 붕소 아미드의 일례는 B(NMe2), (Me3)B(NMe2)3, 및 B[(CF3)2]3를 포함한다. 본 발명의 실시형태에 따르면, 유기붕소는 LnBR1R2R3 형태의 붕소 화합물을 포함할 수 있고, 여기서, L은 중성의 루이스 염기이며, n은 0 또는 1이며, R1, R2 및 R3의 각각은 알킬, 아릴, 플루오로알킬, 플루오로아릴, 알콕시알킬 및 아미노알킬로부터 선택될 수도 있다. 붕소 아미드의 일례는 BMe3, (Me3N)BMe3, B(CF3)3, 및 (Me3N)B(C6F3)를 포함한다.According to an embodiment of the present invention, the boron amide is L n B (NR 1 R 2 ) 3 , Wherein L is a neutral Lewis base, n is 0 or 1, and each of R 1 and R 2 is independently selected from the group consisting of alkyl, aryl, fluoroalkyl, fluoroaryl, alkoxyalkyl and Aminoalkyl. &Lt; / RTI &gt; Examples of boron amides include B (NMe 2 ), (Me 3 ) B (NMe 2 ) 3 , and B [(CF 3 ) 2 ] 3 . According to an embodiment of the present invention, the organoboron can comprise a boron compound in the form of L n BR 1 R 2 R 3 , wherein L is a neutral Lewis base, n is 0 or 1, R 1 , R 2 and R &lt; 3 &gt; may be selected from alkyl, aryl, fluoroalkyl, fluoroaryl, alkoxyalkyl and aminoalkyl. Examples of boron amides include BMe 3 , (Me 3 N) BMe 3 , B (CF 3 ) 3 , and (Me 3 N) B (C 6 F 3 ).

일 실시형태에 따르면, 비소 도펀트층은 비소 산화물, 비소 질화물 또는 비소 산질화물을 포함할 수도 있다. 일 실시형태에 따르면, 비소 도펀트층은, 산화물 층, 질화물 층 또는 산질화물 층의 형태의 비소 도핑된 하이-k 재료를 함유하거나 또는 이 재료로 구성될 수 있다. 일례에 있어서, 비소 산화물 도펀트층은, a) ALD 프로세스를 수행하기 위하여 구성된 프로세스 챔버 내에 기판을 제공하는 단계, b) 상기 기판을 비소를 함유하는 기상 전구체에 노출시키는 단계, c) 프로세스 챔버를 정화/배출하는 단계, d) 상기 기판을 H2O, O2 또는 O3, 또는 이들의 조합을 함유하는 반응 가스에 노출시키는 단계, e) 프로세스 챔버를 정화/배출하는 단계, 및 f) 비소 산화물 도펀트층이 원하는 두께를 가질때 까지 단계 b)-e)를 임의의 횟수로 반복하는 단계를 통하여, ALD에 의해 증착될 수도 있다. 다른 실시형태들에 따르면, 비소 질화물 도펀트층은 단계 d)에서 NH3를 이용하여 증착될 수도 있거나, 또는 비소 질화물 도펀트층은 단계 d)에서의 1) H20, 02, 또는 O3, 및 NH3, 또는 2) NO, N02, 또는 N20, 및 선택적으로 H20, 02, 03, 및 NH3 중 하나 이상을 이용하여 증착될 수도 있다. 본 발명의 일부 실시형태에 따르면, 비소를 함유하는 기상 전구체는 예컨대 AsCl3, AsBr3, 또는 AsI3와 같은 할로겐화 비소를 포함할 수 있다.According to one embodiment, the arsenic dopant layer may comprise an non-oxide, non-nitrite or arsenic oxide nitride. According to one embodiment, the arsenic dopant layer may comprise or consist of an arsenic-doped high-k material in the form of an oxide layer, a nitride layer or an oxynitride layer. In one example, the non-oxide dopant layer comprises a) providing a substrate in a process chamber configured to perform an ALD process, b) exposing the substrate to a gaseous precursor containing arsenic, c) D) exposing the substrate to a reaction gas containing H 2 O, O 2 or O 3 , or a combination thereof, e) purifying / discharging the process chamber, and f) May be deposited by ALD through repeating steps b) -e) any number of times until the dopant layer has the desired thickness. According to other embodiments, the non-nitrogen nitride dopant layer may be deposited using NH 3 in step d), or the non-nitrogen nitride dopant layer may be deposited using 1) H 2 0, 0 2 , or O 3 , And NH 3 , or 2) at least one of NO, NO 2 , or N 2 O, and optionally H 2 O, O 2 , O 3 , and NH 3 . According to some embodiments of the present invention, the gaseous precursor containing arsenic may comprise arsenic halide such as AsCl 3 , AsBr 3 , or AsI 3 .

일 실시형태에 따르면, 인 도펀트층은 인 산화물, 인 질화물 또는 인 산질화물을 포함할 수도 있다. 다른 실시형태에 따르면, 인 도펀트층은 산화물 층, 질화물 층 또는 산질화물 층의 형태의 인 도핑된 하이-k 재료를 함유하거나 또는 이 재료로 구성될 수 있다. 일례에 있어서, 인 산화물 도펀트층은, a) ALD 프로세스를 수행하기 위하여 구성된 프로세스 챔버 내에 기판을 제공하는 단계, b) 상기 기판을 인을 함유하는 기상 전구체에 노출시키는 단계, c) 프로세스 챔버를 정화/배출하는 단계, d) 상기 기판을 H2O, O2 또는 O3, 또는 이들의 조합을 함유하는 반응 가스에 노출시키는 단계, e) 프로세스 챔버를 정화/배출하는 단계, 및 f) 인 산화물 도펀트층이 원하는 두께를 가질때 까지 단계 b)-e)를 임의의 횟수로 반복하는 단계를 통하여, ALD에 의해 증착될 수도 있다. 다른 실시형태들에 따르면, 인 질화물 도펀트층은 단계 d)에서 NH3를 함유하는 반응 가스를 이용하여 증착될 수도 있거나, 또는 인 질화물 도펀트층은 단계 d)에서의 1) H20, 02, 또는 O3, 및 NH3, 또는 2) NO, N02, 또는 N20, 및 선택적으로 H20, 02, 03, 및 NH3 중 하나 이상을 함유하는 반응 가스를 이용하여 증착될 수도 있다. 본 발명의 일부 실시형태에 따르면, 인을 함유하는 기상 전구체는 [(CH3)2N]3PO, P(CH3)3, PH3, OP(C6H5)3, OPCl3, PC13, PBr3, [(CH3)2N]3P, P(C4H9)3를 포함할 수 있다. According to one embodiment, the phosphorous dopant layer may comprise a phosphorus oxide, phosphorus nitride or phosphorus oxynitride. According to another embodiment, the indopter layer may contain or be composed of a doped high-k material in the form of an oxide layer, a nitride layer or an oxynitride layer. In one example, the phosphorous oxide dopant layer comprises a) providing a substrate in a process chamber configured to perform an ALD process, b) exposing the substrate to a gaseous precursor containing phosphorus, c) / D) exposing the substrate to a reaction gas containing H 2 O, O 2 or O 3 , or a combination thereof, e) purifying / discharging the process chamber, and f) May be deposited by ALD through repeating steps b) -e) any number of times until the dopant layer has the desired thickness. According to other embodiments, a phosphorus nitride dopant layer may be deposited using a reaction gas containing NH 3 in step d), or the phosphorus nitride dopant layer may be deposited using 1) H 2 0, 0 2 , or O 3, and NH 3, or 2) NO, N0 2, or N 2 0, and optionally H 2 0, 0 2, 0 3, and NH 3 deposited using a reaction gas containing at least one of . According to some embodiments of the present invention, vapor phase precursor containing phosphorus is [(CH 3) 2 N] 3 PO, P (CH 3) 3, PH 3, OP (C 6 H 5) 3, OPCl 3, PC1 3 , PBr 3 , [(CH 3 ) 2 N] 3 P, and P (C 4 H 9 ) 3 .

도펀트층으로부터 기판층으로 고상 확산에 의해 극히 얕은 도펀트 영역을 형성하는 복수의 실시형태를 설명하였다. 본 발명의 실시형태들의 앞선 설명은 예시 및 설명의 목적으로 제시되었다. 개시된 구체적인 형태에 본 발명을 한정하거나, 완전한 것이고자 함이 아니다. 본 설명 및 다음의 청구범위는, 설명의 목적으로만 사용되고 제한적으로서 이해되어서는 안되는 용어들을 포함한다. 예컨대, 여기서 사용된 바와 같은(청구범위에서도 포함) "상의(on)"라는 용어는, 기판 "상의" 막이 기판의 바로 위에 그리고 기판과 바로 접촉하고 있다는 것을 요하지 않고, 막과 기판 사이에 제2 막 또는 다른 구조가 있을 수도 있다.A plurality of embodiments for forming an extremely shallow dopant region by solid phase diffusion from the dopant layer to the substrate layer have been described. The foregoing description of the embodiments of the present invention has been presented for purposes of illustration and description. It is not intended to be exhaustive or to limit the invention to the precise form disclosed. This description and the following claims are intended to cover such terms, which are used for descriptive purposes only and should not be construed as limiting. For example, the term " on " as used herein (including in the claims) means that the film on " on " substrate does not need to be directly above and directly in contact with the substrate, There may be membranes or other structures.

당업자들은, 상기 교시의 관점에서 많은 수정 및 변형이 가능하다는 것을 이해할 수 있다. 당업자는 도면들에 도시된 다양한 구성 요소들에 대한 다양한 등가 조합물과 대체물을 인식할 것이다. 따라서, 본 발명의 범위는 이 상세한 설명에 의해서가 아니라, 여기에 첨부된 청구범위에 의하여 제한되고자 한다.Those skilled in the art will understand that many modifications and variations are possible in light of the above teachings. Those skilled in the art will recognize various equivalents and alternatives to the various components shown in the drawings. Accordingly, the scope of the present invention is intended to be limited not by this detailed description, but by the claims appended hereto.

Claims (20)

기판에 극히 얕은 붕소(B) 도펀트 영역을 형성하기 위한 방법에 있어서,
원자층 증착(atomic layer deposition; ALD)에 의해, 기판과 직접 접촉하는 제1 도펀트층을 증착하는 단계로서, 상기 제1 도펀트층은 붕소 도펀트층이고, 상기 붕소 도펀트층은 붕소 아미드 전구체의 기상(gaseous) 노출 및 반응 가스의 기상 노출을 교번함으로써 형성된 산화물, 질화물 또는 산질화물을 함유하는 것인 상기 붕소 도펀트층을 증착하는 단계와,
상기 붕소 도펀트층을 패턴화하는 단계와,
그 패턴화된 붕소 도펀트층으로부터 상기 기판으로 붕소를 열 처리에 의해 확산시킴으로써 상기 기판에 극히 얕은 붕소 도펀트 영역을 형성하는 단계와,
원자층 증착에 의해, 상기 패턴화된 제1 도펀트층에 인접하고 상기 기판과 직접 접촉하며 제2 도펀트를 함유하는 제2 도펀트층을 증착하는 단계 - 상기 제1 도펀트층 및 상기 제2 도펀트층은 동일한 도펀트를 함유하지 않고, 상기 열 처리는 상기 기판 내에 극히 얕은 제2 도펀트 영역을 형성하기 위해 상기 제2 도펀트를 상기 제2 도펀트층으로부터 상기 기판 내로 확산시킴 -
를 포함하고,
상기 극히 얕은 붕소 도펀트 영역은 상기 극히 얕은 제2 도펀트 영역과 직접 접하며,
상기 극히 얕은 붕소 도펀트 영역 및 상기 극히 얕은 제2 도펀트 영역 중 하나는 트랜지스터의 채널을 형성하고, 상기 극히 얕은 붕소 도펀트 영역 및 상기 극히 얕은 제2 도펀트 영역 중 다른 하나는 상기 트랜지스터의 소스/드레인을 형성하는 것인 도펀트 영역 형성 방법.
A method for forming an extremely shallow boron (B) dopant region in a substrate,
Depositing a first dopant layer in direct contact with a substrate by atomic layer deposition (ALD), wherein the first dopant layer is a boron dopant layer and the boron dopant layer is a vapor phase of a boron amide precursor gaseous exposure and vapor phase exposure of the reaction gas to form a boron dopant layer, wherein the boron dopant layer comprises an oxide, a nitride or an oxynitride,
Patterning the boron dopant layer;
Forming an extremely shallow boron dopant region in the substrate by diffusing boron from the patterned boron dopant layer to the substrate by heat treatment;
Depositing a second dopant layer adjacent the patterned first dopant layer and in direct contact with the substrate, the second dopant layer containing a second dopant by atomic layer deposition, the first dopant layer and the second dopant layer And wherein said heat treatment diffuses said second dopant from said second dopant layer into said substrate to form an extremely shallow second dopant region in said substrate,
Lt; / RTI &gt;
Wherein the extremely shallow boron dopant region is in direct contact with the extremely shallow second dopant region,
Wherein one of the extremely shallow boron dopant region and the extremely shallow second dopant region forms a channel of the transistor and the other of the extremely shallow boron dopant region and the extremely shallow second dopant region forms a source / To form a dopant region.
제1항에 있어서, 상기 기판으로부터 상기 패턴화된 붕소 도펀트층을 제거하는 단계를 더 포함하는 도펀트 영역 형성 방법.The method of claim 1, further comprising removing the patterned boron dopant layer from the substrate. 제1항에 있어서, 상기 붕소 도펀트층 또는 상기 패턴화된 붕소 도펀트층 상에 캡 층을 증착하는 단계를 더 포함하는 도펀트 영역 형성 방법.2. The method of claim 1, further comprising depositing a cap layer on the boron dopant layer or the patterned boron dopant layer. 제1항에 있어서, 상기 붕소 도펀트층은 상기 산화물을 함유하며, 상기 반응 가스는 H20, 02, 또는 03, 또는 이들 중 2 이상의 조합을 포함하는 것인 도펀트 영역 형성 방법.The method of claim 1, wherein the boron dopant layer contains the oxide and the reaction gas comprises H 2 O, O 2 , or O 3 , or a combination of at least two of the foregoing. 제1항에 있어서, 상기 붕소 도펀트층은 상기 질화물을 함유하며, 상기 반응 가스는 NH3를 포함하는 것인 도펀트 영역 형성 방법.The method of claim 1 wherein the boron dopant layer is containing the nitride, the dopant region forming method in which the reaction gas comprises NH 3. 제1항에 있어서, 상기 붕소 도펀트층은 상기 산질화물을 함유하며,
상기 반응 가스는 a) H20, 02, 및 03 중 적어도 하나 및 NH3, 또는 b) NO, N02, 및 N20 중 적어도 하나, 또는 c) i) NO, N02, 및 N20 중 적어도 하나 및 ii) H20, 02, 03, 및 NH3 중 하나 이상을 포함하는 것인 도펀트 영역 형성 방법.
The method of claim 1, wherein the boron dopant layer contains the oxynitride,
The reaction gas is a) H 2 0, 0 2 , and 03 and at least one NH 3, or b of) NO, N0 2, and N 2 0 at least one, or c of) i) NO, N0 2, and N 2 O and ii) at least one of H 2 O, O 2 , O 3 , and NH 3 .
제1항에 있어서, 상기 붕소 도펀트층의 두께는 4 nm 이하인 것인 도펀트 영역 형성 방법.The method of claim 1, wherein the thickness of the boron dopant layer is 4 nm or less. 제1항에 있어서, 상기 기판은 상기 기판 위에 도펀트 윈도우를 규정하는 패턴화된 마스크층을 포함하며, 상기 붕소 도펀트층은 상기 도펀트 윈도우에서 상기 기판과 직접 접촉하여 증착되는 것인 도펀트 영역 형성 방법.2. The method of claim 1, wherein the substrate comprises a patterned mask layer defining a dopant window on the substrate, wherein the boron dopant layer is deposited in direct contact with the substrate in the dopant window. 제1항에 있어서, 상기 기판은 Si, Ge, In, Ga, As, Sb, GaAs, InGaAs, InGaSb, 또는 SixGei -x를 포함하며, 여기서 0 < x < 1인 것인 도펀트 영역 형성 방법.2. The method of claim 1, wherein the substrate comprises a dopant region formed of Si, Ge, In, Ga, As, Sb, GaAs, InGaAs, InGaSb, or Si x Ge i -x , Way. 기판에 극히 얕은 붕소(B) 도펀트 영역을 형성하기 위한 방법에 있어서,
원자층 증착(ALD)에 의해, 상기 기판과 직접 접촉하는 제1 도펀트층을 증착하는 단계로서, 상기 제1 도펀트층은 붕소 도펀트층이고, 상기 붕소 도펀트층은, 붕소 아미드 전구체 또는 유기붕소 전구체의 기상 노출 및 반응 가스의 기상 노출을 교번함으로써 형성된 질화물 또는 산질화물을 함유하는 것인 상기 붕소 도펀트층을 증착하는 단계와,
상기 붕소 도펀트층을 패턴화하는 단계와,
상기 패턴화된 붕소 도펀트층으로부터 상기 기판으로 붕소를 열 처리에 의해 확산시킴으로써, 상기 기판에 극히 얕은 붕소 도펀트 영역을 형성하는 단계와,
원자층 증착에 의해, 상기 패턴화된 제1 도펀트층에 인접하고 상기 기판과 직접 접촉하며 제2 도펀트를 함유하는 제2 도펀트층을 증착하는 단계 - 상기 제1 도펀트층 및 상기 제2 도펀트층은 동일한 도펀트를 함유하지 않고, 상기 열 처리는 상기 기판 내에 극히 얕은 제2 도펀트 영역을 형성하기 위해 상기 제2 도펀트를 상기 제2 도펀트층으로부터 상기 기판 내로 확산시킴 -
를 포함하고,
상기 극히 얕은 붕소 도펀트 영역은 상기 극히 얕은 제2 도펀트 영역과 직접 접하며,
상기 극히 얕은 붕소 도펀트 영역 및 상기 극히 얕은 제2 도펀트 영역 중 하나는 트랜지스터의 채널을 형성하고, 상기 극히 얕은 붕소 도펀트 영역 및 상기 극히 얕은 제2 도펀트 영역 중 다른 하나는 상기 트랜지스터의 소스/드레인을 형성하는 것인 도펀트 영역 형성 방법.
A method for forming an extremely shallow boron (B) dopant region in a substrate,
Depositing a first dopant layer in direct contact with the substrate by atomic layer deposition (ALD), wherein the first dopant layer is a boron dopant layer and the boron dopant layer comprises a boron amide precursor or an organic boron precursor Depositing the boron dopant layer, wherein the boron dopant layer is formed of a nitride or an oxynitride formed by alternating vapor phase exposure and vapor phase exposure of the reactive gas,
Patterning the boron dopant layer;
Forming an extremely shallow boron dopant region in the substrate by diffusing boron from the patterned boron dopant layer to the substrate by heat treatment;
Depositing a second dopant layer adjacent the patterned first dopant layer and in direct contact with the substrate, the second dopant layer containing a second dopant by atomic layer deposition, the first dopant layer and the second dopant layer And wherein said heat treatment diffuses said second dopant from said second dopant layer into said substrate to form an extremely shallow second dopant region in said substrate,
Lt; / RTI &gt;
Wherein the extremely shallow boron dopant region is in direct contact with the extremely shallow second dopant region,
Wherein one of the extremely shallow boron dopant region and the extremely shallow second dopant region forms a channel of the transistor and the other of the extremely shallow boron dopant region and the extremely shallow second dopant region forms a source / To form a dopant region.
삭제delete 제10항에 있어서, 상기 붕소 도펀트층은 상기 질화물을 함유하며, 상기 반응 가스는 NH3를 포함하는 것인 도펀트 영역 형성 방법.11. The method of claim 10, wherein the boron dopant layer is containing the nitride, the dopant region forming method in which the reaction gas comprises NH 3. 제10항에 있어서, 상기 붕소 도펀트층은 상기 산질화물을 함유하며, 상기 반응 가스는 a) H20, 02, 및 03 중 적어도 하나 및 NH3, 또는 b) NO, N02, 및 N20 중 적어도 하나, 또는 c) i) NO, N02, 및 N20 중 적어도 하나 및 ii) H20, 02, 03, 및 NH3 중 하나 이상을 포함하는 것인 도펀트 영역 형성 방법. 11. The method of claim 10, wherein the boron dopant layer is containing the oxynitride, the reactant gas is a) H 2 0, 0 2 , and 03 and at least one NH 3, or b of) NO, N0 2, and N 2 O, or c) at least one of i) NO, NO 2 , and N 2 O and ii) at least one of H 2 O, O 2 , O 3 , and NH 3 . / RTI &gt; 제10항에 있어서, 상기 기판은 상기 기판 위에 도펀트 윈도우를 규정하는 패턴화된 마스크층을 포함하며, 상기 붕소 도펀트층은 상기 도펀트 윈도우에서 상기 기판과 직접 접촉하여 증착되는 것인 도펀트 영역 형성 방법. 11. The method of claim 10, wherein the substrate comprises a patterned mask layer defining a dopant window on the substrate, wherein the boron dopant layer is deposited in direct contact with the substrate in the dopant window. 극히 얕은 붕소(B) 도펀트 영역을 형성하기 위한 방법에 있어서,
볼록한(raised) 피처(feature) 또는 오목한 피처를 포함하는 기판을 제공하는 단계와,
상기 볼록한 피처와, 또는 상기 오목한 피처의 내부와 직접 접촉되는 제1 도펀트층을 컨포멀하게(conformally) 증착하는 단계로서, 상기 제1 도펀트층은 붕소 도펀트층이고, 상기 붕소 도펀트층은, 붕소 아미드 전구체의 기상 노출 및 반응 가스의 기상 노출을 교번하는 것을 이용하여 원자층 증착(ALD)에 의해 증착된 산화물, 질화물 또는 산질화물을 함유하는 것인 상기 붕소 도펀트층을 컨포멀하게 증착하는 단계와,
상기 붕소 도펀트층을 패턴화하는 단계와,
그 패턴화된 붕소 도펀트층으로부터 상기 볼록한 피처로 또는 상기 오목한 피처 내의 기판으로 붕소를 열 처리에 의해 확산시킴으로써, 상기 볼록한 피처 또는 상기 오목한 피처에 극히 얕은 붕소 도펀트 영역을 형성하는 단계와,
원자층 증착에 의해, 상기 패턴화된 제1 도펀트층에 인접하고 상기 기판과 직접 접촉하며 제2 도펀트를 함유하는 제2 도펀트층을 증착하는 단계 - 상기 제1 도펀트층 및 상기 제2 도펀트층은 동일한 도펀트를 함유하지 않고, 상기 열 처리는 상기 기판 내에 극히 얕은 제2 도펀트 영역을 형성하기 위해 상기 제2 도펀트를 상기 제2 도펀트층으로부터 상기 기판 내로 확산시킴 -
를 포함하고,
상기 극히 얕은 붕소 도펀트 영역은 상기 극히 얕은 제2 도펀트 영역과 직접 접하며,
상기 극히 얕은 붕소 도펀트 영역 및 상기 극히 얕은 제2 도펀트 영역 중 하나는 트랜지스터의 채널을 형성하고, 상기 극히 얕은 붕소 도펀트 영역 및 상기 극히 얕은 제2 도펀트 영역 중 다른 하나는 상기 트랜지스터의 소스/드레인을 형성하는 것인 도펀트 영역 형성 방법.
A method for forming an extremely shallow boron (B) dopant region,
Providing a substrate comprising a raised feature or a concave feature;
Conformally depositing a first dopant layer in direct contact with the convex feature or with the interior of the recessed feature, wherein the first dopant layer is a boron dopant layer, the boron dopant layer comprises a boron amide Conformally depositing the boron dopant layer which is oxide, nitride or oxynitride deposited by atomic layer deposition (ALD) using alternating vapor phase exposure of the precursor and vapor phase exposure of the reactive gas,
Patterning the boron dopant layer;
Forming an extremely shallow boron dopant region in the convex feature or the concave feature by diffusing boron from the patterned boron dopant layer to the convex feature or to the substrate in the concave feature by heat treatment;
Depositing a second dopant layer adjacent the patterned first dopant layer and in direct contact with the substrate, the second dopant layer containing a second dopant by atomic layer deposition, the first dopant layer and the second dopant layer And wherein said heat treatment diffuses said second dopant from said second dopant layer into said substrate to form an extremely shallow second dopant region in said substrate,
Lt; / RTI &gt;
Wherein the extremely shallow boron dopant region is in direct contact with the extremely shallow second dopant region,
Wherein one of the extremely shallow boron dopant region and the extremely shallow second dopant region forms a channel of the transistor and the other of the extremely shallow boron dopant region and the extremely shallow second dopant region forms a source / To form a dopant region.
제15항에 있어서, 상기 기판으로부터 상기 패턴화된 붕소 도펀트층을 제거하는 단계를 더 포함하는 도펀트 영역 형성 방법. 16. The method of claim 15, further comprising removing the patterned boron dopant layer from the substrate. 제15항에 있어서, 상기 붕소 도펀트층은 상기 산화물을 함유하며, 상기 반응 가스는 H20, 02, 또는 03, 또는 이들 중 2 이상의 조합을 포함하는 것인 도펀트 영역 형성 방법.16. The method of claim 15, wherein the boron dopant layer contains the oxide and the reaction gas comprises H 2 O, O 2 , or O 3 , or a combination of two or more of the foregoing. 제15항에 있어서, 상기 붕소 도펀트층은 상기 질화물을 함유하며, 상기 반응 가스는 NH3를 포함하는 것인 도펀트 영역 형성 방법.The method of claim 15, wherein the boron dopant layer is containing the nitride, the dopant region forming method in which the reaction gas comprises NH 3. 제15항에 있어서, 상기 붕소 도펀트층은 상기 산질화물을 함유하며, 상기 반응 가스는 a) H20, 02, 및 03 중 적어도 하나 및 NH3, 또는 b) NO, N02, 및 N20 중 적어도 하나, 또는 c) i) NO, N02, 및 N20 중 적어도 하나 및 ii) H20, 02, 03, 및 NH3 중 하나 이상을 포함하는 것인 도펀트 영역 형성 방법.The method of claim 15, wherein the boron dopant layer is containing the oxynitride, the reactant gas is a) H 2 0, 0 2 , and 03 and at least one NH 3, or b of) NO, N0 2, and N 2 O, or c) at least one of i) NO, NO 2 , and N 2 O and ii) at least one of H 2 O, O 2 , O 3 , and NH 3 . / RTI &gt; 제15항에 있어서, 상기 붕소 아미드는 LnB(NR1R2)3 형태의 붕소 화합물을 포함하며, 여기서 L은 중성 루이스(Lewis) 염기이며, n은 0 또는 1이며, R1 R2의 각각은 알킬, 아릴, 플루오로알킬, 플루오로아릴, 알콕시알킬, 및 아미노알킬로부터 선택되는 것인 도펀트 영역 형성 방법. The method of claim 15, wherein the boron amide comprises a boron compound in the L n B (NR 1 R 2 ) 3 type, in which L is a neutral Lewis (Lewis) base, n is 0 or 1, R 1, and Wherein each of R &lt; 2 &gt; is selected from alkyl, aryl, fluoroalkyl, fluoroaryl, alkoxyalkyl, and aminoalkyl.
KR1020187016837A 2011-03-31 2012-03-30 Method for forming ultra-shallow doping regions by solid phase diffusion KR101932897B1 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US13/077,688 2011-03-31
US13/077,721 2011-03-31
US13/077,721 US8569158B2 (en) 2011-03-31 2011-03-31 Method for forming ultra-shallow doping regions by solid phase diffusion
US13/077,688 US8580664B2 (en) 2011-03-31 2011-03-31 Method for forming ultra-shallow boron doping regions by solid phase diffusion
PCT/US2012/031410 WO2012135599A1 (en) 2011-03-31 2012-03-30 Method for forming ultra-shallow doping regions by solid phase diffusion

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020137028991A Division KR20140023960A (en) 2011-03-31 2012-03-30 Method for forming ultra-shallow doping regions by solid phase diffusion

Publications (2)

Publication Number Publication Date
KR20180070713A KR20180070713A (en) 2018-06-26
KR101932897B1 true KR101932897B1 (en) 2018-12-27

Family

ID=46931932

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020137028991A KR20140023960A (en) 2011-03-31 2012-03-30 Method for forming ultra-shallow doping regions by solid phase diffusion
KR1020187016837A KR101932897B1 (en) 2011-03-31 2012-03-30 Method for forming ultra-shallow doping regions by solid phase diffusion

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020137028991A KR20140023960A (en) 2011-03-31 2012-03-30 Method for forming ultra-shallow doping regions by solid phase diffusion

Country Status (4)

Country Link
JP (1) JP6085592B2 (en)
KR (2) KR20140023960A (en)
CN (1) CN103477419B (en)
WO (1) WO2012135599A1 (en)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9245754B2 (en) * 2014-05-28 2016-01-26 Mark E. Granahan Simplified charge balance in a semiconductor device
CN104282556B (en) * 2014-06-23 2017-06-23 上海先进半导体制造股份有限公司 The doping method of emitter bipolar transistor
US9640400B1 (en) * 2015-10-15 2017-05-02 Applied Materials, Inc. Conformal doping in 3D si structure using conformal dopant deposition
CN106960790A (en) * 2016-01-11 2017-07-18 中芯国际集成电路制造(上海)有限公司 A kind of semiconductor devices and its manufacture method, electronic installation
US10068981B2 (en) * 2016-03-02 2018-09-04 Lam Research Corporation Rare earth metal surface-activated plasma doping on semiconductor substrates
US10032628B2 (en) * 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
JP6630237B2 (en) 2016-06-06 2020-01-15 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus, and program
US9881918B1 (en) * 2016-09-30 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Forming doped regions in semiconductor strips
US10522354B2 (en) * 2017-06-08 2019-12-31 Lam Research Corporation Antimony co-doping with phosphorus to form ultrashallow junctions using atomic layer deposition and annealing
CN107369622B (en) * 2017-06-30 2020-02-14 上海集成电路研发中心有限公司 Preparation method of ultra-shallow junction
JP6988216B2 (en) * 2017-07-12 2022-01-05 富士電機株式会社 Manufacturing method of semiconductor device

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003158263A (en) * 2001-11-26 2003-05-30 Hitachi Ltd Method of manufacturing semiconductor device

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS551117A (en) * 1978-06-16 1980-01-07 Matsushita Electric Ind Co Ltd Manufacture of semiconductor device
JPH01165113A (en) * 1987-12-21 1989-06-29 Seiko Instr & Electron Ltd Formation of diffused layer
JPH07162014A (en) * 1993-12-07 1995-06-23 Honda Motor Co Ltd Manufacture of semiconductor device
JPH097962A (en) * 1995-06-15 1997-01-10 Hitachi Ltd Formation method of boron-doped layer and semiconductor device using it
US5994209A (en) * 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
JP2000091254A (en) * 1998-09-11 2000-03-31 Oki Electric Ind Co Ltd METHOD FOR DIFFUSING SOLID PHASE OF Zn AND LIGHT EMITTING ELEMENT USING THE SAME
JP2004031529A (en) * 2002-06-25 2004-01-29 Matsushita Electric Ind Co Ltd Semiconductor device and its manufacturing method
JP2006093216A (en) * 2004-09-21 2006-04-06 Toshiba Corp Semiconductor device
KR100704380B1 (en) * 2005-12-06 2007-04-09 한국전자통신연구원 Method of manufacturing a semiconductor device
US7968440B2 (en) * 2008-03-19 2011-06-28 The Board Of Trustees Of The University Of Illinois Preparation of ultra-shallow semiconductor junctions using intermediate temperature ramp rates and solid interfaces for defect engineering

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003158263A (en) * 2001-11-26 2003-05-30 Hitachi Ltd Method of manufacturing semiconductor device

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
"Atomic Layer Deposition Of Boron Oxide As Dopant Source For Shallow Doping Of Silicon", 217th ECS Meeting, Abstract #943, April 25th-30th, 2010*

Also Published As

Publication number Publication date
KR20140023960A (en) 2014-02-27
WO2012135599A1 (en) 2012-10-04
CN103477419B (en) 2017-07-07
KR20180070713A (en) 2018-06-26
CN103477419A (en) 2013-12-25
JP2014513416A (en) 2014-05-29
JP6085592B2 (en) 2017-02-22

Similar Documents

Publication Publication Date Title
US8877620B2 (en) Method for forming ultra-shallow doping regions by solid phase diffusion
US9012316B2 (en) Method for forming ultra-shallow boron doping regions by solid phase diffusion
KR101932897B1 (en) Method for forming ultra-shallow doping regions by solid phase diffusion
KR101852673B1 (en) Method of controlling solid phase diffusion of boron dopants to form ultra-shallow doping regions
KR101637718B1 (en) Fin structure of semiconductor device
US10199494B2 (en) Laterally diffused metal-oxide-semiconductor devices and fabrication methods thereof
US7893502B2 (en) Threshold voltage improvement employing fluorine implantation and adjustment oxide layer
JP2004158487A (en) Method of manufacturing semiconductor device
US20120214286A1 (en) Method for fabricating an nmos transistor
US20060081928A1 (en) Isolation spacer for thin SOI devices
US8395221B2 (en) Depletion-free MOS using atomic-layer doping
JP2004165470A (en) Semiconductor device and its manufacturing method
US9865731B2 (en) Semiconductor device and manufacturing method thereof
EP3291291B1 (en) Semiconductor device and fabrication method thereof
US20230058186A1 (en) Ultra-shallow dopant and ohmic contact regions by solid state diffusion
US20230061683A1 (en) Method of Forming 3-Dimensional Spacer
CN117153866B (en) Semiconductor device and manufacturing method thereof
KR20240051949A (en) Ultrafine dopants and ohmic contact areas by solid-state diffusion

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant