JPH09321307A - Semiconductor device - Google Patents

Semiconductor device

Info

Publication number
JPH09321307A
JPH09321307A JP13503796A JP13503796A JPH09321307A JP H09321307 A JPH09321307 A JP H09321307A JP 13503796 A JP13503796 A JP 13503796A JP 13503796 A JP13503796 A JP 13503796A JP H09321307 A JPH09321307 A JP H09321307A
Authority
JP
Japan
Prior art keywords
layer
sige
strain
semiconductor layer
channel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP13503796A
Other languages
Japanese (ja)
Other versions
JP3376211B2 (en
Inventor
Koji Usuda
宏治 臼田
Kiyoshi Imai
聖支 今井
Naoharu Sugiyama
直治 杉山
Tsutomu Tezuka
勉 手塚
Yoshiko Hiraoka
佳子 平岡
Atsushi Kurobe
篤 黒部
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP13503796A priority Critical patent/JP3376211B2/en
Publication of JPH09321307A publication Critical patent/JPH09321307A/en
Application granted granted Critical
Publication of JP3376211B2 publication Critical patent/JP3376211B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Junction Field-Effect Transistors (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a MOSFET having a structure enabling the forming of a strained Si layer having high quality and satisfactory stain, without losing the effect of the SOI structure. SOLUTION: A buried insulation layer 3 is inserted into a strain applied SiGe semiconductor layer 2 to form an upper and lower SiGe layers. A strained Si layer 4 is formed as a channel layer on the upper SiGe layer 2 which is made thin by the insulation layer 3. Before forming the Si layer 4, the SiGe layer 2 is heat treated to reduce defects such as dislocation produced in this layer 2 at forming of both layers 2 and 3.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は、MOSFETやH
EMT等のようにチャネルが誘起されるチャネル半導体
層を有する半導体素子を備えた半導体装置に関する。
TECHNICAL FIELD The present invention relates to a MOSFET and an H
The present invention relates to a semiconductor device including a semiconductor element having a channel semiconductor layer in which a channel is induced, such as EMT.

【0002】[0002]

【従来の技術】コンピュ−タ−や通信機器の重要部分に
は、多数のトランジスタや抵抗等を電気回路を達成する
ようにむすびつけ、1チップ上に集積化して形成した大
規模集積回路(LSI)が多用されている。このため、
機器全体の性能は、LSI単体の性能と大きく結び付い
ている。
2. Description of the Related Art An important part of a computer or a communication device is a large-scale integrated circuit (LSI) formed by integrating a large number of transistors, resistors, and the like so as to achieve an electric circuit. Is often used. For this reason,
The performance of the entire device is greatly linked to the performance of the LSI alone.

【0003】LSI単体の性能向上、例えば、Si系M
OSデバイス等で構成されるLSI単体の性能向上にお
いては、高速かつ低消費電力を特徴とするMOSFET
の改良が不可欠である。このため、例えば、電子移動度
等の電気的特性の向上を目的とした研究開発が精力的に
行なわれている。
[0003] Performance improvement of a single LSI, for example, Si-based M
In order to improve the performance of an LSI composed of OS devices, etc., MOSFETs featuring high speed and low power consumption
Improvement of is essential. For this reason, for example, research and development have been vigorously carried out for the purpose of improving electrical characteristics such as electron mobility.

【0004】しかし、チャネルが誘起されるチャネル半
導体層の構造についての検討は、その緒についたばかり
である。電子移動度を高めるための技術の1つとして、
チャネル半導体層に歪みをかける技術が知られている。
チャネル半導体層に歪みをかけると、そのバンド構造が
変化し、その結果、縮退が解けて電子散乱が抑制される
ので、電子移動度を高めることが可能となる。
However, the study on the structure of the channel semiconductor layer in which the channel is induced has just begun. As one of the technologies to increase electron mobility,
A technique of applying strain to a channel semiconductor layer is known.
When strain is applied to the channel semiconductor layer, its band structure changes, and as a result, degeneracy is released and electron scattering is suppressed, so that electron mobility can be increased.

【0005】具体的には、シリコン基板上にシリコンよ
りも格子定数の大きな材料からなる混晶層、例えば、G
e濃度20%のSiGe混晶層(以下、単にSiGe層
という)を形成し、このSiGe層上にチャネル半導体
層としてのシリコン層を形成すると、格子定数の違いに
より、歪みのかかったシリコン層(以下、歪みチャネル
層という)が形成される。このような歪みチャネル層を
用いると、無歪みチャネル層を用いた場合の約1.76
倍と大幅な電子移動度の向上を達成できることが報告さ
れている(J.Welser,J.L.Hoyt,S.Takagi, and J.F.Gibb
ons,IEDM 94-373 )。
Specifically, a mixed crystal layer made of a material having a lattice constant larger than that of silicon, such as G, is formed on a silicon substrate.
When a SiGe mixed crystal layer having an e concentration of 20% (hereinafter simply referred to as a SiGe layer) is formed and a silicon layer as a channel semiconductor layer is formed on this SiGe layer, a strained silicon layer ( Hereinafter, a strained channel layer) is formed. When such a strained channel layer is used, it is about 1.76 when a non-strained channel layer is used.
It has been reported that a double increase in electron mobility can be achieved (J.Welser, JLHoyt, S.Takagi, and JFGibb.
ons, IEDM 94-373).

【0006】他方、電子移動度の向上のために、MOS
FETの短チャネル化を進めると、浮遊容量の影響が大
きくなるため、期待通りに電子移動度を向上することは
困難になる。
On the other hand, in order to improve electron mobility, MOS
If the channel length of the FET is shortened, the influence of the stray capacitance increases, and it is difficult to improve the electron mobility as expected.

【0007】そこで、SOI(Silicon On Insulator)
基板にMOSFETを作成することが検討されている。
SOI基板の形成方法としては、張り合わせ基板等の幾
つかの方法が提案されているが、SOI基板の酸化層と
その上のシリコン層の各々の膜厚を最適な寸法に形成で
きる方法として、シリコン基板に酸素イオンを注入した
後、このシリコン基板に高温熱処理を施して該基板内部
に埋め込み酸化層を形成するという、通称SIMOX
(Separation by Implanted Oxygen)と呼ばれる方法が
広く用いられている。
Therefore, SOI (Silicon On Insulator)
Fabrication of MOSFETs on a substrate is under consideration.
As a method for forming an SOI substrate, several methods such as a bonded substrate have been proposed. As a method for forming the oxide layer of the SOI substrate and the silicon layer on the oxide layer to have optimum film thicknesses, a silicon substrate is used. After implanting oxygen ions into the substrate, the silicon substrate is subjected to high temperature heat treatment to form a buried oxide layer inside the substrate, which is commonly known as SIMOX.
A method called (Separation by Implanted Oxygen) is widely used.

【0008】図3に、SOI基板に作成したMOSFE
Tの断面構造を示す。図中、51はシリコン基板、52
は酸化層、53はシリコン層を示しており、これらはS
OI基板を構成している。
FIG. 3 shows a MOSFE formed on an SOI substrate.
A sectional structure of T is shown. In the figure, 51 is a silicon substrate, 52
Is an oxide layer and 53 is a silicon layer. These are S
It constitutes an OI substrate.

【0009】シリコン層53上にはSiGe混晶層(以
下、単にSiGe層という)54が形成され、このSi
Ge層54上には歪みシリコン層55が形成されてい
る。これらシリコン層53、SiGe層54および歪み
シリコン層55には酸化層52に達する素子分離絶縁膜
56が形成されている。
A SiGe mixed crystal layer (hereinafter simply referred to as SiGe layer) 54 is formed on the silicon layer 53.
A strained silicon layer 55 is formed on the Ge layer 54. An element isolation insulating film 56 reaching the oxide layer 52 is formed on the silicon layer 53, the SiGe layer 54, and the strained silicon layer 55.

【0010】歪みシリコン層55上にはゲート酸化膜5
7、ゲート電極58が順次形成されている。また、この
ゲート電極58をマスクに用いたイオン注入により、歪
みシリコン層55およびSiGe層54には、n型ソー
ス領域59およびn型ドレイン領域60が自己整合に形
成されている。
A gate oxide film 5 is formed on the strained silicon layer 55.
7 and a gate electrode 58 are sequentially formed. Further, an n-type source region 59 and an n-type drain region 60 are formed in the strained silicon layer 55 and the SiGe layer 54 in a self-aligned manner by ion implantation using the gate electrode 58 as a mask.

【0011】そして、全面にはゲート電極58を覆うよ
うに層間絶縁膜61が形成され、この層間絶縁膜61に
開口されたコンタクトホールを介してソース電極62、
ドレイン電極63がそれぞれn型ソース領域59、n型
ドレイン領域60に接続している。
An interlayer insulating film 61 is formed on the entire surface so as to cover the gate electrode 58, and a source electrode 62 is formed through a contact hole opened in the interlayer insulating film 61.
The drain electrode 63 is connected to the n-type source region 59 and the n-type drain region 60, respectively.

【0012】上述したようなチャネル半導体層に歪みシ
リコン層55を用い、基板にSOI基板を用いたMOS
FETを実現できれば、0.1μmルール以下の微細化
に対しても有効な素子特性が得られるようになる。すな
わち、短チャンネル効果を抑えながら電子移動度の向上
が図れるようになる。
A MOS in which the strained silicon layer 55 is used as the channel semiconductor layer and the SOI substrate is used as the substrate as described above.
If an FET can be realized, effective element characteristics can be obtained even with miniaturization of 0.1 μm rule or less. That is, the electron mobility can be improved while suppressing the short channel effect.

【0013】しかしながら、このようなMOSFETの
実現に際しては以下のような問題がある。十分な歪みを
有する歪みシリコン層55を得るには、厚いSiGe混
晶バッファ層(以下、単にSiGeバッファ層という)
を形成し、その上に高Ge濃度のSiGe層54を形成
する必要がある。例えば、シリコン層53に対して格子
定数が%オーダで異なる厚さ100nm程度のSiGe
バッファ層を形成する。
However, there are the following problems in realizing such a MOSFET. To obtain the strained silicon layer 55 having a sufficient strain, a thick SiGe mixed crystal buffer layer (hereinafter, simply referred to as SiGe buffer layer)
Must be formed, and the SiGe layer 54 having a high Ge concentration must be formed thereon. For example, SiGe having a thickness of about 100 nm, which has a lattice constant different from that of the silicon layer 53 by%
A buffer layer is formed.

【0014】しかし、下地のシリコン層53との格子不
整合によりSiGeバッファ層内にミスフィット転位や
貫通転位が発生し、これら転位がSiGe層54内に引
き継がれ、さらにこれら転位がSiGe層54上に形成
する歪みシリコン層55に引き継がれ、素子特性が劣化
するという問題が生じる。
However, due to the lattice mismatch with the underlying silicon layer 53, misfit dislocations and threading dislocations are generated in the SiGe buffer layer, and these dislocations are taken over in the SiGe layer 54, and these dislocations are further formed on the SiGe layer 54. Then, the strained silicon layer 55 formed in the above step takes over, resulting in a problem that the device characteristics are deteriorated.

【0015】仮にSiGeバッファ層の結晶成長が問題
なく行なわれ、SiGeバッファ層内にミスフィット転
位や貫通転位が発生しなくても、後工程における高熱の
熱処理中で緩和が生じて、結果的に転位が生じる可能性
もある。
Even if crystal growth of the SiGe buffer layer is carried out without any problem and misfit dislocations or threading dislocations do not occur in the SiGe buffer layer, relaxation occurs during high-temperature heat treatment in the subsequent step, and as a result, Dislocations may also occur.

【0016】したがって、十分な歪みを有する歪みシリ
コン層55を得るには、SiGe層54がシリコン層5
3から受ける歪みを解放した、つまり、SiGe層54
が緩和した状態で、SiGe層54上にシリコンを成長
させて歪みシリコン層55を形成することが望まれる。
Therefore, in order to obtain the strained silicon layer 55 having a sufficient strain, the SiGe layer 54 should be replaced with the silicon layer 5.
3 is released, that is, the SiGe layer 54 is released.
Is relaxed, it is desired to grow silicon on the SiGe layer 54 to form the strained silicon layer 55.

【0017】これを実現するためには、SiGeバッフ
ァ層として、シリコン層53から遠ざかるに従って徐々
にGe濃度が高くなる厚い傾斜組成SiGe層を作成
し、この傾斜組成SiGe層上にSiGe層54、歪み
シリコン層55を順次形成することが必要となる。
In order to realize this, as the SiGe buffer layer, a thick graded composition SiGe layer in which the Ge concentration gradually increases as the distance from the silicon layer 53 is increased, and the SiGe layer 54 and the strain are formed on the graded composition SiGe layer. It is necessary to sequentially form the silicon layer 55.

【0018】この厚い傾斜組成SiGe層では、貫通転
位、ミスフィット転位等の転位が該層中に閉じ込められ
る。また、SiGe層54を形成する傾斜組成SiGe
層の表面は十分に緩和している。したがって、表面に転
位がなく、かつ歪みシリコン層55からの歪みが解放さ
れたSiGe層54が得られ、これにより転位がなく十
分な歪みを有する歪みシリコン層55を形成できるよう
になる。しかしながら、このSiGeバッファ層の厚み
は、およそ1μm程度となる。
In this thick graded composition SiGe layer, dislocations such as threading dislocations and misfit dislocations are confined in the layer. Further, a graded composition SiGe forming the SiGe layer 54 is used.
The surface of the layer is fully relaxed. Therefore, the SiGe layer 54 having no dislocation on the surface and the strain released from the strained silicon layer 55 is obtained, and thus the strained silicon layer 55 having no dislocation and having sufficient strain can be formed. However, the thickness of this SiGe buffer layer is about 1 μm.

【0019】一方、浮遊容量の低減などのSOI基板の
効果を得るためには、SOI基板のSOI層の厚み(シ
リコン層53の膜厚とSiGe層54の膜厚と歪みシリ
コン層55の膜厚の合計)は0.1μm程度以下である
必要がある。
On the other hand, in order to obtain the effect of the SOI substrate such as the reduction of the stray capacitance, the thickness of the SOI layer of the SOI substrate (the thickness of the silicon layer 53, the thickness of the SiGe layer 54 and the thickness of the strained silicon layer 55). Must be about 0.1 μm or less.

【0020】したがって、上述したような厚いSiGe
バッファ層(傾斜組成SiGe層)を形成した後に、歪
みシリコン層を形成したのでは、SOI基板の効果を享
受できないという問題が生じる。
Therefore, the thick SiGe as described above
If the strained silicon layer is formed after forming the buffer layer (graded composition SiGe layer), the effect of the SOI substrate cannot be obtained.

【0021】さらに、上述した厚いSiGeバッファ層
(傾斜組成SiGe層)を形成するには、結晶成長時間
がかかるという問題がある。また、表面ラフネスが増加
し、その上に形成する歪みシリコン層55の膜質が低下
するという問題もある。
Further, there is a problem that it takes a crystal growth time to form the thick SiGe buffer layer (gradient composition SiGe layer) described above. There is also a problem that the surface roughness increases and the film quality of the strained silicon layer 55 formed thereon deteriorates.

【0022】[0022]

【発明が解決しようとする課題】上述の如く、チャネル
半導体層に歪みシリコン層を用い、基板にSOI基板を
用いたMOSFETを実現できれば、0.1μmルール
以下の微細化に対しても、短チャンネル効果を抑えなが
ら電子移動度の向上が図れるとともに、ドレイン電流も
大きく取れるようになる。
As described above, if a MOSFET in which a strained silicon layer is used as a channel semiconductor layer and an SOI substrate is used as a substrate can be realized, a short channel can be obtained even with a miniaturization of 0.1 μm rule or less. The electron mobility can be improved while suppressing the effect, and a large drain current can be obtained.

【0023】転位がなく十分な歪みを有する歪みシリコ
ン層の形成方法として、SiGeバッファ層としての厚
い傾斜組成SiGe層上にSiGe層を形成し、このS
iGe層上にシリコンを成長させて歪みシリコン層を形
成する方法が知られている。
As a method of forming a strained silicon layer having no dislocation and having a sufficient strain, a SiGe layer is formed on a thick gradient composition SiGe layer as a SiGe buffer layer, and this S
A method of growing silicon on an iGe layer to form a strained silicon layer is known.

【0024】しかし、厚い傾斜組成SiGe層を形成す
ることにより、歪みシリコン層とSOI構造を構成する
酸化層との間が大きくなり、SOI構造の効果が得られ
なくなるという問題があった。
However, by forming a thick gradient composition SiGe layer, the distance between the strained silicon layer and the oxide layer constituting the SOI structure becomes large, and there is a problem that the effect of the SOI structure cannot be obtained.

【0025】本発明は、上記事情を考慮してなされたも
ので、その目的とするところは、SOI構造による効果
を失わずに、高品質で十分な歪みを有するチャネル半導
体層を形成できる構造を有する半導体装置を提供するこ
とにある。
The present invention has been made in consideration of the above circumstances, and an object thereof is to provide a structure capable of forming a channel semiconductor layer having high quality and sufficient strain without losing the effect of the SOI structure. It is to provide a semiconductor device having the same.

【0026】[0026]

【課題を解決するための手段】[Means for Solving the Problems]

[概要]上記目的を達成するために、本発明に係る半導
体装置(請求項1)は、チャネルが誘起されるチャネル
半導体層と、格子定数が前記チャネル半導体層のそれと
異なり、前記チャネル半導体層に歪みを印加する歪み印
加半導体層と、この歪み印加半導体層内に形成された絶
縁層とを備えていることを特徴とする。
[Outline] In order to achieve the above object, a semiconductor device according to the present invention (claim 1) has a channel semiconductor layer in which a channel is induced and a lattice constant different from that of the channel semiconductor layer. It is characterized in that it is provided with a strain applying semiconductor layer for applying strain and an insulating layer formed in the strain applying semiconductor layer.

【0027】また、本発明に係る他の半導体装置(請求
項2)は、上記半導体装置(請求項1)において、前記
チャネル半導体層がシリコン層、前記歪み印加半導体層
がシリコンゲルマニウム層であることを特徴とする。
Another semiconductor device according to the present invention (claim 2) is that in the semiconductor device (claim 1), the channel semiconductor layer is a silicon layer and the strain applying semiconductor layer is a silicon germanium layer. Is characterized by.

【0028】この場合、上記絶縁層はSIMOX法によ
り形成することが好ましい。また、本発明に係る他の半
導体装置(請求項3)は、上記半導体装置(請求項1)
において、前記チャネル半導体層が、MOSFETのチ
ャネルが誘起される半導体層であることを特徴とする。
In this case, the insulating layer is preferably formed by SIMOX method. Another semiconductor device according to the present invention (claim 3) is the above semiconductor device (claim 1).
In the above, the channel semiconductor layer is a semiconductor layer in which a channel of a MOSFET is induced.

【0029】[作用]本発明の如きの構造によれば、例
えば、以下のような形成方法により、SOI構造による
効果を失わずに、十分な歪みを有するチャネル半導体層
を形成できるようになる。
[Operation] According to the structure of the present invention, the channel semiconductor layer having a sufficient strain can be formed by the following forming method without losing the effect of the SOI structure.

【0030】すなわち、まず、後工程で形成するチャネ
ル半導体層に十分な歪みを与えることができる歪み印加
半導体層を形成する。これは例えば歪み印加半導体層が
SiGe層の場合であればGe濃度を高くすれば良い。
That is, first, a strain applying semiconductor layer capable of giving a sufficient strain to a channel semiconductor layer formed in a later step is formed. For example, if the strain applying semiconductor layer is a SiGe layer, the Ge concentration may be increased.

【0031】次に歪み印加半導体層内に絶縁層を形成す
る。これは例えば酸素イオンを歪み印加半導体層内に注
入した後、アニール処理を行なって形成する。この結
果、歪み印加半導体層は絶縁層により上下二つに分離さ
れ、上部歪み印加半導体層/絶縁層/下部歪み印加半導
体層が構造できる。
Next, an insulating layer is formed in the strain applying semiconductor layer. This is formed, for example, by implanting oxygen ions into the strain-applied semiconductor layer and then performing annealing treatment. As a result, the strain applying semiconductor layer is divided into upper and lower parts by the insulating layer, and an upper strain applying semiconductor layer / an insulating layer / a lower strain applying semiconductor layer can be structured.

【0032】このとき、絶縁層、上部歪み印加半導体層
および後工程で形成するチャネル半導体層からなるSO
I構造と同じ効果を享受できるように、絶縁層を形成す
る位置の深さを選ぶ。すなわち、SOI構造による効果
を享受できる程度の薄い上部歪み印加層が得られるよう
に、歪み印加半導体層内に絶縁層を形成する。
At this time, SO composed of an insulating layer, an upper strain applying semiconductor layer and a channel semiconductor layer formed in a later step.
The depth of the position where the insulating layer is formed is selected so that the same effect as the I structure can be obtained. That is, an insulating layer is formed in the strain-applied semiconductor layer so that an upper strain-applied layer that is thin enough to obtain the effect of the SOI structure can be obtained.

【0033】さらに、上記アニール処理により、歪み印
加半導体層の形成時や絶縁層の形成時に、歪み印加半導
体層内に発生した転位等の欠陥が減少する。これによ
り、従来の厚い歪み印加半導体層と同程度数以下の欠陥
を有する高品質な薄い歪み印加半導体層が得られる。
Further, the annealing treatment reduces defects such as dislocations generated in the strain-applied semiconductor layer during formation of the strain-applied semiconductor layer or formation of the insulating layer. As a result, a high-quality thin strain-applied semiconductor layer having defects equal to or less than those of the conventional thick strain-applied semiconductor layer can be obtained.

【0034】最後に、高品質な薄い歪み印加半導体層
(上部歪み印加半導体層)上にチャネル半導体層を形成
する。ここで、上部歪み印加半導体層は、上述したよう
に、高品質でチャネル半導体層に十分な歪みを与えるこ
とができるように形成されているので、高品質で十分な
歪みを有するチャネル半導体層が形成されることにな
る。しかも、チャネル半導体層に歪みを印加する上部歪
み印加層は薄いので、SOI構造と同等の効果は得られ
る。したがって、SOI構造と同等の効果を失わずに、
高品質で十分な歪みを有するチャネル半導体層を形成で
きることになる。
Finally, a channel semiconductor layer is formed on the high quality thin strain applied semiconductor layer (upper strain applied semiconductor layer). Here, since the upper strain application semiconductor layer is formed so as to be able to give sufficient strain to the channel semiconductor layer with high quality, as described above, a channel semiconductor layer with high quality and sufficient strain can be formed. Will be formed. Moreover, since the upper strain applying layer for applying strain to the channel semiconductor layer is thin, the same effect as the SOI structure can be obtained. Therefore, without losing the same effect as the SOI structure,
This makes it possible to form a channel semiconductor layer having high quality and sufficient strain.

【0035】[0035]

【発明の実施の形態】以下、図面を参照しながら本発明
の実施の形態(以下、実施形態という)を説明する。 (第1の実施形態)先ず、本発明の基本的な考えについ
て説明する。図1に、本発明をSi系MOSFETに適
用した場合のプロセスフローを従来法のそれと比較して
示す。この例では歪み印加半導体層としてSiGe層を
用いている。
BEST MODE FOR CARRYING OUT THE INVENTION Embodiments of the present invention (hereinafter referred to as embodiments) will be described below with reference to the drawings. (First Embodiment) First, the basic idea of the present invention will be described. FIG. 1 shows a process flow when the present invention is applied to a Si-based MOSFET in comparison with that of a conventional method. In this example, a SiGe layer is used as the strain applying semiconductor layer.

【0036】従来法では、まず、シリコン基板に酸素イ
オンを注入し、このシリコン基板にアニール処理を施し
てシリコン基板内に酸化層を形成することにより、つま
り、SIMOX法によりSOI基板を形成する。
In the conventional method, first, oxygen ions are implanted into a silicon substrate and the silicon substrate is annealed to form an oxide layer in the silicon substrate, that is, an SOI substrate is formed by the SIMOX method.

【0037】次にSiGeバッファ層としてSOI基板
から離れるに従って結晶中のGe濃度を徐々に高くなる
傾斜組成SiGe層をSOI基板上に形成する。次にS
iGeバッファ層上にSiGeを成長させて所望のGe
濃度を有するSiGe層を形成する。
Next, a graded composition SiGe layer is formed as a SiGe buffer layer on the SOI substrate such that the Ge concentration in the crystal gradually increases as the distance from the SOI substrate increases. Then S
SiGe is grown on the iGe buffer layer to obtain the desired Ge.
A SiGe layer having a concentration is formed.

【0038】最後に、SiGe層上にシリコンを成長さ
せて歪みシリコン層を形成した後、この歪みシリコン層
をチャネル半導体層とするMOSFETを形成する。こ
れに対し、本発明では、まず、シリコン基板上にSiG
eを成長させて歪み印加半導体層としてのSiGe層を
形成する。このとき、SiGe層のGe濃度は、後工程
で形成する歪みシリコン層の歪みの大きさが十分に大き
くなるように選ぶ。
Finally, after growing silicon on the SiGe layer to form a strained silicon layer, a MOSFET having the strained silicon layer as a channel semiconductor layer is formed. On the other hand, in the present invention, first, SiG is formed on the silicon substrate.
e is grown to form a SiGe layer as a strain application semiconductor layer. At this time, the Ge concentration of the SiGe layer is selected so that the magnitude of strain of the strained silicon layer formed in a later step becomes sufficiently large.

【0039】次にSiGe層に酸素イオンを注入した
後、このSiGe層にアニール処理を施すことにより、
SiGe層内に埋め込み絶縁層を形成する。この結果、
SiGe層は埋め込み絶縁層により上下二つに分離され
る。以下、分離された上側のSiGe層を上部SiGe
層、下側のSiGe層を下部SiGe層という。
Next, after implanting oxygen ions into the SiGe layer, the SiGe layer is annealed to obtain
A buried insulating layer is formed in the SiGe layer. As a result,
The SiGe layer is separated into upper and lower parts by the buried insulating layer. Hereinafter, the separated upper SiGe layer is referred to as the upper SiGe layer.
Layer, the lower SiGe layer is referred to as the lower SiGe layer.

【0040】この工程時に、上部SiGe層の膜厚が薄
くなるように、埋め込み絶縁層をSiGe層の浅い位置
に形成する。これにより、埋め込み絶縁層と次の工程で
形成する歪みシリコン層との間を短くできるので、埋め
込み絶縁層、上部SiGe層および歪みシリコン層によ
り構成されるSOI構造と同等の浮遊容量低減等の効果
を享受できるようになる。
At this step, the buried insulating layer is formed at a shallow position of the SiGe layer so that the film thickness of the upper SiGe layer becomes thin. As a result, the distance between the buried insulating layer and the strained silicon layer formed in the next step can be shortened, so that an effect similar to that of the SOI structure formed by the buried insulating layer, the upper SiGe layer, and the strained silicon layer can be reduced. Will be able to enjoy.

【0041】さらに、SiGe層に酸素イオンを注入し
た後のアニール処理により、SiGe層の形成時および
酸素イオン注入時に生じた転位等の欠陥を修復できるの
で、SiGeバッファ層を形成しなくても、高品質な上
部SiGe層、下側SiGe層が得られる。
Further, by performing an annealing treatment after implanting oxygen ions into the SiGe layer, defects such as dislocations generated at the time of forming the SiGe layer and at the time of implanting oxygen ions can be repaired. Therefore, even if the SiGe buffer layer is not formed. A high quality upper SiGe layer and a lower quality SiGe layer are obtained.

【0042】したがって、従来よりも少ない工程数(1
工程短縮)で、SOI構造と同等の効果を失わずに、高
品質で大きな歪みを有する歪みシリコン層を形成できる
高品質で薄い上部SiGe層(歪み印加半導体層)が得
られることになる。
Therefore, the number of steps (1
By shortening the process, it is possible to obtain a high-quality thin upper SiGe layer (strain-applied semiconductor layer) capable of forming a high-quality strained silicon layer having a large strain without losing the same effect as the SOI structure.

【0043】最後に、上部SiGe層上にシリコンを成
長させて歪みシリコン層を形成した後、この歪みシリコ
ン層をチャネル半導体層とするMOSFETを形成す
る。なお、上部SiGe層上に新たなSiGe層を形成
し、このSiGe層上に歪みシリコン層を形成した後、
この歪みシリコン層にMOSFETを形成しても良い。
この場合、より高品質なSiGe層が得られるので、さ
らに素子特性の優れたMOSFETを形成できるように
なる。
Finally, after growing silicon on the upper SiGe layer to form a strained silicon layer, a MOSFET having the strained silicon layer as a channel semiconductor layer is formed. After forming a new SiGe layer on the upper SiGe layer and forming a strained silicon layer on this SiGe layer,
A MOSFET may be formed on this strained silicon layer.
In this case, since a higher quality SiGe layer is obtained, it becomes possible to form a MOSFET having more excellent device characteristics.

【0044】次に本発明の具体的な実施形態について説
明する。図2は、本発明の一実施形態に係るn型MOS
FETの素子構造を示す断面図である。これを製造工程
に従い説明すると、まず、例えば、RCA法等の洗浄法
を用いて自然酸化膜等が除去された清浄なシリコン基板
1を準備する。
Next, specific embodiments of the present invention will be described. FIG. 2 shows an n-type MOS according to an embodiment of the present invention.
It is sectional drawing which shows the element structure of FET. This will be described according to the manufacturing process. First, for example, a clean silicon substrate 1 from which a natural oxide film and the like have been removed by using a cleaning method such as the RCA method is prepared.

【0045】次にシリコン基板1上に厚さ1μm程度の
SiGe層2を形成する。SiGe層2のGe濃度は、
後工程で形成する歪みシリコン層4の歪みが十分に大き
くなるように高くする。
Next, a SiGe layer 2 having a thickness of about 1 μm is formed on the silicon substrate 1. The Ge concentration of the SiGe layer 2 is
The strain is increased so that the strain of the strained silicon layer 4 formed in the subsequent step becomes sufficiently large.

【0046】ここで、Ge濃度を急激に増加させながら
SiGe層2を形成すると、シリコン基板1とSiGe
層2の格子定数の違いにより生じる格子不整合によっ
て、SiGe層2中に無用の貫通転位、あるいはミスフ
ィット転位を含む欠陥を誘起することになるので、Ge
濃度はSiGe層2の中で徐々に増加させ、表面で所望
濃度となるようにすることが好ましい。
Here, when the SiGe layer 2 is formed while the Ge concentration is rapidly increased, the silicon substrate 1 and the SiGe layer are formed.
Due to the lattice mismatch caused by the difference in the lattice constant of the layer 2, defects that include unnecessary threading dislocations or misfit dislocations are induced in the SiGe layer 2, so Ge
It is preferable that the concentration is gradually increased in the SiGe layer 2 so that the surface has a desired concentration.

【0047】膜厚1μmという値は、SiGe層2のデ
バイス側に近い部分のGe組成比を0.3と設計すると
きに用いる典型的な値である。Ge組成比は大きい方が
良く、0.2を大きく下回る場合には、SiGe層2上
に形成するMOSFETの移動度の顕著な向上は期待で
きない。また、0.5を大きく越える場合には、SiG
e層2の表面凹凸(表面ラフネス)の増加や、膜質の低
下等の問題が生じる可能性がある。これらの点を考慮し
てGe組成比を設定すれば、本発明の効果はより顕著に
発揮されるようになる。
The value of 1 μm is a typical value used when designing the Ge composition ratio of the portion of the SiGe layer 2 close to the device side to be 0.3. The larger the Ge composition ratio, the better. If it is much lower than 0.2, the mobility of the MOSFET formed on the SiGe layer 2 cannot be significantly improved. If it exceeds 0.5, SiG
There is a possibility that problems such as an increase in surface irregularities (surface roughness) of the e-layer 2 and deterioration of film quality may occur. If the Ge composition ratio is set in consideration of these points, the effect of the present invention becomes more prominent.

【0048】SiGe層2の具体的な成膜方法は以下の
通りである。すなわち、原料としてSiH4 およびGe
4 を用い、成長温度を500℃に設定し、成長圧力を
10-3Paに設定して、真空容器中でCVD法により形
成する。
The specific film forming method of the SiGe layer 2 is as follows. That is, SiH 4 and Ge are used as raw materials.
Using H 4 , the growth temperature is set to 500 ° C., the growth pressure is set to 10 −3 Pa, and the film is formed by the CVD method in a vacuum container.

【0049】SiGeを成長させるには、このようなC
VD法や、MBE(Molecular BeamEpitaxy)法等のエ
ピタキシャル成長法が広く用いられるが、Ge組成比の
制御が可能な結晶成長方法であれば、他の成膜法を用い
ても良い。
To grow SiGe, such C
Although an epitaxial growth method such as a VD method and an MBE (Molecular Beam Epitaxy) method is widely used, another film formation method may be used as long as it is a crystal growth method capable of controlling the Ge composition ratio.

【0050】例えば、LPE(Liquid Phase Epitaxy)
法等の液相成長法や、ポリSiGe層あるいはアモルフ
ァスSiGe層の加熱による固相成長法でもSiGe層
2を形成できる。
For example, LPE (Liquid Phase Epitaxy)
The SiGe layer 2 can also be formed by a liquid phase growth method such as a method or a solid phase growth method by heating a poly SiGe layer or an amorphous SiGe layer.

【0051】また、ここでは、真空下(成長圧力10-3
Pa)でのCVD法の場合について説明したが、数百T
orrの成長圧力による減圧あるいは常圧、加圧下でも
成長が可能である。
Further, here, under vacuum (growth pressure 10 −3
Although the case of the CVD method in Pa) was explained, several hundred T
The growth can be performed under reduced pressure by the growth pressure of orr or under normal pressure or pressure.

【0052】Si原料としてはSiH4 、Si26
Si24 Cl2 等、Ge原料としてはGeH4 、Ge
4 、Ge28 等が適している。これら原料のガスは
キャリアガスを用いて真空容器内に導入しても良い。キ
ャリアガスとしては、例えば、水素ガス、窒素ガス、ヘ
リウムガスまたはアルゴン等の不活性ガス等があげられ
る。
As the Si raw material, SiH 4 , Si 2 H 6 ,
Si 2 H 4 Cl 2, etc., GeH 4 , Ge as a Ge raw material
F 4 , Ge 2 H 8 and the like are suitable. These raw material gases may be introduced into the vacuum container using a carrier gas. Examples of the carrier gas include hydrogen gas, nitrogen gas, helium gas, inert gas such as argon, and the like.

【0053】また、原料を予めプラズマ、光等により分
解して、成長に必要なエネルギーを有する成長に寄与す
る種を生成し、これを結晶成長に利用しても良い。ま
た、SiGe層2を形成する際に、B、As、P等の不
純物源となるB26 、AsH3 、PH3 等を原料と同
時に真空容器内に導入して、SiGe層2が所定の導電
型になるようにしても良いし、あるいはSiGe層2を
形成した後にB、As、P等を拡散によりSiGe層2
内に導入して、SiGe層2が所定の導電型になるよう
にしても良い。また、B、As、P以外にGa、Sb、
Sn、Al、N等を用いても良い。
Alternatively, the raw material may be decomposed in advance by plasma, light or the like to generate seeds having energy necessary for growth and contributing to growth, and the seeds may be used for crystal growth. Further, when the SiGe layer 2 is formed, B 2 H 6 , AsH 3 , PH 3 and the like, which are impurity sources of B, As, P, etc., are introduced into the vacuum container at the same time as the raw materials so that the SiGe layer 2 is formed to a predetermined size. Of the conductivity type, or after the SiGe layer 2 is formed, B, As, P, etc. are diffused to form the SiGe layer 2.
The SiGe layer 2 may be introduced into the inside so that the SiGe layer 2 has a predetermined conductivity type. In addition to B, As, P, Ga, Sb,
Sn, Al, N or the like may be used.

【0054】次にドーズ量5×1017cm-2の条件で酸
素イオンをSiGe層2の上から注入した後、1300
℃のアニール処理を施して、良好な埋め込み絶縁層3を
SiGe層2内に形成する。
Next, oxygen ions were implanted from above the SiGe layer 2 under the condition of a dose amount of 5 × 10 17 cm -2 , and then 1300.
A good buried insulating layer 3 is formed in the SiGe layer 2 by annealing at a temperature of ℃.

【0055】SiGe層2は埋め込み絶縁層3により上
下二つに分離される。以下、分離された上側のSiGe
層2を上部SiGe層2、下側のSiGe層2を下部S
iGe層2という。
The SiGe layer 2 is separated into upper and lower parts by the buried insulating layer 3. Below, the separated upper SiGe
The layer 2 is the upper SiGe layer 2, and the lower SiGe layer 2 is the lower S
It is called iGe layer 2.

【0056】この工程時に、上部SiGe層2の膜厚が
薄くなるように、埋め込み絶縁層3をSiGe層2の浅
い位置に形成する。また、上記アニール処理でSiGe
層2内の転位等の欠陥が修復され、高品質なSiGe層
2が形成される。
At this step, the buried insulating layer 3 is formed at a shallow position of the SiGe layer 2 so that the film thickness of the upper SiGe layer 2 becomes thin. In addition, the above annealing treatment causes SiGe
Defects such as dislocations in the layer 2 are repaired and a high quality SiGe layer 2 is formed.

【0057】したがって、埋め込み絶縁層3上には、歪
み印加半導体層として、高品質で薄い上部SiGe層2
が形成されることになる。次に成長温度を500℃に設
定してCVD法により上部SiGe層2上にシリコンを
成長させて厚さ30nmの歪みシリコン層4を形成す
る。この歪みシリコン層4の歪みは引っ張り歪みであ
る。
Therefore, on the buried insulating layer 3, a high quality and thin upper SiGe layer 2 is formed as a strain applying semiconductor layer.
Is formed. Next, the growth temperature is set to 500 ° C. and silicon is grown on the upper SiGe layer 2 by the CVD method to form the strained silicon layer 4 having a thickness of 30 nm. The strain of the strained silicon layer 4 is tensile strain.

【0058】上部SiGe層2のGe濃度は高いので、
歪みシリコン層4は、電子移動度の向上を図るのに十分
な大きさの引っ張り歪みを有したものとなる。さらに、
上部SiGe層2内の転位等の欠陥は低減されているの
で、高品質な歪みシリコン層4が形成される。
Since the Ge concentration of the upper SiGe layer 2 is high,
The strained silicon layer 4 has a tensile strain that is large enough to improve the electron mobility. further,
Since defects such as dislocations in the upper SiGe layer 2 are reduced, a high quality strained silicon layer 4 is formed.

【0059】さらまた、本実施形態では、埋め込み絶縁
層3、上部SiGe層2および歪みシリコン層4により
SOI構造(SiGe On Insulator 構造)が形成されてい
るが、上部SiGe層2の膜厚は薄いので、上記SOI
構造による浮遊容量低減等の効果は十分に発揮される。
Furthermore, in this embodiment, the SOI structure (SiGe On Insulator structure) is formed by the buried insulating layer 3, the upper SiGe layer 2 and the strained silicon layer 4, but the upper SiGe layer 2 is thin. So the above SOI
The effect of reducing the stray capacitance due to the structure is sufficiently exhibited.

【0060】したがって、本実施形態によれば、上記S
OI構造の利点およびチャネル層として歪みシリコン層
を用いた利点を有するMOSFETを実現できるように
なる。
Therefore, according to this embodiment, the above S
It becomes possible to realize a MOSFET having the advantages of the OI structure and the advantages of using the strained silicon layer as the channel layer.

【0061】また、MOSFETの短チャネル効果の抑
制または駆動電流の向上、あるいはこれらを同時に効果
的に図るためには、歪みシリコン層4の膜厚は20nm
以下であることが望ましい。
Further, in order to suppress the short channel effect of the MOSFET, improve the driving current, or effectively achieve these simultaneously, the thickness of the strained silicon layer 4 is 20 nm.
It is desirable that:

【0062】次にトレンチ分離法により素子分離絶縁膜
5を形成する。なお、トレンチ分離法の代わりにLOC
OS分離法等の他の素子分離法を用いても良い。この素
子分離絶縁膜5により、n型MOSFETの形成予定領
域と、これに隣り合う別のデバイス、例えば、p型MO
SFETの形成予定領域とが分離される。
Next, the element isolation insulating film 5 is formed by the trench isolation method. LOC is used instead of the trench isolation method.
Other element isolation methods such as the OS isolation method may be used. By this element isolation insulating film 5, a region in which an n-type MOSFET is to be formed and another device adjacent thereto are formed, for example, a p-type MO.
The SFET formation planned region is separated.

【0063】次に歪みシリコン層4の表面を熱酸化して
できるだけ薄いゲート酸化膜6を形成する。ゲート酸化
膜6の膜厚は10nm程度以下であることが望ましい。
次にしきい値電圧調整用の不純物イオンをゲート酸化膜
6を介してチャネル領域に注入し、n型チャネル領域を
形成する。
Next, the surface of the strained silicon layer 4 is thermally oxidized to form the gate oxide film 6 as thin as possible. The film thickness of the gate oxide film 6 is preferably about 10 nm or less.
Next, impurity ions for adjusting the threshold voltage are implanted into the channel region through the gate oxide film 6 to form an n-type channel region.

【0064】次にゲート酸化膜6上にゲート電極7とな
る多結晶シリコン膜を減圧CVD法により形成した後、
上記多結晶シリコン膜を反応性イオンエッチング(RI
E)等の異方性エッチングによりパターニングして、ゲ
ート電極7を形成する。このとき、ゲート酸化膜6も同
様にパターニングし、ゲート電極7下以外のゲート酸化
膜6を除去する。
Next, after forming a polycrystalline silicon film to be the gate electrode 7 on the gate oxide film 6 by the low pressure CVD method,
Reactive ion etching (RI
The gate electrode 7 is formed by patterning by anisotropic etching such as E). At this time, the gate oxide film 6 is similarly patterned to remove the gate oxide film 6 except under the gate electrode 7.

【0065】次にゲート電極7をマスクにして、n型M
OSFET形成領域にリンイオン等のn型不純物イオン
を選択的に注入した後、800℃程度のアニール処理を
施して、n型ソース領域8、n型ドレイン領域9を自己
整合的に形成する。
Next, using the gate electrode 7 as a mask, an n-type M
After selectively implanting n-type impurity ions such as phosphorus ions into the OSFET formation region, annealing is performed at about 800 ° C. to form the n-type source region 8 and the n-type drain region 9 in a self-aligned manner.

【0066】次に全面にシリコン酸化膜またはシリコン
窒化膜などの層間絶縁膜10をCVD法により形成した
後、この層間絶縁膜10にゲート領域、ソース領域、ド
レイン領域に対するコンタクトホールを開口する。
Next, after an interlayer insulating film 10 such as a silicon oxide film or a silicon nitride film is formed on the entire surface by the CVD method, contact holes for the gate region, the source region and the drain region are opened in this interlayer insulating film 10.

【0067】最後に、全面にAl膜等の導電膜を堆積し
た後、この導電膜をパターニングして、ソース電極1
1、ドレイン電極12、ゲート引き出し電極(不図示)
を形成して、n型MOSFETが完成する。
Finally, after depositing a conductive film such as an Al film on the entire surface, the conductive film is patterned to form the source electrode 1.
1, drain electrode 12, gate extraction electrode (not shown)
Are formed to complete the n-type MOSFET.

【0068】以上述べたように本実施形態によれば、S
OI構造による効果およびチャネル層として歪みシリコ
ン層を用いた効果を同時に得られるMOSFETを実現
できるようになる。これにより、微細化を進めても期待
通りの素子特性を有するMOSFETの実現が可能とな
る。
As described above, according to this embodiment, S
It becomes possible to realize a MOSFET that can simultaneously obtain the effect of the OI structure and the effect of using the strained silicon layer as the channel layer. As a result, it is possible to realize a MOSFET having the expected device characteristics even if miniaturization is advanced.

【0069】なお、本発明は上述した実施形態に限定さ
れるものではない。例えば、上記実施形態では、歪み印
加半導体層として、SiGe層を用いた場合について説
明したが、SiGe層の代わりに、SiCやSiN等の
ようにSiと他の元素との混晶層、ZnSe層等の II-
VI族混晶層もしくはGaAsやInP等の III-V族混晶
層などの互いに格子定数の異なる材料からなる混晶層で
も良い。
The present invention is not limited to the above embodiment. For example, in the above embodiment, the case where the SiGe layer is used as the strain application semiconductor layer has been described, but instead of the SiGe layer, a mixed crystal layer of Si and another element such as SiC or SiN, a ZnSe layer. II-
A mixed crystal layer made of materials having different lattice constants, such as a Group VI mixed crystal layer or a III-V mixed crystal layer such as GaAs or InP, may be used.

【0070】また、上記実施形態では、MOSFETの
場合について説明したが、本発明はチャネル半導体層に
歪みを印加することが可能な構造の半導体素子を有する
半導体装置であれば適用できる。
In the above embodiment, the case of the MOSFET has been described, but the present invention can be applied to any semiconductor device having a semiconductor element having a structure capable of applying strain to the channel semiconductor layer.

【0071】例えば、MOS構造を有するCMOSやB
iCMOS等の半導体素子や、HEMT(High Elector
on Mobility Transistor)を有する半導体装置にも適用
できる。その他、本発明の要旨を逸脱しない範囲で、種
々変形して実施できる。
For example, CMOS or B having a MOS structure
Semiconductor devices such as iCMOS and HEMT (High Elector)
It can also be applied to a semiconductor device having on Mobility Transistor). In addition, various modifications can be made without departing from the scope of the present invention.

【0072】[0072]

【発明の効果】以上詳述したように本発明によれば、S
OI構造による効果を失わずに、高品質で十分な歪みを
有するチャネル半導体層を形成できる構造の半導体装置
を提供できるようになる。
As described in detail above, according to the present invention, S
It is possible to provide a semiconductor device having a structure in which a channel semiconductor layer having high quality and sufficient strain can be formed without losing the effect of the OI structure.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明をSi系MOSFETに適用した場合の
プロセスフローを従来法のそれと比較して示す図
FIG. 1 is a diagram showing a process flow when the present invention is applied to a Si-based MOSFET in comparison with that of a conventional method.

【図2】本発明の一実施形態に係るn型MOSFETの
素子構造を示す断面図
FIG. 2 is a sectional view showing an element structure of an n-type MOSFET according to an embodiment of the present invention.

【図3】従来のSOI基板を用いたn型MOSFETの
素子構造を示す断面図
FIG. 3 is a sectional view showing an element structure of an n-type MOSFET using a conventional SOI substrate.

【符号の説明】[Explanation of symbols]

1…シリコン基板 2…SiGe層(歪み印加半導体層) 3…埋め込み絶縁層 4…歪みシリコン層(チャネル半導体層) 5…素子分離絶縁膜 6…ゲート酸化膜 7…ゲート電極 8…n型ソース領域 9…n型ドレイン領域 10…層間絶縁膜 11…ソース電極 12…ドレイン電極 DESCRIPTION OF SYMBOLS 1 ... Silicon substrate 2 ... SiGe layer (strain-applied semiconductor layer) 3 ... Buried insulating layer 4 ... Strained silicon layer (channel semiconductor layer) 5 ... Element isolation insulating film 6 ... Gate oxide film 7 ... Gate electrode 8 ... N-type source region 9 ... N-type drain region 10 ... Interlayer insulating film 11 ... Source electrode 12 ... Drain electrode

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.6 識別記号 庁内整理番号 FI 技術表示箇所 H01L 21/338 H01L 29/78 301B 29/812 618B 9447−4M 29/80 H (72)発明者 手塚 勉 神奈川県川崎市幸区小向東芝町1番地 株 式会社東芝研究開発センター内 (72)発明者 平岡 佳子 神奈川県川崎市幸区小向東芝町1番地 株 式会社東芝研究開発センター内 (72)発明者 黒部 篤 神奈川県川崎市幸区小向東芝町1番地 株 式会社東芝研究開発センター内─────────────────────────────────────────────────── ─── Continuation of the front page (51) Int.Cl. 6 Identification number Internal reference number FI Technical indication H01L 21/338 H01L 29/78 301B 29/812 618B 9447-4M 29/80 H (72) Inventor Tsutomu Tezuka 1 Komukai Toshiba-cho, Sachi-ku, Kawasaki-shi, Kanagawa Within the Toshiba Research and Development Center (72) Inventor Keiko Hiraoka 1 Komukai-shiba-cho, Saiwai-ku, Kawasaki, Kanagawa Toshiba Research and Development Center ( 72) Inventor Atsushi Kurobe 1 Komukai Toshiba-cho, Kouki-ku, Kawasaki-shi, Kanagawa Stock company Toshiba Research and Development Center

Claims (3)

【特許請求の範囲】[Claims] 【請求項1】チャネルが誘起されるチャネル半導体層
と、 格子定数が前記チャネル半導体層のそれと異なり、前記
チャネル半導体層に歪みを印加する歪み印加半導体層
と、 この歪み印加半導体層内に形成された絶縁層とを具備し
てなることを特徴とする半導体装置。
1. A channel semiconductor layer in which a channel is induced, a strain applying semiconductor layer having a lattice constant different from that of the channel semiconductor layer and applying a strain to the channel semiconductor layer, and formed in the strain applying semiconductor layer. And an insulating layer.
【請求項2】前記チャネル半導体層はシリコン層、前記
歪み印加半導体層はシリコンゲルマニウム層であること
を特徴とする請求項1に記載の半導体装置。
2. The semiconductor device according to claim 1, wherein the channel semiconductor layer is a silicon layer, and the strain applying semiconductor layer is a silicon germanium layer.
【請求項3】前記チャネル半導体層は、MOSFETの
チャネルが誘起される半導体層であることを特徴とする
請求項1に記載の半導体装置。
3. The semiconductor device according to claim 1, wherein the channel semiconductor layer is a semiconductor layer in which a channel of a MOSFET is induced.
JP13503796A 1996-05-29 1996-05-29 Semiconductor device, method of manufacturing semiconductor substrate, and method of manufacturing semiconductor device Expired - Fee Related JP3376211B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP13503796A JP3376211B2 (en) 1996-05-29 1996-05-29 Semiconductor device, method of manufacturing semiconductor substrate, and method of manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP13503796A JP3376211B2 (en) 1996-05-29 1996-05-29 Semiconductor device, method of manufacturing semiconductor substrate, and method of manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
JPH09321307A true JPH09321307A (en) 1997-12-12
JP3376211B2 JP3376211B2 (en) 2003-02-10

Family

ID=15142476

Family Applications (1)

Application Number Title Priority Date Filing Date
JP13503796A Expired - Fee Related JP3376211B2 (en) 1996-05-29 1996-05-29 Semiconductor device, method of manufacturing semiconductor substrate, and method of manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP3376211B2 (en)

Cited By (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000060671A1 (en) * 1999-03-30 2000-10-12 Hitachi, Ltd. Semiconductor device and semiconductor substrate
US6326667B1 (en) 1999-09-09 2001-12-04 Kabushiki Kaisha Toshiba Semiconductor devices and methods for producing semiconductor devices
US6339232B1 (en) 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
FR2838237A1 (en) * 2002-04-03 2003-10-10 St Microelectronics Sa Method for manufacturing an insulated-gate field-effect transistor with constrained channel, and integrated circuit comprising such transistor
US6690043B1 (en) 1999-11-26 2004-02-10 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
WO2004004015A3 (en) * 2002-06-28 2004-04-08 Advanced Micro Devices Inc Soi field effect transistor element having a recombination region and method of forming same
US6723541B2 (en) 2001-07-12 2004-04-20 Hitachi, Ltd. Method of producing semiconductor device and semiconductor substrate
US6727550B2 (en) * 2001-07-06 2004-04-27 Kabushiki Kaisha Toshiba Integrated circuit device
KR100429869B1 (en) * 2000-01-07 2004-05-03 삼성전자주식회사 CMOS Integrated circuit devices and substrates having buried silicon germanium layers therein and methods of forming same
WO2004049411A1 (en) * 2002-11-28 2004-06-10 Sumitomo Mitsubishi Silicon Corporation Method for producing semiconductor substrate and method for fabricating field effect transistor and semiconductor substrate and field effect transistor
WO2004090992A1 (en) * 2003-04-09 2004-10-21 Nec Corporation Vertical misfet semiconductor device having high mobility silicon channel
US6812074B2 (en) 2002-06-28 2004-11-02 Advanced Micro Devices, Inc. SOI field effect transistor element having a recombination region and method of forming same
JP2004363199A (en) * 2003-06-02 2004-12-24 Sumitomo Mitsubishi Silicon Corp Process for producing semiconductor substrate
KR100495023B1 (en) * 2000-12-28 2005-06-14 가부시끼가이샤 도시바 A semiconductor device and manufacturing method thereof
US6914301B2 (en) 2000-01-07 2005-07-05 Samsung Electronics Co., Ltd. CMOS integrated circuit devices and substrates having buried silicon germanium layers therein and methods of forming same
JP2005210071A (en) * 2003-12-25 2005-08-04 Siltronic Japan Corp Semiconductor substrate and manufacturing method of the same
US6936875B2 (en) 2002-10-02 2005-08-30 Renesas Technology Corp. Insulated-gate field-effect transistor, method of fabricating same, and semiconductor device employing same
JP2005527102A (en) * 2001-07-24 2005-09-08 クリー インコーポレイテッド High electron mobility transistor and manufacturing method thereof
WO2006011517A1 (en) * 2004-07-30 2006-02-02 Komatsu Denshi Kinzoku Kabushiki Kaisha Process for producing semiconductor substrate and semiconductor substrate
JP2006516362A (en) * 2003-01-14 2006-06-29 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Process for forming shallow trench insulators for strained silicon processes.
JP2006203223A (en) * 1998-12-24 2006-08-03 Toshiba Corp Manufacturing method for semiconductor device
US7109096B2 (en) 2003-03-17 2006-09-19 Seiko Epson Corporation Semiconductor device and method of manufacturing the same
JP2006269999A (en) * 2005-03-25 2006-10-05 Sumco Corp PROCESS FOR PRODUCING STRAINED Si-SOI SUBSTRATE AND STRAINED Si-SOI SUBSTRATE PRODUCED BY THAT METHOD
JP2006270000A (en) * 2005-03-25 2006-10-05 Sumco Corp PROCESS FOR PRODUCING STRAINED Si-SOI SUBSTRATE AND STRAINED Si-SOI SUBSTRATE PRODUCED BY THAT METHOD
JP2006352162A (en) * 2006-09-01 2006-12-28 Toshiba Corp Method of manufacturing semiconductor device
CN1322547C (en) * 2004-12-22 2007-06-20 上海新傲科技有限公司 Process for preparing silicon-germanium material on insulator based on silicon-germanium / silicon structure separation-by-implantation-of-oxygen
US7235456B2 (en) 1999-08-31 2007-06-26 Kabushiki Kaisha Toshiba Method of making empty space in silicon
JP2007266613A (en) * 1999-08-31 2007-10-11 Toshiba Corp Semiconductor substrate and semiconductor device
JP2007273993A (en) * 1999-08-31 2007-10-18 Toshiba Corp Method of fabricating semiconductor substrate
JP2007329379A (en) * 2006-06-09 2007-12-20 Sony Corp Semiconductor device, and manufacturing method thereof
US7316959B2 (en) 2002-03-08 2008-01-08 Fujitsu Limited Semiconductor device and method for fabricating the same
JP2008527742A (en) * 2005-01-18 2008-07-24 インテル・コーポレーション Non-planar MOS structure with strained channel region
US7436046B2 (en) 2004-10-05 2008-10-14 Renesas Technology Corp. Semiconductor device and manufacturing method of the same
JP2010199609A (en) * 2010-04-28 2010-09-09 Sumco Corp Method of manufacturing strained silicon soi substrate
JP2011097062A (en) * 2009-10-30 2011-05-12 Imec Semiconductor element and method of manufacturing the same
US7977221B2 (en) 2007-10-05 2011-07-12 Sumco Corporation Method for producing strained Si-SOI substrate and strained Si-SOI substrate produced by the same
US7989855B2 (en) 2004-06-10 2011-08-02 Nec Corporation Semiconductor device including a deflected part
US8067291B2 (en) 2004-09-16 2011-11-29 Fujitsu Semiconductor Limited MOS field-effect transistor and manufacturing method thereof
US8110486B2 (en) 2006-01-18 2012-02-07 Sumco Corporation Method of manufacturing semiconductor wafer by forming a strain relaxation SiGe layer on an insulating layer of SOI wafer
JP2012504857A (en) * 2008-10-01 2012-02-23 インターナショナル・ビジネス・マシーンズ・コーポレーション Method and system for eliminating dislocations in an active region of a semiconductor body
US8169005B2 (en) 2006-11-21 2012-05-01 Cree, Inc. High voltage GaN transistors
US8212290B2 (en) 2007-03-23 2012-07-03 Cree, Inc. High temperature performance capable gallium nitride transistor
US8669589B2 (en) 2005-09-07 2014-03-11 Cree, Inc. Robust transistors with fluorine treatment
US8686544B2 (en) 2005-11-16 2014-04-01 Panasonic Corporation Semiconductor device
JP2014199952A (en) * 2003-03-17 2014-10-23 三星電子株式会社Samsung Electronics Co.,Ltd. Transistor manufacturing method

Cited By (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006203223A (en) * 1998-12-24 2006-08-03 Toshiba Corp Manufacturing method for semiconductor device
JP4585464B2 (en) * 1998-12-24 2010-11-24 株式会社東芝 Manufacturing method of semiconductor device
JP4521542B2 (en) * 1999-03-30 2010-08-11 ルネサスエレクトロニクス株式会社 Semiconductor device and semiconductor substrate
JP2000286418A (en) * 1999-03-30 2000-10-13 Hitachi Ltd Semiconductor device and semiconductor substrate
WO2000060671A1 (en) * 1999-03-30 2000-10-12 Hitachi, Ltd. Semiconductor device and semiconductor substrate
US8304810B2 (en) 1999-03-30 2012-11-06 Renesas Electronics Corporation Semiconductor device and semiconductor substrate having selectively etched portions filled with silicon germanium
US7579229B2 (en) 1999-03-30 2009-08-25 Renesas Technology Corp. Semiconductor device and semiconductor substrate
KR100447492B1 (en) * 1999-03-30 2004-09-07 가부시키가이샤 히타치세이사쿠쇼 Semiconductor device and semiconductor substrate
JP2007266613A (en) * 1999-08-31 2007-10-11 Toshiba Corp Semiconductor substrate and semiconductor device
US7235456B2 (en) 1999-08-31 2007-06-26 Kabushiki Kaisha Toshiba Method of making empty space in silicon
JP2007273993A (en) * 1999-08-31 2007-10-18 Toshiba Corp Method of fabricating semiconductor substrate
US7507634B2 (en) 1999-08-31 2009-03-24 Kabushiki Kaisha Toshiba Method for fabricating a localize SOI in bulk silicon substrate including changing first trenches formed in the substrate into unclosed empty space by applying heat treatment
US6326667B1 (en) 1999-09-09 2001-12-04 Kabushiki Kaisha Toshiba Semiconductor devices and methods for producing semiconductor devices
US6339232B1 (en) 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
US6690043B1 (en) 1999-11-26 2004-02-10 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US7033913B2 (en) 1999-11-26 2006-04-25 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
KR100429869B1 (en) * 2000-01-07 2004-05-03 삼성전자주식회사 CMOS Integrated circuit devices and substrates having buried silicon germanium layers therein and methods of forming same
US6914301B2 (en) 2000-01-07 2005-07-05 Samsung Electronics Co., Ltd. CMOS integrated circuit devices and substrates having buried silicon germanium layers therein and methods of forming same
US7642140B2 (en) 2000-01-07 2010-01-05 Samsung Electronics Co., Ltd. CMOS integrated circuit devices and substrates having buried silicon germanium layers therein and method of forming same
US7195987B2 (en) 2000-01-07 2007-03-27 Samsung Electronics Co., Ltd. Methods of forming CMOS integrated circuit devices and substrates having buried silicon germanium layers therein
KR100495023B1 (en) * 2000-12-28 2005-06-14 가부시끼가이샤 도시바 A semiconductor device and manufacturing method thereof
KR100697141B1 (en) * 2000-12-28 2007-03-20 가부시끼가이샤 도시바 A semiconductor device and manufacturing method thereof
US6727550B2 (en) * 2001-07-06 2004-04-27 Kabushiki Kaisha Toshiba Integrated circuit device
KR100545596B1 (en) * 2001-07-06 2006-01-24 가부시끼가이샤 도시바 Integrated circuit device
US6723541B2 (en) 2001-07-12 2004-04-20 Hitachi, Ltd. Method of producing semiconductor device and semiconductor substrate
JP2005527102A (en) * 2001-07-24 2005-09-08 クリー インコーポレイテッド High electron mobility transistor and manufacturing method thereof
US10224427B2 (en) 2001-07-24 2019-03-05 Cree, Inc. Insulting gate AlGaN/GaN HEMT
US9419124B2 (en) 2001-07-24 2016-08-16 Cree, Inc. Insulating gate AlGaN/GaN HEMT
JP2010021582A (en) * 2001-07-24 2010-01-28 Cree Inc Transistor
US7316959B2 (en) 2002-03-08 2008-01-08 Fujitsu Limited Semiconductor device and method for fabricating the same
FR2838237A1 (en) * 2002-04-03 2003-10-10 St Microelectronics Sa Method for manufacturing an insulated-gate field-effect transistor with constrained channel, and integrated circuit comprising such transistor
US6989570B2 (en) 2002-04-03 2006-01-24 Stmicroelectronics S.A. Strained-channel isolated-gate field effect transistor, process for making same and resulting integrated circuit
DE10229003B4 (en) * 2002-06-28 2014-02-13 Advanced Micro Devices, Inc. A method of fabricating an SOI field effect transistor element having a recombination region
JP4667865B2 (en) * 2002-06-28 2011-04-13 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Field effect transistor with crystalline semiconductor layer having different recombination center density and method for forming the same
WO2004004015A3 (en) * 2002-06-28 2004-04-08 Advanced Micro Devices Inc Soi field effect transistor element having a recombination region and method of forming same
JP2006517338A (en) * 2002-06-28 2006-07-20 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド SOI field effect transistor device having recombination region and method of forming the same
US6812074B2 (en) 2002-06-28 2004-11-02 Advanced Micro Devices, Inc. SOI field effect transistor element having a recombination region and method of forming same
US6936875B2 (en) 2002-10-02 2005-08-30 Renesas Technology Corp. Insulated-gate field-effect transistor, method of fabricating same, and semiconductor device employing same
WO2004049411A1 (en) * 2002-11-28 2004-06-10 Sumitomo Mitsubishi Silicon Corporation Method for producing semiconductor substrate and method for fabricating field effect transistor and semiconductor substrate and field effect transistor
US7198997B2 (en) 2002-11-28 2007-04-03 Sumitomo Mitsubishi Silicon Corporation Method for producing semiconductor substrate, method for producing field effect transistor, semiconductor substrate, and field effect transistor
KR100738766B1 (en) * 2002-11-28 2007-07-12 가부시키가이샤 섬코 Method for producing semiconductor substrate and method for fabricating field effect transistor
JP2006516362A (en) * 2003-01-14 2006-06-29 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Process for forming shallow trench insulators for strained silicon processes.
US7109096B2 (en) 2003-03-17 2006-09-19 Seiko Epson Corporation Semiconductor device and method of manufacturing the same
JP2014199952A (en) * 2003-03-17 2014-10-23 三星電子株式会社Samsung Electronics Co.,Ltd. Transistor manufacturing method
JPWO2004090992A1 (en) * 2003-04-09 2006-07-06 日本電気株式会社 Vertical MISFET semiconductor device having high mobility silicon channel
JP4632046B2 (en) * 2003-04-09 2011-02-16 日本電気株式会社 Vertical MISFET semiconductor device having high mobility silicon channel
WO2004090992A1 (en) * 2003-04-09 2004-10-21 Nec Corporation Vertical misfet semiconductor device having high mobility silicon channel
JP2004363199A (en) * 2003-06-02 2004-12-24 Sumitomo Mitsubishi Silicon Corp Process for producing semiconductor substrate
JP4700324B2 (en) * 2003-12-25 2011-06-15 シルトロニック・ジャパン株式会社 Manufacturing method of semiconductor substrate
JP2005210071A (en) * 2003-12-25 2005-08-04 Siltronic Japan Corp Semiconductor substrate and manufacturing method of the same
US7989855B2 (en) 2004-06-10 2011-08-02 Nec Corporation Semiconductor device including a deflected part
US8486811B2 (en) 2004-06-10 2013-07-16 Nec Corporation Semiconductor device and manufacturing process therefor
JPWO2006011517A1 (en) * 2004-07-30 2008-05-01 Sumco Techxiv株式会社 Semiconductor substrate manufacturing method and semiconductor substrate
WO2006011517A1 (en) * 2004-07-30 2006-02-02 Komatsu Denshi Kinzoku Kabushiki Kaisha Process for producing semiconductor substrate and semiconductor substrate
US8067291B2 (en) 2004-09-16 2011-11-29 Fujitsu Semiconductor Limited MOS field-effect transistor and manufacturing method thereof
US7868425B2 (en) 2004-10-05 2011-01-11 Renesas Electronics Corporation Semiconductor device and manufacturing method of the same
US7436046B2 (en) 2004-10-05 2008-10-14 Renesas Technology Corp. Semiconductor device and manufacturing method of the same
US7944024B2 (en) 2004-10-05 2011-05-17 Renesas Electronics Corporation Semiconductor device and manufacturing method of the same
CN1322547C (en) * 2004-12-22 2007-06-20 上海新傲科技有限公司 Process for preparing silicon-germanium material on insulator based on silicon-germanium / silicon structure separation-by-implantation-of-oxygen
JP2008527742A (en) * 2005-01-18 2008-07-24 インテル・コーポレーション Non-planar MOS structure with strained channel region
JP2006269999A (en) * 2005-03-25 2006-10-05 Sumco Corp PROCESS FOR PRODUCING STRAINED Si-SOI SUBSTRATE AND STRAINED Si-SOI SUBSTRATE PRODUCED BY THAT METHOD
JP2006270000A (en) * 2005-03-25 2006-10-05 Sumco Corp PROCESS FOR PRODUCING STRAINED Si-SOI SUBSTRATE AND STRAINED Si-SOI SUBSTRATE PRODUCED BY THAT METHOD
US8669589B2 (en) 2005-09-07 2014-03-11 Cree, Inc. Robust transistors with fluorine treatment
US8686544B2 (en) 2005-11-16 2014-04-01 Panasonic Corporation Semiconductor device
US8110486B2 (en) 2006-01-18 2012-02-07 Sumco Corporation Method of manufacturing semiconductor wafer by forming a strain relaxation SiGe layer on an insulating layer of SOI wafer
JP2007329379A (en) * 2006-06-09 2007-12-20 Sony Corp Semiconductor device, and manufacturing method thereof
JP2006352162A (en) * 2006-09-01 2006-12-28 Toshiba Corp Method of manufacturing semiconductor device
US9041064B2 (en) 2006-11-21 2015-05-26 Cree, Inc. High voltage GaN transistor
US8169005B2 (en) 2006-11-21 2012-05-01 Cree, Inc. High voltage GaN transistors
US9450081B2 (en) 2006-11-21 2016-09-20 Cree, Inc. High voltage GaN transistor
US8212290B2 (en) 2007-03-23 2012-07-03 Cree, Inc. High temperature performance capable gallium nitride transistor
US9240473B2 (en) 2007-03-23 2016-01-19 Cree, Inc. High temperature performance capable gallium nitride transistor
US7977221B2 (en) 2007-10-05 2011-07-12 Sumco Corporation Method for producing strained Si-SOI substrate and strained Si-SOI substrate produced by the same
KR101475992B1 (en) * 2008-10-01 2014-12-30 인터내셔널 비지네스 머신즈 코포레이션 Dislocation engineering using a scanned laser
JP2012504857A (en) * 2008-10-01 2012-02-23 インターナショナル・ビジネス・マシーンズ・コーポレーション Method and system for eliminating dislocations in an active region of a semiconductor body
JP2011097062A (en) * 2009-10-30 2011-05-12 Imec Semiconductor element and method of manufacturing the same
JP2010199609A (en) * 2010-04-28 2010-09-09 Sumco Corp Method of manufacturing strained silicon soi substrate

Also Published As

Publication number Publication date
JP3376211B2 (en) 2003-02-10

Similar Documents

Publication Publication Date Title
JP3376211B2 (en) Semiconductor device, method of manufacturing semiconductor substrate, and method of manufacturing semiconductor device
JP3372158B2 (en) Semiconductor device and manufacturing method thereof
US6703688B1 (en) Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6583000B1 (en) Process integration of Si1-xGex CMOS with Si1-xGex relaxation after STI formation
US6723661B2 (en) Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US8822282B2 (en) Methods of fabricating contact regions for FET incorporating SiGe
US6646322B2 (en) Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6724008B2 (en) Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
KR100385857B1 (en) Fabrication Method of SiGe MODFET with a Metal-Oxide Gate
US6677192B1 (en) Method of fabricating a relaxed silicon germanium platform having planarizing for high speed CMOS electronics and high speed analog circuits
US6900103B2 (en) Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6593641B1 (en) Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US7393735B2 (en) Structure for and method of fabricating a high-mobility field-effect transistor
JP2002237590A (en) Mos field effect transistor
JP2001257351A (en) Semiconductor device and its manufacturing method
JP2000031491A (en) Semiconductor device, its manufacture, semiconductor substrate and its manufacture
JP2004063780A (en) Method of manufacturing semiconductor device
JP3311940B2 (en) Semiconductor device and manufacturing method thereof
JP2002076347A (en) Semiconductor device, semiconductor substrate and its manufacturing method
JPH11163343A (en) Semiconductor device and its manufacture
JP3901957B2 (en) Semiconductor substrate manufacturing method and semiconductor device manufactured by the method
WO2002071488A1 (en) Relaxed silicon germanium platform for high speed cmos electronics and high speed analog circuits
JP2004055943A (en) Semiconductor device and manufacturing method therefor
US7109096B2 (en) Semiconductor device and method of manufacturing the same
JPH0982948A (en) Semiconductor device and manufacture of semiconductor device

Legal Events

Date Code Title Description
FPAY Renewal fee payment (prs date is renewal date of database)

Year of fee payment: 5

Free format text: PAYMENT UNTIL: 20071129

FPAY Renewal fee payment (prs date is renewal date of database)

Year of fee payment: 6

Free format text: PAYMENT UNTIL: 20081129

FPAY Renewal fee payment (prs date is renewal date of database)

Year of fee payment: 7

Free format text: PAYMENT UNTIL: 20091129

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101129

Year of fee payment: 8

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101129

Year of fee payment: 8

FPAY Renewal fee payment (prs date is renewal date of database)

Year of fee payment: 9

Free format text: PAYMENT UNTIL: 20111129

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121129

Year of fee payment: 10

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131129

Year of fee payment: 11

LAPS Cancellation because of no payment of annual fees