JP3372158B2 - Semiconductor device and manufacturing method thereof - Google Patents

Semiconductor device and manufacturing method thereof

Info

Publication number
JP3372158B2
JP3372158B2 JP02379796A JP2379796A JP3372158B2 JP 3372158 B2 JP3372158 B2 JP 3372158B2 JP 02379796 A JP02379796 A JP 02379796A JP 2379796 A JP2379796 A JP 2379796A JP 3372158 B2 JP3372158 B2 JP 3372158B2
Authority
JP
Japan
Prior art keywords
layer
silicon
region
insulating layer
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP02379796A
Other languages
Japanese (ja)
Other versions
JPH09219524A (en
Inventor
聖支 今井
直治 杉山
宏治 臼田
勉 手塚
佳子 平岡
篤 黒部
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP02379796A priority Critical patent/JP3372158B2/en
Publication of JPH09219524A publication Critical patent/JPH09219524A/en
Application granted granted Critical
Publication of JP3372158B2 publication Critical patent/JP3372158B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術の分野】本発明は半導体装置及びそ
の製造方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor device and its manufacturing method.

【0002】[0002]

【従来の技術】近年、シリコンMOSFETの高速化を図るた
めにシリコンとゲルマニウムのヘテロ構造を利用する試
みがなされている。例えばNMOSFET の高速化を図るため
に、シリコン基板上に格子 緩和させたシリコンゲルマ
ニウムバッファ層を介して、この上に引っ張り歪状態の
シリコン層を形成し、この引っ張り歪み状態のシリコン
層をチャネルとして利用する方法が提案されている。こ
の引っ張り歪状態のシリコン層ではバルクのシリコンと
比較して電子移動度が増大するため、MOSFETを高速化で
きることが知られている(IEDM Tech.Digest,1994,p3 73
-376) 。しかしながら、この技術を用いて引っ張り歪状
態のシリコン層を得るためには、シリコンゲルマニウム
バッファ層を格子緩和状態にするために、2μm程度と
厚く形成する必要がある。このような厚い膜を用いて作
製したMOSFETでは、ソース・ドレイン間の寄生容量が増
大し、結果的に高速化が困難となる。
2. Description of the Related Art Recently, attempts have been made to utilize a heterostructure of silicon and germanium in order to increase the speed of a silicon MOSFET. For example, in order to increase the speed of NMOSFETs, a strained silicon germanium buffer layer is formed on a silicon substrate, over which a strained silicon layer is formed, and this strained silicon layer is used as a channel. The method of doing is proposed. It is known that this tensile-strained silicon layer has a higher electron mobility than bulk silicon, and thus can speed up the MOSFET (IEDM Tech.Digest, 1994, p3 73).
-376). However, in order to obtain a tensile-strained silicon layer using this technique, it is necessary to form the silicon germanium buffer layer as thick as about 2 μm in order to bring it into a lattice relaxation state. In the MOSFET manufactured using such a thick film, the parasitic capacitance between the source and drain increases, and as a result, it becomes difficult to increase the speed.

【0003】この問題を解決する方法として、表面に薄
いシリコン層(SOI 層)を有するSOI (silicon on in
sulator )基板を利用して、その上に格子緩和させたシ
リコンゲルマニウムバッファ層を形成する技術が提案さ
れている(Appl.Phys.Lett,64(14),p1856-1858,1994) 。
この方法では、SiGe層/SOI 層/SiO2層の積層構造を形
成した後、700 ℃程度の低温熱処理を行いSOI 層にのみ
転位を発生させることにより、SiGe層を転位をともなう
ことなく格子緩和させることができる。このとき、SiGe
層を十分に格子緩和させるためにはSOI 層の膜厚をSiGe
層よりも薄くする必要がある。この後SiGe層上にエピタ
キシャル法を用いて50nm程度の薄いSi層を形成すること
により、数百nm程度の薄いSiGe層上に引っ張り歪状態の
Si層を形成することができる。
As a method for solving this problem, SOI (silicon on in) having a thin silicon layer (SOI layer) on the surface is used.
A technique for forming a lattice-relaxed silicon-germanium buffer layer on a substrate is proposed (Appl. Phys. Lett, 64 (14), p1856-1858, 1994).
In this method, after forming a laminated structure of SiGe layer / SOI layer / SiO2 layer, low temperature heat treatment at about 700 ° C is performed to generate dislocations only in the SOI layer, so that the SiGe layer is lattice-relaxed without dislocations. be able to. At this time, SiGe
In order to fully relax the layer, the thickness of the SOI layer should be set to SiGe.
It should be thinner than the layers. After this, by forming a thin Si layer of about 50 nm on the SiGe layer by using the epitaxial method, the tensile strain state on the thin SiGe layer of about several hundred nm
A Si layer can be formed.

【0004】ここで、Si層及びSiGe層のエピタキシャル
プロセスについてはB.S.Meyersonらの“Low tmperature
silicon epitaxy by UHV/CVD ”Appl.Phys.Lett,vol4
8,p797-799,1986及び“Cooperative growth phenomena
in silicon/germanium low-temperature epitaxy ” Ap
pl.Ph ys.Lett,vol53,p2555-2557,1988に記載されてい
る。
Here, the epitaxial process of the Si layer and the SiGe layer is described in “Low tmperature” of BS Meyerson et al.
silicon epitaxy by UHV / CVD ”Appl.Phys.Lett, vol4
8, p797-799, 1986 and “Cooperative growth phenomena.
in silicon / germanium low-temperature epitaxy ”Ap
pl. Phys. Lett, vol53, p2555-2557, 1988.

【0005】一方PMOSFET の高速化を図るためには、Si
基板上に圧縮歪状態のSiGe層を形成し、これをチャネル
として利用する方法が知られている。この圧縮歪状態の
SiGe層はバルクSiと比較して正孔移動度が増大するた
め、PMOSFET の高速化を図ることが可能となる(IEEE E
LECTRON DEVICE LETTERS,VOL15,NO.10,1994,P402-40
5)。ここで、圧縮歪状態のSiGe層を形成するために
は、SiGe層の膜厚をGeの組成比と成長温度により決定さ
れる臨界膜厚以下にする必要がある(J.Appl.Phys,vol7
0,No.4,1991,P2136-2151)。
On the other hand, in order to increase the speed of PMOSFET, Si
A method is known in which a compressively strained SiGe layer is formed on a substrate and is used as a channel. This compressive strain state
Since the SiGe layer has higher hole mobility than bulk Si, it is possible to speed up the PMOSFET (IEEE E
LECTRON DEVICE LETTERS, VOL15, NO.10,1994, P402-40
Five). Here, in order to form a compressively strained SiGe layer, the film thickness of the SiGe layer must be below the critical film thickness determined by the composition ratio of Ge and the growth temperature (J.Appl.Phys, vol7
0, No. 4, 1991, P2136-2151).

【0006】[0006]

【発明が解決しようとする課題】高集積で低消費電力の
LSI を製造するためにはNMOSFET とPMOSFET とを組み合
わせて集積化トランジスタを形成しなければならない。
しかしながら上述の引っ張り歪状態のSi層を用いたNMOS
FET と、圧縮歪状態のSiGe層を用いたPMOSFET では必要
とされるSiGe層の歪状態が異なることから、同一基板上
に集積化することは困難である。
[Problems to be Solved by the Invention] High integration and low power consumption
In order to manufacture LSI, NMOSFET and PMOSFET must be combined to form an integrated transistor.
However, the above-mentioned NMOS using the tensile strained Si layer
It is difficult to integrate them on the same substrate because the required strain state of the SiGe layer differs between the FET and the PMOSFET that uses the compressively strained SiGe layer.

【0007】本発明は、上記問題に鑑みてなされたもの
で、その目的は同一基板上に引っ張り歪状態のSi層と圧
縮歪状態のSiGe層とを整合性よく作製することにより高
速・高性能な集積化トランジスタを提供することにあ
る。
The present invention has been made in view of the above problems, and its purpose is to achieve high speed and high performance by forming a tensile strained Si layer and a compressive strained SiGe layer on the same substrate with good compatibility. To provide a highly integrated transistor.

【0008】[0008]

【課題を解決するための手段】上記課題を解決するため
に本発明(請求項1)は、シリコン基板と、このシリコ
ン基板上に形成された絶縁層と、この絶縁層上に形成さ
れたシリコン層と、このシリコン層上に形成された格子
緩和状態のシリコンゲルマニウム層と、このシリコンゲ
ルマニウム層上に形成された引っ張り歪み状態のシリコ
ン層と、この引っ張り歪み状態のシリコン層中に形成さ
れたソース領域、チャネル領域、ドレイン領域と、この
チャネル領域上に形成されたゲート領域と、前記シリコ
ン基板の前記絶縁層が形成されていない表面に直接形成
された、圧縮歪み状態のシリコンゲルマニウム層と、こ
の圧縮歪み状態のシリコンゲルマニウム層中に形成され
たソース領域、チャネル領域、ドレイン領域と、このチ
ャネル領域上に形成されたゲート領域とを具備すること
を特徴とする半導体装置を提供する。
In order to solve the above problems, the present invention (claim 1) provides a silicon substrate, an insulating layer formed on the silicon substrate, and a silicon formed on the insulating layer. Layer, a lattice-relaxed silicon germanium layer formed on the silicon layer, a tensile strained silicon layer formed on the silicon germanium layer, and a source formed in the tensile strained silicon layer A region, a channel region, a drain region, a gate region formed on the channel region, a compression-strained silicon germanium layer formed directly on the surface of the silicon substrate on which the insulating layer is not formed, and A source region, a channel region, and a drain region formed in a compressively strained silicon germanium layer, and a region formed on the channel region. That it comprises a gates region to provide a semiconductor device according to claim.

【0009】また本発明(請求項2)は、前記格子緩和
状態のシリコンゲルマニウム層と、前記圧縮歪み状態の
シリコンゲルマニウム層とを同時に形成していることを
特徴とする請求項1記載の半導体装置を提供する。
Further, according to the present invention (claim 2), the silicon germanium layer in the lattice relaxation state and the silicon germanium layer in the compressive strain state are formed at the same time. I will provide a.

【0010】また本発明は(請求項3)は、シリコン基
板と、このシリコン基板上に形成された絶縁層と、この
絶縁層上に形成された第1の領域のシリコン層と、前記
絶縁層上に形成され前記第1の領域のシリコン層の膜厚
よりも厚い第2の領域のシリコン層と、前記第1の領域
のシリコン層上に形成された格子緩和状態のシリコンゲ
ルマニウム層と、このシリコンゲルマニウム層上に形成
された引っ張り歪み状態のシリコン層と、この引っ張り
歪み状態のシリコン層中に形成されたソース領域、チャ
ネル領域、ドレイン領域と、このチャネル領域上に形成
されたゲート領域と、前記第2の領域のシリコン層上に
形成された圧縮歪み状態のシリコンゲルマニウム層と、
この圧縮歪み状態のシリコンゲルマニウム層中に形成さ
れたソース領域、チャネル領域、ドレイン領域と、この
チャネル領域上に形成されたゲート領域とを具備するこ
とを特徴とする半導体装置を提供する。
According to a third aspect of the present invention, a silicon substrate, an insulating layer formed on the silicon substrate, a first region silicon layer formed on the insulating layer, and the insulating layer are provided. A silicon layer in a second region which is formed on the silicon layer in the first region and has a thickness larger than that of the silicon layer in the first region; and a silicon germanium layer in a lattice relaxation state formed on the silicon layer in the first region, A tensile strained silicon layer formed on the silicon germanium layer, a source region, a channel region, and a drain region formed in the tensile strained silicon layer, and a gate region formed on the channel region, A compressively strained silicon germanium layer formed on the silicon layer in the second region;
There is provided a semiconductor device including a source region, a channel region, and a drain region formed in the silicon germanium layer in the compressive strain state, and a gate region formed on the channel region.

【0011】また本発明(請求項4)は、前記引っ張り
歪み状態のシリコン層中に形成されたチャネル領域を主
に電子の流れる領域とし、前記圧縮歪み状態のシリコン
ゲルマニウム中に形成されたチャネル領域を主に正孔の
流れる領域としていることを特徴とする請求項1、2或
いは3記載の半導体装置を提供する。
According to the present invention (claim 4), the channel region formed in the tensile-strained silicon layer is mainly used as an electron flow region, and the channel region formed in the compression-strained silicon germanium is used. 3. A semiconductor device according to claim 1, 2 or 3, wherein is mainly a region through which holes flow.

【0012】また本発明(請求項5)は、シリコン基板
上に絶縁層を介してシリコン層が形成された基板を準備
し、前記シリコン層及び前記絶縁層の一部を開口し前記
シリコン基板の表面を露出する工程と、エピタキシャル
プロセスにより、前記絶縁層上の前記シリコン層上には
格子緩和状態のシリコンゲルマニウム層を、前記シリコ
ン基板の露出した表面上には圧縮歪状態のシリコンゲル
マニウム層を同時に形成する工程とを具備することを特
徴とする半導体装置の製造方法を提供する。
According to the present invention (claim 5), a substrate having a silicon layer formed on a silicon substrate via an insulating layer is prepared, and the silicon layer and a part of the insulating layer are opened to form a silicon substrate. By the step of exposing the surface and the epitaxial process, a silicon germanium layer in a lattice relaxation state is formed on the silicon layer on the insulating layer, and a silicon germanium layer in a compression strain state is formed on the exposed surface of the silicon substrate at the same time. And a step of forming the semiconductor device.

【0013】また本発明(請求項6)は、部分的に開口
された絶縁層を表面に有するシリコン基板全面に非晶質
シリコン層を形成する工程と、熱処理によって、前記開
口された絶縁層のシリコン基板表面が露出している部分
から前記非晶質シリコンを結晶化する工程と、エピタキ
シャルプロセスにより、前記絶縁層上に形成された結晶
化シリコン層上には格子緩和状態のシリコンゲルマニウ
ム層、前記絶縁層の開口部に形成された結晶化シリコン
基板上には圧縮歪状態のシリコンゲルマニウム層を同時
に形成する工程とを具備することを特徴とする半導体装
置の製造方法を提供する。
Further, according to the present invention (claim 6), a step of forming an amorphous silicon layer on the entire surface of a silicon substrate having an insulating layer partially opened on the surface and heat treatment of the opened insulating layer are performed. A step of crystallizing the amorphous silicon from the exposed portion of the silicon substrate surface, and a lattice-relaxed silicon germanium layer on the crystallized silicon layer formed on the insulating layer by an epitaxial process, And a step of simultaneously forming a compressively strained silicon germanium layer on a crystallized silicon substrate formed in an opening of an insulating layer.

【0014】また本発明(請求項7)は、イオン注入及
びその後の熱処理によって、シリコン基板の内部に部分
的に絶縁層を形成する工程と、エピタキシャルプロセス
により、前記シリコン基板の絶縁層が存在する領域上に
は格子緩和状態のシリコンゲルマニウム層を、前記シリ
コン基板の絶縁層が存在しない領域上には圧縮歪状態の
シリコンゲルマニウム層を同時に形成する工程とを具備
することを特徴とする半導体装置の製造方法を提供す
る。
According to the present invention (claim 7), the insulating layer of the silicon substrate is present by an epitaxial process and a step of partially forming an insulating layer inside the silicon substrate by ion implantation and subsequent heat treatment. And a step of simultaneously forming a lattice-relaxed silicon germanium layer on the region and a compression-strained silicon germanium layer on the region of the silicon substrate where the insulating layer does not exist. A manufacturing method is provided.

【0015】さらに本発明(請求項8)は、エピタキシ
ャルプロセスにより、前記格子緩和状態のシリコンゲル
マニウム層上に、引っ張り歪状態のシリコン層を形成す
ることを特徴とする請求項5、6或いは7記載の半導体
装置の製造方法を提供する。
Further, according to the present invention (claim 8), a tensile strained silicon layer is formed on the lattice-relaxed silicon germanium layer by an epitaxial process. A method for manufacturing a semiconductor device is provided.

【0016】[0016]

【発明の実施の形態】以下に本発明を図面を参照して詳
細に説明する。
DETAILED DESCRIPTION OF THE INVENTION The present invention will be described below in detail with reference to the drawings.

【0017】(実施例1)図1 から図9は、本発明の第
1の実施例に係る半導体装置の製造方法を示す各工程で
の断面図である。先ず図1に示すように、表面に厚さ5n
m 程度のSi層(SOI 層)2 と、厚さ100nm 程度の絶縁層
3 を有するシリコン基板1 を準備する。ここでSOI 層2
は、これを熱酸化する工程と、この後この熱酸化膜をエ
ッチングする工程とを繰り返すことにより所望の厚さに
薄膜化することができる。ここで、SOI 層の膜厚は、あ
る適切な厚さ、即ちこの上に積層されるSiGe層4 を格子
緩和状態にするために充分に薄い4nm 〜150nm の範囲が
好ましい。
(Embodiment 1) FIGS. 1 to 9 are sectional views showing respective steps of a method for manufacturing a semiconductor device according to a first embodiment of the present invention. First, as shown in Fig. 1, the surface has a thickness of 5n.
Si layer (SOI layer) 2 of about m and insulating layer of about 100 nm thick
A silicon substrate 1 having 3 is prepared. SOI layer 2 here
Can be thinned to a desired thickness by repeating the step of thermally oxidizing this and the step of subsequently etching this thermal oxide film. Here, the film thickness of the SOI layer is preferably an appropriate thickness, that is, a range of 4 nm to 150 nm, which is sufficiently thin so that the SiGe layer 4 laminated thereon has a lattice relaxation state.

【0018】次に図2に示すように、このシリコン基板
1 のSOI 層2 と絶縁層3 を選択エッチングすることによ
り開口部20を形成し、シリコン基板1 の単結晶表面を露
出する。
Next, as shown in FIG. 2, this silicon substrate
The opening 20 is formed by selectively etching the SOI layer 2 and the insulating layer 3 of 1 to expose the single crystal surface of the silicon substrate 1.

【0019】次に図3に示すように、このシリコン基板
1 を例えばRCA 法において洗浄した後、エピタキシャル
プロセスにより厚さ30nm程度のSi0.7Ge0.3層4 を成長温
度500 ℃で形成後、結晶成長炉内にて1000℃、1 時間程
度の熱処理を施し、絶縁層3上のSi0.7Ge0.3層4 を格子
緩和させた。このとき開口部上に形成したSi0.7Ge0.3層
4を圧縮歪状態にするために、その膜厚をGe組成比と成
長温度で決まる臨界膜厚以下にする必要がある。ここ
で、SiGe層4 のGe百分率は、20〜50% の範囲が望まし
い。Ge百分率20% 未満ではこの上に積層される引っ張り
歪状態のSi層5 において、移動度の増大が望めないから
である。一方、50% より大きい場合にはSiGe層4 の膜質
及びモフォロジーが低下し、やはり電気的特性の向上は
望むことができない。またSiGe層4 の膜厚は、成長温度
を500 ℃程度としたときGe百分率20〜50% に対応して、
40〜300nm の範囲が好ましい。それは上記範囲より大き
い場合には、開口部20に形成されるSiGe層4 を圧縮歪状
態にすることが困難だからである。
Next, as shown in FIG. 3, this silicon substrate
After cleaning 1 by, for example, the RCA method, a Si0.7Ge0.3 layer 4 with a thickness of about 30 nm was formed at a growth temperature of 500 ° C by an epitaxial process, and then heat-treated at 1000 ° C for about 1 hour in a crystal growth furnace. , Si0.7Ge0.3 layer 4 on insulating layer 3 was lattice-relaxed. At this time, in order to bring the Si0.7Ge0.3 layer 4 formed on the opening into a compressive strain state, it is necessary to make the film thickness below the critical film thickness determined by the Ge composition ratio and the growth temperature. Here, the Ge percentage of the SiGe layer 4 is preferably in the range of 20 to 50%. This is because if the Ge percentage is less than 20%, the mobility cannot be expected to increase in the tensile-strained Si layer 5 laminated thereon. On the other hand, when it is more than 50%, the film quality and morphology of the SiGe layer 4 are deteriorated, and improvement in electrical characteristics cannot be expected. The film thickness of the SiGe layer 4 corresponds to a Ge percentage of 20 to 50% when the growth temperature is set to about 500 ° C.
The range of 40 to 300 nm is preferred. This is because it is difficult to bring the SiGe layer 4 formed in the opening 20 into a compressive strain state when it is larger than the above range.

【0020】次に同じくエピタキシャルプロセスにより
成長温度500 ℃で、Si0.7Ge0.3層4上に厚さ30n m のSi
層5 を形成した。この結果、格子緩和したSi0.7Ge0.3層
4 上には、引っ張り歪み状態のSi層5 が形成される。こ
の後、基板上にP 型及びN 型ウェル領域(不図示)を形
成する。
Next, by the same epitaxial process as above, at a growth temperature of 500 ° C., a 30 nm thick Si film was formed on the Si0.7Ge0.3 layer 4.
Layer 5 was formed. As a result, lattice-relaxed Si0.7Ge0.3 layer
A tensile-strained Si layer 5 is formed on the surface 4. After that, P-type and N-type well regions (not shown) are formed on the substrate.

【0021】次に図4に示すように、LOCOS 分離法、或
いはトレンチ分離法により素子分離領域6 を形成して、
NMOSFET の形成予定領域とPMOSFET の形成予定領域とを
素子分離する。
Next, as shown in FIG. 4, an element isolation region 6 is formed by a LOCOS isolation method or a trench isolation method,
The NMOSFET formation planned region and the PMOSFET formation planned region are separated from each other.

【0022】次に図5に示すように、レジストを塗布
し、露光、現像を行って、NMOSFET の形成予定領域にレ
ジストパターン7 を形成する。このレジストパターン7
をマスクとしてPMOSFET 形成予定領域の表面のSi層5 を
通常のCDE (ケミカル・ドライ・エッチング)やRIE
(反応性イオンエッチング)を用いて5nm 程度に薄膜化
する。本工程は後にSi層5 を熱酸化してできるだけ薄い
ゲート酸化膜を形成することにより、MOSFETの短チャネ
ル効果の抑制と駆動電流の向上を図るために必要であ
る。そのためにはSi層5 の膜厚を5nm 以下にすることが
望ましい。
Next, as shown in FIG. 5, a resist is applied, exposed and developed to form a resist pattern 7 in the region where the NMOSFET is to be formed. This resist pattern 7
Using the mask as a mask, the Si layer 5 on the surface of the PMOSFET formation planned area is exposed to normal CDE (chemical dry etching) or RIE
(Reactive ion etching) is used to reduce the thickness to about 5 nm. This step is necessary in order to suppress the short channel effect of the MOSFET and improve the drive current by subsequently thermally oxidizing the Si layer 5 to form a gate oxide film as thin as possible. For that purpose, it is desirable that the thickness of the Si layer 5 be 5 nm or less.

【0023】次に図6に示すように、レジストパターン
7 を除去した後、表面全体を熱酸化して厚さ10 nm 程度
のゲート酸化膜8 を形成する。このときPMOSFET 形成領
域側の熱酸化膜は、圧縮歪状態のSi0.7Ge0.3層4 まで熱
酸化が及ぶことなく形成されることが望ましい。一般
に、SiGe層を熱酸化してゲート絶縁膜を形成した場合、
界面準位密度が高くなりデバイス動作時にリーク電流増
大の原因となるからである。この後チャネル層にはゲー
ト酸化膜を介して、しきい値調整用のイオン注入を行
い、N チャネル領域(不図示)、P チャネル領域(不図
示)を形成する。
Next, as shown in FIG. 6, a resist pattern
After removing 7, the entire surface is thermally oxidized to form a gate oxide film 8 having a thickness of about 10 nm. At this time, it is desirable that the thermal oxide film on the PMOSFET formation region side is formed without thermal oxidation to the Si0.7Ge0.3 layer 4 in the compression strain state. Generally, when a SiGe layer is thermally oxidized to form a gate insulating film,
This is because the interface state density becomes high, which causes an increase in leak current during device operation. After that, ion implantation for threshold adjustment is performed on the channel layer through the gate oxide film to form an N channel region (not shown) and a P channel region (not shown).

【0024】次に図7に示すように、ゲート酸化膜上に
減圧CVD 法により多結晶シリコン層を形成した後、この
多結晶シリコン層をRIE により加工して、ゲート電極9
を形成する。このとき同時にRIE によりゲート酸化膜8
も同時にパターニングする。
Next, as shown in FIG. 7, after forming a polycrystalline silicon layer on the gate oxide film by a low pressure CVD method, this polycrystalline silicon layer is processed by RIE to form a gate electrode 9
To form. At this time, the gate oxide film 8 is simultaneously formed by RIE.
Is also patterned at the same time.

【0025】次に図8に示すように、ゲート電極9 をマ
スクとして、NMOSFET 形成領域にリンを選択的にイオン
注入して、N 型ソース領域10、N 型ドレイン領域11を形
成し、またPMOSFET 形成予定領域にボロンを選択的にイ
オン注入して、P 型ソース領域12、P 型ドレイン領域13
を形成する。この後800 ℃程度の熱処理によって不純物
の活性化を行う。
Next, as shown in FIG. 8, phosphorus is selectively ion-implanted into the NMOSFET formation region using the gate electrode 9 as a mask to form an N-type source region 10 and an N-type drain region 11, and a PMOSFET is formed. Boron is selectively ion-implanted into the region to be formed so that the P-type source region 12 and the P-type drain region 13 are formed.
To form. After that, impurities are activated by heat treatment at about 800 ° C.

【0026】次に図9に示すように、全面にシリコン酸
化膜などの層間絶縁膜14をCVD 法により形成した後、こ
の層間絶縁膜14に各MOSFET領域に対するコンタクトホー
ルを開口する。最後に、全面にAl膜等の導電膜を堆積
した後、この導電膜をパターニングして、ソース電極1
5、ドレイン電極16、ゲート引き出し電極( 不図示) 、
ソース電極17、ドレイン電極18を形成して、集積化トラ
ンジスタが完成する。
Next, as shown in FIG. 9, an interlayer insulating film 14 such as a silicon oxide film is formed on the entire surface by the CVD method, and then contact holes for each MOSFET region are opened in this interlayer insulating film 14. Finally, after depositing a conductive film such as an Al film on the entire surface, this conductive film is patterned to form the source electrode 1.
5, drain electrode 16, gate extraction electrode (not shown),
The source electrode 17 and the drain electrode 18 are formed to complete the integrated transistor.

【0027】本実施例によれば、引っ張り歪状態のSi層
をチャネル領域に用いたNMOSFET と圧縮歪状態のSiGe層
をチャネル領域に用いたPMOSFET を同一基板上に作製で
きるため、その結果両歪層の特性を十分引き出し集積化
トランジスタの高速・高性能化を図ることができる。
According to the present embodiment, an NMOSFET using a tensile strained Si layer in the channel region and a PMOSFET using a compressive strained SiGe layer in the channel region can be formed on the same substrate. The characteristics of the layers can be sufficiently brought out, and high speed and high performance of the integrated transistor can be achieved.

【0028】また、本実施例では基板にSOI 構造を利用
しているため、その特長を活かしてデバイスの寄生容量
の大幅な低減も可能となり、その結果集積化トランジス
タの高速・高性能化を促進することができる。また、本
実施例ではSiGe層を形成する前にSOI 層と絶縁膜層を同
一の幅で選択エッチングしたが、NMOSFET チャネル形成
領域の直下部分にのみSOI 層を残すように選択エッチン
グした場合でも本発明を達成することができる。
In addition, since the SOI structure is used for the substrate in the present embodiment, the parasitic capacitance of the device can be greatly reduced by utilizing its features, and as a result, the high speed and high performance of the integrated transistor can be promoted. can do. Further, in this example, the SOI layer and the insulating film layer were selectively etched with the same width before forming the SiGe layer, but even if the SOI layer is selectively etched so as to remain only under the NMOSFET channel formation region, it is possible to perform the selective etching. The invention can be achieved.

【0029】(実施例2)図10から図12は、本発明
の第2の実施例に係る半導体装置の製造方法を示す各工
程での断面図である。
(Embodiment 2) FIGS. 10 to 12 are sectional views showing respective steps of a method for manufacturing a semiconductor device according to a second embodiment of the present invention.

【0030】なお実施例1における半導体装置と対応す
る部分には同一符号を付し、その詳細な説明は省略す
る。本実施例の半導体装置が実施例1と主として異なる
点は、SOI 構造を固相エピタキシャルプロセスにより形
成する点である。
The parts corresponding to those of the semiconductor device according to the first embodiment are designated by the same reference numerals, and detailed description thereof will be omitted. The main difference between the semiconductor device of this example and that of Example 1 is that the SOI structure is formed by a solid phase epitaxial process.

【0031】先ず図10に示すように、表面に絶縁膜3
を有するSi基板1 を選択エッチングにより一部開口す
る。次に図11に示すように、基板表面全体にCVD 法に
より厚さ20nm程度の非晶質Si膜2 を形成する。この後こ
の基板を例えば電気炉を用いてN2雰囲気中で600 ℃程度
の熱処理を行うと、開口部から非晶質Si層2 を結晶化す
ることによりSOI 構造を作製することができる。その後
の工程は実施例1に示したものを同様に用いることがで
きる。図12に本実施例の集積化トランジスタの構造を
示す。
First, as shown in FIG. 10, an insulating film 3 is formed on the surface.
A part of the Si substrate 1 having is opened by selective etching. Next, as shown in FIG. 11, an amorphous Si film 2 having a thickness of about 20 nm is formed on the entire surface of the substrate by the CVD method. After that, when this substrate is heat-treated at about 600 ° C. in an N 2 atmosphere using, for example, an electric furnace, the amorphous Si layer 2 is crystallized from the opening, whereby the SOI structure can be formed. For the subsequent steps, those shown in Example 1 can be used similarly. FIG. 12 shows the structure of the integrated transistor of this embodiment.

【0032】(実施例3)図13から図15は、本発明
の第3の実施例に係る半導体装置の製造方法を示す各工
程での断面図である。
(Embodiment 3) FIGS. 13 to 15 are sectional views in each step showing a method of manufacturing a semiconductor device according to a third embodiment of the present invention.

【0033】なお実施例1における半導体装置と対応す
る部分には同一符号を付し、その詳細な説明は省略す
る。本実施例の半導体装置が実施例1と主として異なる
点は、選択エッチングを用いてNMOSFET 及びPMOSFET 形
成予定領域各々のSOI 層の膜厚を制御することにより、
格子緩和状態のSiGe層と、圧縮歪み状態のSiGe層を同一
基板上に形成する点にある。
The parts corresponding to those of the semiconductor device according to the first embodiment are designated by the same reference numerals, and detailed description thereof will be omitted. The main difference between the semiconductor device of the present embodiment and that of the first embodiment is that the thickness of the SOI layer in each of the NMOSFET and PMOSFET formation planned regions is controlled by using selective etching.
The point is that the lattice-relaxed SiGe layer and the compressively strained SiGe layer are formed on the same substrate.

【0034】先ず図13に示すように、表面に厚さ500n
m 程度のSi層(SOI 層)2 と、厚さ100nm 程度の絶縁層
3 を有するシリコン基板1 を準備する。次に図14に示
すように、シリコン基板1表面のSi層2 を選択エッチン
グによりNMOSFE形成予定領域側を5nm 程度に、PMOSFET
形成予定領域側を100nm 程度に薄膜化する。薄膜化する
方法としてはRIE 、CDE 、熱酸化とウエットエッチング
プロセスの繰り返し等がある。
First, as shown in FIG. 13, the surface has a thickness of 500 n.
Si layer (SOI layer) 2 of about m and insulating layer of about 100 nm thick
A silicon substrate 1 having 3 is prepared. Next, as shown in FIG. 14, the Si layer 2 on the surface of the silicon substrate 1 is selectively etched to a region of about 5 nm on the side where the NMOSFE is to be formed, and the PMOSFET
Thin the area to be formed to about 100 nm. Thinning methods include RIE, CDE, thermal oxidation and repeated wet etching processes.

【0035】このような基板を例えばRCA 法において洗
浄した後、エピタキシャルプロセスにより成長温度500
℃で30nm程度のSi0.7Ge0.3層4と30nm程度のSi層を連続
して成長する。この結果、 NMOSFET形成予定領域側には
引っ張り歪状態のSi層を、またPMOSFET 形成予定領域側
には圧縮歪状態のSi0.7Ge0.3層を形成することができ
る。ここでPMOSFET 形成予定領域側のSi0.7Ge0.3層を圧
縮歪状態にするためには、その膜厚をGe組成比と成長温
度で決まる臨界膜厚以下にする必要がある。その後の工
程は実施例1に示した製造工程と同様に行えばよい。図
15に本実施例による集積化トランジスタの断面図を示
す。
After cleaning such a substrate by, for example, the RCA method, a growth temperature of 500 is obtained by an epitaxial process.
A Si0.7Ge0.3 layer 4 of about 30 nm and a Si layer of about 30 nm are continuously grown at ℃. As a result, a tensile strained Si layer can be formed on the NMOSFET formation planned region side, and a compressive strained Si0.7Ge0.3 layer can be formed on the PMOSFET formation planned region side. Here, in order to put the Si0.7Ge0.3 layer on the side of the PMOSFET formation region into the compressive strain state, the film thickness must be below the critical film thickness determined by the Ge composition ratio and the growth temperature. Subsequent steps may be performed in the same manner as the manufacturing steps shown in the first embodiment. FIG. 15 is a sectional view of the integrated transistor according to this example.

【0036】(実施例4)図16から図17は、本発明
の第4の実施例に係る半導体装置の製造方法を示す各工
程での断面図である。また図18はこの半導体装置を上
方から見た平面図である。なお実施例1における半導体
装置と対応する部分には同一符号を付し、その詳細な説
明は省略する。本実施例の半導体装置が実施例1と主と
して異なる点は、SOI 基板を酸素のイオン注入及びその
後の熱処理プロセスにより形成する点にある。
(Embodiment 4) FIGS. 16 to 17 are sectional views showing respective steps of a method for manufacturing a semiconductor device according to a fourth embodiment of the present invention. FIG. 18 is a plan view of this semiconductor device as seen from above. The parts corresponding to those of the semiconductor device according to the first embodiment are designated by the same reference numerals, and detailed description thereof will be omitted. The semiconductor device of this example is different from that of Example 1 mainly in that the SOI substrate is formed by oxygen ion implantation and a subsequent heat treatment process.

【0037】先ず図16に示すように、Si基板1 の表面
を酸化して厚さ1 μm 程度の酸化膜を形成し、この酸化
膜を通常のフォトエッチング法にて、パターニングする
ことにより、PMOSFET 形成予定領域に酸化膜パターン19
を形成する。
First, as shown in FIG. 16, the surface of the Si substrate 1 is oxidized to form an oxide film having a thickness of about 1 μm, and the oxide film is patterned by a normal photoetching method to form a PMOSFET. Oxide film pattern 19 in the area to be formed
To form.

【0038】次に図17に示すように、酸化膜パターン
19をマスクとして酸素のイオン注入を行い、Si基板1中
に酸素の高濃度層3 を形成する。イオン注入の条件は、
注入エネルギー180KeV、注入量4E17cm-2、基板温度600
℃とした。
Next, as shown in FIG. 17, oxide film pattern
Oxygen is ion-implanted using 19 as a mask to form a high concentration layer 3 of oxygen in the Si substrate 1. The conditions for ion implantation are
Implant energy 180 KeV, Implant amount 4E17cm -2 , Substrate temperature 600
℃ was made.

【0039】次に酸化膜パターンを除去した後、試料基
板を例えば電気炉を用いてアルゴンと酸素の混合ガス雰
囲気中で1350℃、4 時間程度の熱処理を行うことによ
り、埋め込み酸化膜層3 とその表面に結晶性を保持した
SOI 層3 を形成する。
Next, after removing the oxide film pattern, the sample substrate is heat-treated at 1350 ° C. for about 4 hours in a mixed gas atmosphere of argon and oxygen using, for example, an electric furnace to form a buried oxide film layer 3 and Retained crystallinity on its surface
The SOI layer 3 is formed.

【0040】この後の工程は、実施例1 に示したものを
同様に用いることができる。図18に本実施例の集積化
トランジスタの構造を示す。図19はこの集積化トラン
ジスタの平面図である。同図において、PMOSFET のチャ
ネル領域( 斜線部分) の下には埋め込み酸化膜層3 がな
いため、この領域に形成されたSiGe層4 は圧縮歪を受け
ている。ここでSiGe層4 を圧縮歪状態にするためには、
その膜厚をGe組成比と成長温度で決まる臨界膜厚以下に
する必要がある。また、本実施例ではSOI 基板を作製す
る際にイオン注入の元素として酸素を用いたが、窒素を
元素として用いた場合にも本発明を達成することができ
る。
For the subsequent steps, those shown in Example 1 can be used in the same manner. FIG. 18 shows the structure of the integrated transistor of this embodiment. FIG. 19 is a plan view of this integrated transistor. In the figure, since there is no buried oxide film layer 3 below the channel region (hatched portion) of the PMOSFET, the SiGe layer 4 formed in this region is subjected to compressive strain. Here, in order to put the SiGe layer 4 into a compressive strain state,
The film thickness must be below the critical film thickness determined by the Ge composition ratio and the growth temperature. In addition, although oxygen was used as an element for ion implantation when manufacturing an SOI substrate in this example, the present invention can also be achieved when nitrogen is used as an element.

【0041】(実施例5)図20から図23は、本発明
の第5の実施例に係る半導体装置の製造方法を示す各工
程での断面図である。また本実施例の半導体装置の断面
図は図18と同じである。なお実施例1と対応する部分
には同一符号を付してあり、詳細な説明は省略する。
(Embodiment 5) FIGS. 20 to 23 are sectional views in each step showing a method of manufacturing a semiconductor device according to a fifth embodiment of the present invention. The sectional view of the semiconductor device of this embodiment is the same as FIG. The parts corresponding to those in the first embodiment are designated by the same reference numerals, and detailed description thereof will be omitted.

【0042】本実施例の半導体装置が実施例1と主とし
て異なる点は、SOI 構造を選択エピタキシャルプロセス
と固相エピタキシャルプロセスとにより形成する点であ
る。先ず図20に示すように、表面に絶縁膜3 を有する
Si基板1 を準備する。
The main difference between the semiconductor device of this embodiment and that of the first embodiment is that the SOI structure is formed by a selective epitaxial process and a solid phase epitaxial process. First, as shown in FIG. 20, an insulating film 3 is provided on the surface.
Prepare Si substrate 1.

【0043】次に図21に示すように、絶縁膜3 を選択
エッチングにより一部開口する。次に図22に示すよう
に、前記開口部分に選択エピタキシャルプロセスにより
単結晶Si膜21を形成する。
Next, as shown in FIG. 21, the insulating film 3 is partially opened by selective etching. Next, as shown in FIG. 22, a single crystal Si film 21 is formed in the opening by a selective epitaxial process.

【0044】次に図23に示すように、基板表面全体に
CVD 法により厚さ20nm程度の非晶質Si膜2 を形成する。
非晶質Si膜2 の厚さは、この後この上に積層されるSi0.
7Ge0.3層4 の膜厚よりも薄くする。次にこの基板を、例
えば電気炉を用いてN2雰囲気中で600 ℃程度の熱処理を
行うと、開口部の単結晶Si膜21を種部として非晶質Si膜
2 を結晶化することによりSOI 構造を作製することがで
きる。その後実施例1に示した製造工程に従えば、同様
な集積化トランジスタを作製することができる。本発明
の要旨を逸脱しない範囲で種々の変形が可能である。
Next, as shown in FIG. 23, the entire substrate surface is
An amorphous Si film 2 having a thickness of about 20 nm is formed by the CVD method.
The thickness of the amorphous Si film 2 is Si0.
It is made thinner than the film thickness of 7Ge0.3 layer 4. Next, this substrate is subjected to a heat treatment at about 600 ° C. in an N2 atmosphere using, for example, an electric furnace, and the single crystal Si film 21 in the opening is used as a seed portion for the amorphous Si film.
An SOI structure can be produced by crystallizing 2. Then, according to the manufacturing process shown in the first embodiment, a similar integrated transistor can be manufactured. Various modifications are possible without departing from the scope of the present invention.

【0045】[0045]

【発明の効果】以上説明したように本発明によれば、同
一基板上に引っ張り歪Si層を用いたNMOSFET と圧縮歪Si
Ge層を用いたPMOSFET を整合性よく形成できるため、そ
れら二つの歪層の特性を十分に引き出した高速・高性能
な集積化トランジスタを実現することができる。
As described above, according to the present invention, an NMOSFET using a tensile strained Si layer and a compressive strained Si layer on the same substrate.
Since the PMOSFET using the Ge layer can be formed with good matching, it is possible to realize a high-speed, high-performance integrated transistor in which the characteristics of these two strained layers are sufficiently extracted.

【図面の簡単な説明】[Brief description of drawings]

【図1】 本発明の第1の実施例に係る半導体装置の製
造方法の各工程の断面図。
FIG. 1 is a sectional view of each step of a method for manufacturing a semiconductor device according to a first embodiment of the present invention.

【図2】 本発明の第1の実施例に係る半導体装置の製
造方法の各工程の断面図。
FIG. 2 is a sectional view of each step of the method for manufacturing the semiconductor device according to the first embodiment of the present invention.

【図3】 本発明の第1の実施例に係る半導体装置の製
造方法の各工程の断面図。
FIG. 3 is a sectional view of each step of the method for manufacturing the semiconductor device according to the first embodiment of the present invention.

【図4】 本発明の第1の実施例に係る半導体装置の製
造方法の各工程の断面図。
FIG. 4 is a sectional view of each step of the method for manufacturing the semiconductor device according to the first embodiment of the present invention.

【図5】 本発明の第1の実施例に係る半導体装置の製
造方法の各工程の断面図。
FIG. 5 is a sectional view of each step of the method for manufacturing the semiconductor device according to the first embodiment of the present invention.

【図6】 本発明の第1の実施例に係る半導体装置の製
造方法の各工程の断面図。
FIG. 6 is a sectional view of each step of the method for manufacturing the semiconductor device according to the first embodiment of the present invention.

【図7】 本発明の第1の実施例に係る半導体装置の製
造方法の各工程の断面図。
FIG. 7 is a sectional view of each step of the method for manufacturing the semiconductor device according to the first embodiment of the present invention.

【図8】 本発明の第1の実施例に係る半導体装置の製
造方法の各工程の断面図。
FIG. 8 is a sectional view of each step of the method for manufacturing the semiconductor device according to the first embodiment of the present invention.

【図9】 本発明の第1の実施例に係る半導体装置の断
面図。
FIG. 9 is a sectional view of the semiconductor device according to the first embodiment of the present invention.

【図10】 本発明の第2の実施例に係る半導体装置の
製造方法の各工程の断面図。
FIG. 10 is a sectional view of each step of the method for manufacturing the semiconductor device according to the second embodiment of the present invention.

【図11】 本発明の第2の実施例に係る半導体装置の
製造方法の各工程の断面図。
FIG. 11 is a sectional view of each step of the method for manufacturing the semiconductor device according to the second embodiment of the present invention.

【図12】 本発明の第2の実施例に係る半導体装置の
断面図。
FIG. 12 is a sectional view of a semiconductor device according to a second embodiment of the present invention.

【図13】 本発明の第3の実施例に係る半導体装置の
製造方法の各工程の断面図。
FIG. 13 is a sectional view of each step of the method for manufacturing the semiconductor device according to the third embodiment of the present invention.

【図14】 本発明の第3の実施例に係る半導体装置の
製造方法の各工程の断面図。
FIG. 14 is a sectional view of each step of the method for manufacturing the semiconductor device according to the third embodiment of the present invention.

【図15】 本発明の第3の実施例に係る半導体装置の
断面図。
FIG. 15 is a sectional view of a semiconductor device according to a third embodiment of the present invention.

【図16】 本発明の第4の実施例に係る半導体装置の
製造方法の各工程の断面図。
FIG. 16 is a sectional view of each step of the method for manufacturing the semiconductor device according to the fourth embodiment of the present invention.

【図17】 本発明の第4の実施例に係る半導体装置の
製造方法の各工程の断面図。
FIG. 17 is a sectional view of each step of the method for manufacturing the semiconductor device according to the fourth embodiment of the present invention.

【図18】 本発明の第4、5の実施例に係る半導体装
置の断面図。
FIG. 18 is a sectional view of a semiconductor device according to fourth and fifth embodiments of the present invention.

【図19】 本発明の第4の実施例に係る半導体装置の
上面図。
FIG. 19 is a top view of a semiconductor device according to a fourth embodiment of the present invention.

【図20】 本発明の第5の実施例に係る半導体装置の
製造方法の各工程の断面図。
FIG. 20 is a sectional view of each step of the method for manufacturing the semiconductor device according to the fifth embodiment of the present invention.

【図21】 本発明の第5の実施例に係る半導体装置の
製造方法の各工程の断面図。
FIG. 21 is a sectional view of each step of the method for manufacturing the semiconductor device according to the fifth embodiment of the present invention.

【図22】 本発明の第5の実施例に係る半導体装置の
製造方法の各工程の断面図。
FIG. 22 is a sectional view of each step of the method for manufacturing the semiconductor device according to the fifth embodiment of the present invention.

【図23】 本発明の第5の実施例に係る半導体装置の
製造方法の各工程の断面図。
FIG. 23 is a sectional view of each step of the method for manufacturing the semiconductor device according to the fifth embodiment of the present invention.

【符号の説明】[Explanation of symbols]

1…シリコン基板;2…SOI 層;3…絶縁層;4…シリ
コンゲルマニウム層;5…シリコン層;6…素子分離
層;7…レジストパターン;8…ゲート酸化膜;9…ゲ
ート電極;10…N 型ソース領域基板;11…N 型ドレ
イン領域;12…P型ソース領域;13…P 型ドレイン
領域;14…層間絶縁膜;15…ソース電極;16…ド
レイン電極;17…ソース電極;18…ドレイン電極
1 ... Silicon substrate; 2 ... SOI layer; 3 ... Insulating layer; 4 ... Silicon germanium layer; 5 ... Silicon layer; 6 ... Element isolation layer; 7 ... Resist pattern; 8 ... Gate oxide film; 9 ... Gate electrode; N-type source region substrate; 11 ... N-type drain region; 12 ... P-type source region; 13 ... P-type drain region; 14 ... Interlayer insulating film; 15 ... Source electrode; 16 ... Drain electrode; 17 ... Source electrode; 18 ... Drain electrode

フロントページの続き (72)発明者 手塚 勉 神奈川県川崎市幸区小向東芝町1番地 株式会社東芝 研究開発センター内 (72)発明者 平岡 佳子 神奈川県川崎市幸区小向東芝町1番地 株式会社東芝 研究開発センター内 (72)発明者 黒部 篤 神奈川県川崎市幸区小向東芝町1番地 株式会社東芝 研究開発センター内 (56)参考文献 特開 昭60−52052(JP,A) 特開 平3−187269(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 29/78 H01L 29/786 H01L 21/336 H01L 21/092 H01L 27/08 H01L 27/092 H01L 21/8238 Front page continuation (72) Inventor Tsutomu Tezuka 1 Komukai Toshiba-cho, Sachi-ku, Kawasaki-shi, Kanagawa Toshiba Research & Development Center Co., Ltd. (72) Inventor Keiko Hiraoka Komukai-shi Toshiba-cho, Kawasaki-shi, Kanagawa 1-share Company Toshiba Research & Development Center (72) Inventor Atsushi Kurobe 1 Komukai Toshiba-cho, Saiwai-ku, Kawasaki City, Kanagawa Prefecture Toshiba Research & Development Center (56) Reference JP-A-60-52052 (JP, A) JP Flat 3-187269 (JP, A) (58) Fields surveyed (Int.Cl. 7 , DB name) H01L 29/78 H01L 29/786 H01L 21/336 H01L 21/092 H01L 27/08 H01L 27/092 H01L 21/8238

Claims (9)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】シリコン基板と、 このシリコン基板上に形成された絶縁層と、 この絶縁層上に形成されたシリコン層と、 このシリコン層上に形成された格子緩和状態のシリコン
ゲルマニウム層と、 このシリコンゲルマニウム層上に形成された引っ張り歪
み状態のシリコン層と、 この引っ張り歪み状態のシリコン層中に形成されたソー
ス領域、チャネル領域、ドレイン領域と、 このチャネル領域上に形成されたゲート領域と、 前記シリコン基板の前記絶縁層が形成されていない表面
に直接接して形成され、かつ当該絶縁層が形成されてい
ない表面上に位置する部分が圧縮歪み状態となっている
シリコンゲルマニウム層と、このシリコンゲルマニウム層のうち前記絶縁層が形成さ
れていない表面上に位置する部分に形成されたチャネル
領域、このチャネル領域を挟んで該シリコンゲルマニウ
ム層に形成された ソース領域、ドレイン領域と、 チャネル領域上に形成されたゲート領域とを具備する
ことを特徴とする半導体装置。
1. A silicon substrate, an insulating layer formed on the silicon substrate, a silicon layer formed on the insulating layer, a lattice-relaxed silicon germanium layer formed on the silicon layer, A tensile-strained silicon layer formed on the silicon-germanium layer; a source region, a channel region, and a drain region formed in the tensile-strained silicon layer; and a gate region formed on the channel region. , wherein the insulating layer of the silicon substrate is formed in direct contact with the surface that has not been formed, and has the insulating layer is formed
The part located on the non-surface is in a compressive strain state.The silicon germanium layer and the insulating layer of the silicon germanium layer are formed.
A channel formed in an area located on an open surface
Region, the silicon germanium layer sandwiching this channel region
The semiconductor device according to claim source region formed in arm layer, and the drain region, that includes a gate region formed on said channel region.
【請求項2】前記格子緩和状態のシリコンゲルマニウム
層と、前記シリコン基板の前記絶縁層が形成されていな
い表面に直接接して形成されるシリコンゲルマニウム層
とを同時に形成していることを特徴とする請求項1記載
の半導体装置。
2. The lattice-relaxed silicon germanium layer and the insulating layer of the silicon substrate are not formed.
2. The semiconductor device according to claim 1, wherein a silicon germanium layer formed directly in contact with the other surface is formed at the same time.
【請求項3】前記引っ張り歪み状態のシリコン層中に形
成されたチャネル領域を主に電子の流れる領域とし、前
記シリコン基板の前記絶縁層が形成されていない表面に
直接接して形成されるシリコンゲルマニウム中に形成さ
れたチャネル領域を主に正孔の流れる領域としているこ
とを特徴とする請求項1或いは2記載の半導体装置。
3. Formed in the tensile strained silicon layer.
The formed channel region is mainly used as an electron flow region.
On the surface of the silicon substrate where the insulating layer is not formed
Formed in silicon germanium formed by direct contact
The open channel region is mainly used as a hole flow region.
The semiconductor device according to claim 1, wherein:
【請求項4】シリコン基板と、 このシリコン基板上に形成された絶縁層と、 この絶縁層上に形成された第1の領域のシリコン層と、 前記絶縁層上に形成され前記第1の領域のシリコン層の
膜厚よりも厚い第2の領域のシリコン層と、 前記第1の領域のシリコン層上に形成された格子緩和状
態のシリコンゲルマニウム層と、 このシリコンゲルマニウム層上に形成された引っ張り歪
み状態のシリコン層と、 この引っ張り歪み状態のシリコン層中に形成されたソー
ス領域、チャネル領域、ドレイン領域と、 このチャネル領域上に形成されたゲート領域と、 前記第2の領域のシリコン層上に形成された圧縮歪み状
態のシリコンゲルマニウム層と、 この圧縮歪み状態のシリコンゲルマニウム層中に形成さ
れたソース領域、チャネル領域、ドレイン領域と、 このチャネル領域上に形成されたゲート領域とを具備す
ることを特徴とする半導体装置。
4. A silicon substrate, an insulating layer formed on the silicon substrate, a first region silicon layer formed on the insulating layer, and a first region formed on the insulating layer. A silicon layer in a second region thicker than the silicon layer in the first region, a silicon germanium layer in a lattice relaxation state formed on the silicon layer in the first region, and a tension formed on the silicon germanium layer. A strained silicon layer, a source region, a channel region, and a drain region formed in the tensile strained silicon layer, a gate region formed on the channel region, and a silicon layer in the second region. And a source region, a channel region, and a drain formed in the compressively strained silicon germanium layer Semiconductor device characterized by comprising a band, and the formed gate region to the channel region.
【請求項5】前記引っ張り歪み状態のシリコン層中に形
成されたチャネル領域を主に電子の流れる領域とし、前
記圧縮歪み状態のシリコンゲルマニウム中に形成された
チャネル領域を主に正孔の流れる領域としていることを
特徴とする請求項記載の半導体装置。
5. A channel region formed mainly in the tensile-strained silicon layer is used as an electron flow region, and a channel region formed mainly in the compressive-strained silicon germanium is mainly used as hole flow regions. The semiconductor device according to claim 4 , wherein:
【請求項6】シリコン基板上に絶縁層を介してシリコン
層が形成された基板を準備し、前記シリコン層及び前記
絶縁層の一部を開口し前記シリコン基板の表面を露出す
る工程と、 エピタキシャルプロセスにより、前記絶縁層上の前記シ
リコン層上には格子緩和状態のシリコンゲルマニウム層
を、前記シリコン基板の露出した表面上には圧縮歪状態
のシリコンゲルマニウム層を同時に形成する工程とを具
備することを特徴とする半導体装置の製造方法。
6. A step of preparing a substrate in which a silicon layer is formed on a silicon substrate via an insulating layer, exposing a surface of the silicon substrate by opening a part of the silicon layer and the insulating layer, and epitaxially. A step of simultaneously forming a lattice-relaxed silicon germanium layer on the silicon layer on the insulating layer and a compressive strained silicon germanium layer on the exposed surface of the silicon substrate by a process. A method for manufacturing a semiconductor device, comprising:
【請求項7】部分的に開口された絶縁層を表面に有する
シリコン基板全面に非晶質シリコン層を形成する工程
と、 熱処理によって、前記開口された絶縁層のシリコン基板
表面が露出している部分から前記非晶質シリコンを結晶
化する工程と、 エピタキシャルプロセスにより、前記絶縁層上に形成さ
れた結晶化シリコン層上には格子緩和状態のシリコンゲ
ルマニウム層、前記絶縁層の開口部に形成された結晶化
シリコン上には圧縮歪状態のシリコンゲルマニウム層
を同時に形成する工程とを具備することを特徴とする半
導体装置の製造方法。
7. A step of forming an amorphous silicon layer on the entire surface of a silicon substrate having a partially opened insulating layer on the surface thereof, and a heat treatment exposing the silicon substrate surface of the opened insulating layer. A step of crystallizing the amorphous silicon from a portion, and a lattice-relaxed silicon germanium layer on the crystallized silicon layer formed on the insulating layer and an opening portion of the insulating layer by an epitaxial process. And a step of simultaneously forming a compressively strained silicon germanium layer on the crystallized silicon layer .
【請求項8】イオン注入及びその後の熱処理によって、
シリコン基板の内部に部分的に絶縁層を形成する工程
と、 エピタキシャルプロセスにより、前記シリコン基板の絶
縁層が存在する領域上には格子緩和状態のシリコンゲル
マニウム層を、前記シリコン基板の絶縁層が存在しない
領域上には圧縮歪状態のシリコンゲルマニウム層を同時
に形成する工程とを具備することを特徴とする半導体装
置の製造方法。
8. By ion implantation and subsequent heat treatment,
By the process of partially forming an insulating layer inside the silicon substrate and the epitaxial process, the lattice-relaxed silicon germanium layer is formed on the region where the insulating layer of the silicon substrate exists, and the insulating layer of the silicon substrate exists. And a step of simultaneously forming a compression-strained silicon germanium layer on a region not to be formed.
【請求項9】エピタキシャルプロセスにより、前記格子
緩和状態のシリコンゲルマニウム層上に、引っ張り歪状
態のシリコン層を形成することを特徴とする請求項6、
7或いは8記載の半導体装置の製造方法。
9. A tensile-strained silicon layer is formed on the lattice-relaxed silicon-germanium layer by an epitaxial process .
7. The method for manufacturing a semiconductor device according to 7 or 8 .
JP02379796A 1996-02-09 1996-02-09 Semiconductor device and manufacturing method thereof Expired - Fee Related JP3372158B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP02379796A JP3372158B2 (en) 1996-02-09 1996-02-09 Semiconductor device and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP02379796A JP3372158B2 (en) 1996-02-09 1996-02-09 Semiconductor device and manufacturing method thereof

Publications (2)

Publication Number Publication Date
JPH09219524A JPH09219524A (en) 1997-08-19
JP3372158B2 true JP3372158B2 (en) 2003-01-27

Family

ID=12120323

Family Applications (1)

Application Number Title Priority Date Filing Date
JP02379796A Expired - Fee Related JP3372158B2 (en) 1996-02-09 1996-02-09 Semiconductor device and manufacturing method thereof

Country Status (1)

Country Link
JP (1) JP3372158B2 (en)

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000243854A (en) 1999-02-22 2000-09-08 Toshiba Corp Semiconductor device and its manufacture
KR100495023B1 (en) * 2000-12-28 2005-06-14 가부시끼가이샤 도시바 A semiconductor device and manufacturing method thereof
JP3678661B2 (en) 2001-03-08 2005-08-03 シャープ株式会社 Semiconductor device
US6944056B2 (en) 2001-04-02 2005-09-13 Renesas Technology Corp. Semiconductor non-volatile storage device
WO2003015142A2 (en) 2001-08-06 2003-02-20 Massachusetts Institute Of Technology Formation of planar strained layers
US6974735B2 (en) 2001-08-09 2005-12-13 Amberwave Systems Corporation Dual layer Semiconductor Devices
ATE449420T1 (en) * 2001-08-09 2009-12-15 Amberwave Systems Corp DOUBLE LAYER CMOS COMPONENTS
US6861326B2 (en) * 2001-11-21 2005-03-01 Micron Technology, Inc. Methods of forming semiconductor circuitry
JP3825688B2 (en) 2001-12-25 2006-09-27 株式会社東芝 Manufacturing method of semiconductor device
JP2003264290A (en) 2002-03-08 2003-09-19 Fujitsu Ltd Semiconductor device and its manufacturing method
AU2003238963A1 (en) 2002-06-07 2003-12-22 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
JP3506694B1 (en) 2002-09-02 2004-03-15 沖電気工業株式会社 MOSFET device and manufacturing method thereof
US7329923B2 (en) * 2003-06-17 2008-02-12 International Business Machines Corporation High-performance CMOS devices on hybrid crystal oriented substrates
CN100536167C (en) 2003-08-05 2009-09-02 富士通微电子株式会社 Semiconductor device and preparation method thereof
US7662689B2 (en) * 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
JP2005197405A (en) * 2004-01-06 2005-07-21 Toshiba Corp Semiconductor device and manufacturing method therefor
JP2005244020A (en) 2004-02-27 2005-09-08 Toshiba Corp Semiconductor device and its manufacturing method
JP4177775B2 (en) 2004-03-16 2008-11-05 株式会社東芝 Semiconductor substrate, manufacturing method thereof, and semiconductor device
US7005302B2 (en) * 2004-04-07 2006-02-28 Advanced Micro Devices, Inc. Semiconductor on insulator substrate and devices formed therefrom
US7087965B2 (en) 2004-04-22 2006-08-08 International Business Machines Corporation Strained silicon CMOS on hybrid crystal orientations
WO2005112129A1 (en) 2004-05-13 2005-11-24 Fujitsu Limited Semiconductor device and process for fabricating same, and process for producing semiconductor substrate
JP4751825B2 (en) * 2004-05-13 2011-08-17 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof, semiconductor substrate and manufacturing method thereof
US7223994B2 (en) * 2004-06-03 2007-05-29 International Business Machines Corporation Strained Si on multiple materials for bulk or SOI substrates
JP4157496B2 (en) 2004-06-08 2008-10-01 株式会社東芝 Semiconductor device and manufacturing method thereof
US7791107B2 (en) * 2004-06-16 2010-09-07 Massachusetts Institute Of Technology Strained tri-channel layer for semiconductor-based electronic devices
JP4604637B2 (en) 2004-10-07 2011-01-05 ソニー株式会社 Semiconductor device and manufacturing method of semiconductor device
US7229901B2 (en) * 2004-12-16 2007-06-12 Wisconsin Alumni Research Foundation Fabrication of strained heterojunction structures
KR100637692B1 (en) * 2005-06-27 2006-10-25 주식회사 하이닉스반도체 Semiconductor device and method for fabrication of the same
US7488670B2 (en) * 2005-07-13 2009-02-10 Infineon Technologies Ag Direct channel stress
US7678630B2 (en) 2006-02-15 2010-03-16 Infineon Technologies Ag Strained semiconductor device and method of making same
JP2008021827A (en) * 2006-07-13 2008-01-31 Renesas Technology Corp Manufacturing method for semiconductor device
DE102006051492B4 (en) * 2006-10-31 2011-05-19 Advanced Micro Devices, Inc., Sunnyvale Semiconductor device with NMOS and PMOS transistors with embedded Si / Ge material for generating a tensile deformation and a compression deformation and a method for producing such a semiconductor device
WO2008054678A1 (en) * 2006-10-31 2008-05-08 Advanced Micro Devices Inc. A semiconductor device comprising nmos and pmos transistors with embedded si/ge material for creating tensile and compressive strain
EP2009694A3 (en) 2007-06-29 2017-06-21 Semiconductor Energy Laboratory Co, Ltd. Semiconductor device and manufacturing method thereof
JP2009135334A (en) * 2007-11-30 2009-06-18 Toshiba Corp Semiconductor memory device and manufacturing method thereof
US7524740B1 (en) 2008-04-24 2009-04-28 International Business Machines Corporation Localized strain relaxation for strained Si directly on insulator
US20160126337A1 (en) * 2013-05-31 2016-05-05 Hitachi Kokusai Electric Inc. Substrate processing apparatus, semiconductor device manufacturing method, and substrate processing method

Also Published As

Publication number Publication date
JPH09219524A (en) 1997-08-19

Similar Documents

Publication Publication Date Title
JP3372158B2 (en) Semiconductor device and manufacturing method thereof
JP3376211B2 (en) Semiconductor device, method of manufacturing semiconductor substrate, and method of manufacturing semiconductor device
US5847419A (en) Si-SiGe semiconductor device and method of fabricating the same
JP3512701B2 (en) Semiconductor device and manufacturing method thereof
US7198995B2 (en) Strained finFETs and method of manufacture
JP4258034B2 (en) Semiconductor device and manufacturing method of semiconductor device
JP4678877B2 (en) Silicon devices on Si: C-OI and SGOI and manufacturing methods
US7662701B2 (en) Gettering of silicon on insulator using relaxed silicon germanium epitaxial proximity layers
US7256142B2 (en) Relaxed SiGe platform for high speed CMOS electronics and high speed analog circuits
JP3678661B2 (en) Semiconductor device
US20040227169A1 (en) Semiconductor devices and method for manufacturing the same
US5428234A (en) Semiconductor device
US20020168802A1 (en) SiGe/SOI CMOS and method of making the same
JP2002237590A (en) Mos field effect transistor
JP3927165B2 (en) Semiconductor device
WO2000060671A1 (en) Semiconductor device and semiconductor substrate
JPS5856409A (en) Production of semiconductor device
JP2005277420A (en) Method for forming local "silicon-on-nothing" wafer having tensile strain or "silicon-on-insulator"
JP3873012B2 (en) Manufacturing method of semiconductor device
JP2001217430A (en) Method of manufacturing semiconductor substrate and semiconductor substrate manufactured thereby
JP3383154B2 (en) Semiconductor device
JP2000031491A (en) Semiconductor device, its manufacture, semiconductor substrate and its manufacture
JP3311940B2 (en) Semiconductor device and manufacturing method thereof
US20030077882A1 (en) Method of forming strained-silicon wafer for mobility-enhanced MOSFET device
JPH11163343A (en) Semiconductor device and its manufacture

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20071122

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081122

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091122

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101122

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101122

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111122

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121122

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131122

Year of fee payment: 11

LAPS Cancellation because of no payment of annual fees