JPH0926986A - Test pattern generating method and delay verifying method - Google Patents

Test pattern generating method and delay verifying method

Info

Publication number
JPH0926986A
JPH0926986A JP7175808A JP17580895A JPH0926986A JP H0926986 A JPH0926986 A JP H0926986A JP 7175808 A JP7175808 A JP 7175808A JP 17580895 A JP17580895 A JP 17580895A JP H0926986 A JPH0926986 A JP H0926986A
Authority
JP
Japan
Prior art keywords
path
test pattern
delay
value
input
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP7175808A
Other languages
Japanese (ja)
Inventor
Takashi Okada
崇 岡田
Toru Shonai
亨 庄内
Takashi Suzuki
敬 鈴木
Konagi Uchibe
こなぎ 内部
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP7175808A priority Critical patent/JPH0926986A/en
Publication of JPH0926986A publication Critical patent/JPH0926986A/en
Pending legal-status Critical Current

Links

Landscapes

  • Tests Of Electronic Circuits (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)

Abstract

PROBLEM TO BE SOLVED: To easily generate a test pattern activating a preliminarily designated path by assuming the initial value of a path table and providing the descrepancy check means of a determined input value and a path determination means. SOLUTION: The initial value of a path table 109 is set by an initialization means 105, the input value of an element is determined by an input value determination means 106, whether the input value is discrepant or not is decided by a discrepancy check means 107, whether the setting of the next path and the generation of a test pattern are terminated or not is decided by a path determination means 108 and the generation result is outputted. Namely, a circuit description 101, element delay information 102 and path designation information 103 are inputted and values are stored in the path table 109 based on the initialization means 105. Based on the input value determination means 106, the discrepancy check means 107 and the path determination means 108, the value of the path table 109 is changed, and a test pattern 111 or an error 110 is outputted. Thus, the test pattern activating a preliminarily designated path can be easily generated and processing speed becomes quick.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は、論理回路のテストパタ
ン生成方法及びそれを利用した遅延検証方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a test pattern generation method for a logic circuit and a delay verification method using the test pattern generation method.

【0002】[0002]

【従来の技術】ディジタル回路の動作速度は、パスの最
大遅延によって決定される。そのため回路の設計におい
ては、パスの遅延を検証する必要がある。その検証方法
として、素子等の遅延を考慮する論理シミュレーション
によってパスの信号値の遅延を調べる方法がある。論理
シミュレーションを用いる方法では、どのパスが活性化
するかはシミュレーション時のテストパタンに依存す
る。従って、指定したパス、特に、遅延の大きいクリテ
ィカルなパスに対し、なるべく多くのパスを検証できる
サイズの小さいテストパタンを生成する必要が生じる。
The speed of operation of digital circuits is determined by the maximum delay of the path. Therefore, it is necessary to verify the path delay in the circuit design. As a verification method, there is a method of examining the delay of the signal value of the path by a logical simulation that considers the delay of the element or the like. In the method using the logic simulation, which path is activated depends on the test pattern at the time of simulation. Therefore, it becomes necessary to generate a test pattern of a small size capable of verifying as many paths as possible for a designated path, particularly a critical path with a large delay.

【0003】従来、指定したパスを活性化させるテスト
パタンを生成する方法には、回路を分割し、グラフ表現
を生成して、素子の入力側から出力側へ不定信号Pを伝
搬していき、パスの網羅度を判定する方法が、特開平5
−150007で述べられている。
Conventionally, in a method of generating a test pattern for activating a designated path, a circuit is divided, a graph expression is generated, and an indefinite signal P is propagated from an input side of an element to an output side thereof. A method for determining the degree of coverage of a path is disclosed in Japanese Patent Laid-Open No. H5-
-150007.

【0004】[0004]

【発明が解決しようとする課題】予め指定したパスを活
性化させるようなテストパタンを生成する場合、従来の
方法では、パスの網羅度を判定することが目的であった
ために、テストパタンを発生させることが出来なくても
問題は無く、テストパタン生成率が悪くなる。また、回
路を分割するために、回路の規模は小さくなるが、入力
ピンから出力ピンまで通してみると、矛盾が発生する可
能性がある。
In the case of generating a test pattern that activates a previously designated path, the conventional method had the purpose of determining the coverage of the path, so the test pattern was generated. Even if it cannot be done, there is no problem and the test pattern generation rate becomes poor. Further, since the circuit size is reduced because the circuit is divided, there is a possibility that a contradiction occurs when the circuit is routed from the input pin to the output pin.

【0005】本発明の目的は、予め指定されたパスを活
性化させるようなテストパタンを容易に生成する方法を
提供することにある。
An object of the present invention is to provide a method for easily generating a test pattern that activates a predesignated path.

【0006】[0006]

【課題を解決するための手段】本発明は上記目的を達成
するため、論理回路記述、素子遅延情報、パス指定情報
を入力として、前記回路の指定パスを活性化するテスト
パタン生成方法において、初期設定手段によってパステ
ーブルの初期値を設定し、入力値決定手段によって前記
素子の入力値を決定し、矛盾チェック手段によって前記
入力値が矛盾するか否かを判定し、パス決定手段によっ
て次のパスの設定とテストパタン生成終了か否かを判定
し、生成結果を出力する。
In order to achieve the above object, the present invention provides a test pattern generation method for activating a designated path of a circuit by inputting a logic circuit description, element delay information and path designation information. The initial value of the path table is set by the setting means, the input value of the element is decided by the input value deciding means, the contradiction checking means decides whether or not the input values contradict, and the path deciding means decides the next path. And whether or not the test pattern generation is completed is output, and the generation result is output.

【0007】[0007]

【作用】上記手段により、予め指定されたパスを活性化
させるテストパタンを容易に生成することが出来る。ま
た、対象パスに対してのみテストパタンを生成していく
ために、処理速度も速い。
By the above means, it is possible to easily generate a test pattern for activating a previously designated path. Also, the processing speed is high because the test pattern is generated only for the target path.

【0008】[0008]

【実施例】図1は本発明の一実施例の全体を説明する図
である。テストパタン生成装置104は、回路記述10
1、素子遅延情報102、パス指定情報103を入力と
し、初期設定手段105を基にパステーブル109に値
を格納する。入力値決定手段106と矛盾チェック手段
107とパス決定手段108を基にパステーブル109
の値を変更し、テストパタン111またはエラー110
を出力する。
DESCRIPTION OF THE PREFERRED EMBODIMENTS FIG. 1 is a diagram for explaining the whole of one embodiment of the present invention. The test pattern generation device 104 uses the circuit description 10
1, the element delay information 102 and the path designation information 103 are input, and the values are stored in the path table 109 based on the initial setting means 105. A path table 109 based on the input value determining means 106, the contradiction checking means 107, and the path determining means 108.
Value of the test pattern 111 or error 110
Is output.

【0009】以下、図2、図3、図4、図5を用いて入
力値決定手段106、矛盾チェック手段107、パス決
定手段108、初期設定手段105の処理手順を説明す
る。また、図6を用いてパステーブル109の構造を説
明する。また、図7を用いて入力値を決定する条件を説
明する。また、図8〜図15を用いて具体例についての
処理を説明する。また、図16を用いてエラーの場合の
出力を説明する。最後に、図17を用いて結果の出力を
説明する。
The processing procedure of the input value determining means 106, the contradiction checking means 107, the path determining means 108, and the initial setting means 105 will be described below with reference to FIGS. 2, 3, 4, and 5. The structure of the path table 109 will be described with reference to FIG. The conditions for determining the input value will be described with reference to FIG. In addition, processing regarding a specific example will be described with reference to FIGS. The output in the case of an error will be described with reference to FIG. Finally, the output of the result will be described with reference to FIG.

【0010】図2は入力値決定手段106を詳細に説明
した図である。201は素子と出力値から一意に入力値
が決まるならば202へ、決まらないならば203へ分
岐する分岐条件である。202は入力値を求め、パステ
ーブル(図6の604)に格納する。203は前記素子
に最も早く到達するパスを求める。204は図7より入
力値を求め、パステーブル(図6の605)に格納す
る。205は矛盾チェック手段(図3)を呼ぶ。
FIG. 2 is a diagram for explaining the input value determining means 106 in detail. 201 is a branch condition for branching to 202 if the input value is uniquely determined from the element and the output value, and to 203 if not. 202 obtains an input value and stores it in the path table (604 in FIG. 6). 203 finds the fastest path to reach the element. 204 obtains an input value from FIG. 7 and stores it in the path table (605 in FIG. 6). Reference numeral 205 is a contradiction checking means (FIG. 3).

【0011】図3は矛盾チェック手段107を詳細に説
明した図である。301は2つの入力値(図2の20
2、204)が異なるならば304へ、異ならないなら
ば302へ分岐する分岐条件である。302は再収斂パ
スの同一入力信号が一致するならば303へ、一致しな
いならば304へ分岐する分岐条件である。ここで、再
収斂パスとは、前記入力値から幾つかの素子を介して前
記出力値へ到達するパスが複数存在していることを表わ
している。303はパス決定手段(図4)を呼ぶ。30
4は出力ピンの値が1であるならば308へ、1でない
ならば305へ分岐する分岐条件である。305は出力
ピンの値を1とする。306は入力ピンパスを除く全パ
スを対象パスとする。307は入力値決定手段(図2)
を呼ぶ。308はテストパタン生成失敗と出力する。
FIG. 3 is a diagram for explaining the contradiction checking means 107 in detail. 301 indicates two input values (20 in FIG. 2).
2 and 204) are different, the branch condition is to branch to 304, and if they are not different, branch to 302. Reference numeral 302 is a branch condition for branching to 303 if the same input signal on the reconvergence path matches, and to 304 if they do not match. Here, the reconvergence path means that there are a plurality of paths that reach the output value from the input value via some elements. Reference numeral 303 is a path determining means (FIG. 4). 30
4 is a branch condition for branching to 308 if the value of the output pin is 1, and to 305 if the value is not 1. 305 sets the value of the output pin to 1. 306 sets all paths except the input pin path as the target paths. 307 is an input value determining means (FIG. 2)
Call. 308 outputs a test pattern generation failure.

【0012】図4はパス決定手段108を詳細に説明し
た図である。401は現パスのパステーブル(図6の6
03)を対象パス完とする。402はoff-pathの入力値
がXであるならば408へ、Xでないならば403へ分岐
する分岐条件である。403は入力パスが対象外パスと
なっている場合には対象パスとする。404は対象パス
が終了したならば405へ、終了していないならば40
9へ分岐する分岐条件である。405は複数の入力値が
あるならば406へ、ないならば407へ分岐する分岐
条件である。406は複数の入力値の中で最適な入力値
を選ぶ。407は入力ピンの活性化を行う。408はX
値以降の全てのパスのパステーブル(図6の603)を
対象外パスとする。409はon-pathを優先して次のパ
スを指定する。410は入力値決定手段(図2)を呼
ぶ。
FIG. 4 is a diagram for explaining the path determining means 108 in detail. 401 is the path table of the current path (6 in FIG. 6).
03) completes the target path. 402 is a branch condition for branching to 408 if the input value of off-path is X and to 403 if it is not X. If the input path is a non-target path, 403 is a target path. 404 goes to 405 if the target path is completed, 40 if it is not completed
It is a branch condition for branching to 9. A branching condition 405 branches to 406 if there are a plurality of input values and to 407 if there are no input values. 406 selects an optimum input value from a plurality of input values. 407 activates the input pin. 408 is X
The path table of all paths after the value (603 in FIG. 6) is set as the non-target path. 409 gives priority to on-path and specifies the next path. Reference numeral 410 is an input value determining means (FIG. 2).

【0013】図5は初期設定手段105を詳細に説明し
た図である。501は全てのパスに対し前記素子までの
Maxディレイを求め、パステーブルのMaxディレイ(図6
の602)に格納する。502は指定した出力ピンに対
応したパステーブルの値1、値2(図6の604、60
5)を0とする。503は502以外のパステーブルの
値1、値2(図6の604、605)をXとする。50
4は入力ピンに対応したパステーブルのフラグ(図6の
603)をXとする。505は504以外のパステーブ
ルのフラグ(図6の603)を1とする。506は指定
した出力ピン以外の出力ピンに対応したパステーブルの
フラグ(図6の603)を対象外(0)とする。
FIG. 5 is a diagram showing in detail the initial setting means 105. 501 is for all paths up to the element
The Max delay is calculated, and the Max delay of the path table (Fig. 6
602). Reference numeral 502 denotes values 1 and 2 in the path table corresponding to the designated output pin (604 and 60 in FIG. 6).
5) is set to 0. 503 sets X to values 1 and 2 (604 and 605 in FIG. 6) of the path table other than 502. 50
4 sets X to the flag (603 in FIG. 6) of the path table corresponding to the input pin. 505 sets the flags (603 in FIG. 6) of the path table other than 504 to 1. Reference numeral 506 excludes the flag (603 in FIG. 6) of the path table corresponding to the output pin other than the designated output pin from the target (0).

【0014】図6はパステーブル109の構造を詳細に
説明した図である。601は前記回路のパス名を全て入
力する。602は図5の501で求めたパスのディレイ
を入力する。603は対象パスか対象外パスか対象パス
終了か入力ピンパスのいずれかを入力する。604は図
2の201が成立するときに値を入力する。605は図
2の204で求めた値を入力する。
FIG. 6 is a diagram showing in detail the structure of the path table 109. 601 inputs all the path names of the circuit. 602 inputs the delay of the path obtained in 501 of FIG. 603 inputs one of the target path, the non-target path, the target path end, and the input pin path. A value 604 is input when 201 in FIG. 2 is satisfied. In 605, the value obtained in 204 of FIG. 2 is input.

【0015】図7は入力値を決定する条件を説明した図
である。701は前記素子に到達するパスのうち、早く
到達したパスが指定パスか否かで分岐を行う。702は
前記素子と出力値の条件によって分岐を行う。703は
求めたい前記条件に対する入力値である。
FIG. 7 is a diagram for explaining the conditions for determining the input value. A branch 701 branches depending on whether or not a path that reaches the element earlier is a designated path. 702 branches depending on the condition of the element and the output value. Reference numeral 703 is an input value for the condition to be obtained.

【0016】次に、図8と図9の回路とデータを用いて
実施例の処理例を具体的に示す。
Next, a processing example of the embodiment will be concretely described by using the circuits and data shown in FIGS.

【0017】図8(a)は回路の例である。G1、G
6、G7はNOTゲート、G3、G4、G8、G9、G
10、G11はANDゲート、G5、G12、G13は
ORゲート、G2はNANDゲートである。a〜pはパ
ス名である。図8(b)は図8(a)の回路を記述した
回路記述101の例である。図8(c)は素子遅延情報
102の例である。図8(d)はパス指定情報103の
例である。
FIG. 8A is an example of a circuit. G1, G
6, G7 are NOT gates, G3, G4, G8, G9, G
Reference numerals 10 and G11 are AND gates, G5, G12 and G13 are OR gates, and G2 is a NAND gate. a to p are path names. FIG. 8B is an example of the circuit description 101 describing the circuit of FIG. 8A. FIG. 8C is an example of the element delay information 102. FIG. 8D is an example of the path designation information 103.

【0018】図9(a)は回路の例である。G1、G
6、G7はNOTゲート、G3、G4、G8、G9、G
10、G11はANDゲート、G5、G12、G13は
ORゲート、G2はNANDゲートである。a〜pはパ
ス名である。図9(b)は図9(a)の回路を記述した
回路記述101の例である。図9(c)は素子遅延情報
102の例である。図9(d)はパス指定情報103の
例である。
FIG. 9A shows an example of a circuit. G1, G
6, G7 are NOT gates, G3, G4, G8, G9, G
Reference numerals 10 and G11 are AND gates, G5, G12 and G13 are OR gates, and G2 is a NAND gate. a to p are path names. FIG. 9B is an example of the circuit description 101 describing the circuit of FIG. 9A. FIG. 9C is an example of the element delay information 102. FIG. 9D is an example of the path designation information 103.

【0019】図10から図12は図8の例を基にして作
成したパステーブルである。図10は初期設定手段10
5を実行した時のパステーブルである。図11は素子G
12について実行した時のパステーブルである。まず、
入力値決定手段106を実行する。201では素子G1
2(OR)とパスoの出力値(1015の値1欄より
0)から、一意に入力値が決まるため、202へ行き、
パスkの値1(1011の値1欄)、パスlの値1(1
012の値1欄)にそれぞれ0を格納する。203では
1011と1012のMaxディレイ(602)を比較
し、1011が1012よりも早く素子G12に到達す
ることを求める。
10 to 12 are path tables created based on the example of FIG. FIG. 10 shows the initial setting means 10.
It is a path table when 5 is executed. FIG. 11 shows the element G
12 is a path table when executed for 12. First,
The input value determining means 106 is executed. In 201, the element G1
Since the input value is uniquely determined from 2 (OR) and the output value of the path o (0 from the value 1 column of 1015), go to 202,
Value 1 for path k (column 1 for value 1011), value 1 for path l (1
0 is stored in the value 1 column of 012). At 203, the Max delays (602) of 1011 and 1012 are compared, and it is determined that 1011 reaches the element G12 earlier than 1012.

【0020】204では、図7の701が≠で702が
素子OR、出力値0より求める入力値703はoff-path
=0、on-path=0となり、パスkの値2(1011の値2
欄)、パスlの値2(1012の値2欄)にそれぞれ0
を格納する。205で矛盾チェック手段107へ行く。
301では1011の値1(604)と値2(60
5)、1012の値1(604)と値2(605)のそ
れぞれを比較し、同じである為302へ行く。302は
パスoでは関係無いため、入力信号一致とみなし、30
3へ行く。303でパス決定手段108へ行く。
At 204, 701 in FIG. 7 is not equal to 702, the element OR is at 702, and the input value 703 obtained from the output value 0 is off-path.
= 0, on-path = 0, and the value of path k is 2 (value of 1011 is 2)
0) in the value 2 of path l (value 2 column of 1012)
Is stored. At 205, the procedure goes to the contradiction checking means 107.
In 301, the value 1 (604) of 1011 and the value 2 (60
5) The value 1 (604) of 1012 and the value 2 (605) of 1012 are respectively compared, and since they are the same, the process proceeds to step 302. Since 302 is not related to the path o, it is regarded as an input signal match and 30
Go to 3. At 303, the path determining means 108 is reached.

【0021】401では現パスoのフラグ(1015の
フラグ欄)を対象パス完とする。402ではパスkの入
力値は0より、403へ行く。403は既に対象パスで
あるため、404へ行く。404では対象パスが全て終
わっていない為、409へ行く。409では次のパスを
パスlとする。410で入力値決定手段106へ行く。
これで、パスoに対しては処理が終了し、図11のパス
テーブルとなる。以下この処理を繰り返す。
At 401, the flag of the current path o (flag column of 1015) is set as the target path. In 402, the input value of the path k goes from 0 to 403. Since 403 is already the target path, go to 404. At 404, since all the target paths are not finished, the process goes to 409. At 409, the next path is set to path 1. At 410, the input value determining means 106 is reached.
This completes the processing for the path o, and the path table shown in FIG. 11 is obtained. This process is repeated thereafter.

【0022】ある時刻において、素子G4(AND)と
パスgの値(1007の値1欄より0)で処理を行う
と、302の分岐条件で入力信号が一致しないために、
304へ行く。304では出力ピン値(1015の値1
欄)が0であるので、305へ行き、1015の値1
欄、値2欄を1とする。306では素子G12とパスo
から始めるために、初期のフラグ状態にする。307で
入力値決定手段106へ行く。再度、処理を繰り返し、
ある時刻において、図12のパステーブルが出来る。素
子G4(AND)とパスgの値(1007の値1欄より
1)で処理すると、302の分岐条件で入力信号が一致
しないために、304へ行く。304では出力ピン値
(1015の値1欄より1)であるので、308へ行
く。308で図16を出力する。
At a certain time, if the processing is performed with the value of the element G4 (AND) and the path g (0 from the value 1 column of 1007), the input signals do not match under the branch condition of 302.
Go to 304. In 304, the output pin value (value of 1015 is 1
(Column) is 0, so go to 305 and set the value of 1015 to 1
The column and the value 2 column are set to 1. At 306, the element G12 and the path o
To start with, set the initial flag state. At 307, the input value determining means 106 is reached. Repeat the process again,
At a certain time, the path table shown in FIG. 12 is created. When processing is performed with the element G4 (AND) and the value of the path g (1 from the value 1 column of 1007), since the input signals do not match under the branch condition of 302, the process proceeds to 304. In 304, the value is the output pin value (1 from the value 1 column of 1015), so the process proceeds to 308. 16 is output at 308.

【0023】以上の例では408は実行されないが、例
えば素子G4とパスgの処理に矛盾が無いと仮定する
と、408が実行される。この仮定の下で408の動作
を説明する。即ち、素子G4とパスgの処理に矛盾が無
いと仮定すると、402でoff-pathの入力値=Xとなり
408へ行く。408ではパスfとそれより入力側のパ
スe、パスdのフラグ(1004、1005、1006
のフラグ欄)を対象外パス(0)とする。
Although 408 is not executed in the above example, 408 is executed assuming that there is no contradiction in the processing of the element G4 and the path g, for example. The operation of 408 will be described under this assumption. That is, assuming that there is no contradiction between the processing of the element G4 and the processing of the path g, the input value of off-path = X in 402, and the processing proceeds to 408. At 408, flags of the path f and the paths e and d on the input side (1004, 1005, 1006)
Flag field) is set as a non-target path (0).

【0024】図13から図15は、図9の例を基にして
作成したパステーブルである。図13は初期設定手段1
05を実行した時のパステーブルである。図14は素子
G13(OR)とパスpの出力値(1116の値1欄よ
り0)について図8の例と同様の処理を実行した時のパ
ステーブルである。以下この処理を繰り返し、全ての対
象パスを実行した時のパステーブルが図15である。
13 to 15 are path tables created based on the example of FIG. FIG. 13 shows the initial setting means 1.
It is a path table when 05 is executed. FIG. 14 is a path table when the same process as the example of FIG. 8 is executed for the output value (0 from the value 1 column of 1116) of the element G13 (OR) and the path p. FIG. 15 shows a path table when this processing is repeated and all target paths are executed.

【0025】404で全ての対象パスを実行したと判断
し、405へ行く。405では入力値は1つしか存在し
ないので、407へ行く。407ではon-pathであるパ
スbの値2が0(1102の値2欄)なので、t=0の時
刻でbの値を1から0へと活性化を行うようにする。4
11で図17を出力する。
At 404, it is determined that all the target paths have been executed, and the processing proceeds to 405. Since there is only one input value in 405, the process goes to 407. In 407, the value 2 of the path b which is on-path is 0 (value 2 column of 1102), so the value of b is activated from 1 to 0 at time t = 0. Four
FIG. 17 is output at 11.

【0026】図16はエラーの時の出力結果を説明した
図である。図17は図9を実行したときに得られるテス
トパタンの出力結果の例である。
FIG. 16 is a diagram for explaining the output result when an error occurs. FIG. 17 is an example of the output result of the test pattern obtained when executing FIG.

【0027】図18、図19を用い、テストパタン生成
装置による遅延検証を説明する。
Delay verification by the test pattern generator will be described with reference to FIGS.

【0028】図18はディレイ・チェック・システムを
用いた遅延検証を説明する図である。遅延検証装置14
03は遅延情報1409、回路記述1401を入力とし
て、遅延不良パス情報1405を出力するディレイ・チ
ェック・システムと、回路記述1401、素子遅延情報
1402、遅延不良パス情報1405を入力とし、不活
性パス情報1407、活性パス情報1408を出力する
テストパタン生成装置1406からなる。
FIG. 18 is a diagram for explaining the delay verification using the delay check system. Delay verification device 14
03 is a delay check system that inputs delay information 1409 and circuit description 1401 and outputs delay fault path information 1405, and circuit check 1401, element delay information 1402, and delay fault path information 1405 that are inactive path information. 1407 and a test pattern generation device 1406 that outputs active path information 1408.

【0029】ここで、ディレイ・チェック・システムと
は論理回路に存在する全パスを列挙して、遅延時間を計
算するシステムである。以下にディレイ・チェック・シ
ステムを用いた遅延検証の手順を示す。ディレイ・チェ
ック・システムを動作させ遅延時間不良パスを検出し、
テストパタン生成装置を動作させ前記遅延時間不良パス
が活性パスか否かを判定し、活性パスの場合には前記遅
延時間不良パスの対策を行う。
Here, the delay check system is a system which enumerates all the paths existing in the logic circuit and calculates the delay time. The procedure for delay verification using the delay check system is shown below. Operates the delay check system to detect paths with defective delay times,
The test pattern generation device is operated to determine whether or not the delay time defective path is an active path, and when it is an active path, a countermeasure for the delay time defective path is taken.

【0030】図19は遅延付き論理シミュレータを用い
た遅延検証を説明する図である。遅延検証装置1503
は回路記述1501、パス指定情報1510、初期テス
トパタン1511またはテストパタン1506を入力と
し、遅延付き論理シミュレータ1504を動作させて未
活性パス情報1507を出力するパストレース・パスカ
バレジシステムと、回路記述1501、素子遅延情報1
502、未活性パス情報1507を入力とし、テストパ
タン1506、不活性パス情報1509を出力するテス
トパタン生成装置1508からなる。
FIG. 19 is a diagram for explaining delay verification using a logic simulator with delay. Delay verification device 1503
Is a circuit description 1501, a path trace / path coverage system which inputs a circuit description 1501, path designation information 1510, an initial test pattern 1511 or a test pattern 1506 and operates a logic simulator with delay 1504 to output inactive path information 1507. , Element delay information 1
502, a non-active path information 1507 as input, and a test pattern generation device 1508 which outputs a test pattern 1506 and inactive path information 1509.

【0031】ここで、パストレース・パスカバレジシス
テムとは、予め指定したパスについて、論理シミュレー
ション時に前記パスが活性化されたか否かを検出し、指
定したパスのうち、何%が活性化されたか、活性化され
ていないパスはどれかを求めるシステムである。以下に
パストレース・パスカバレジシステムを用いた遅延検証
の手順を示す。遅延付き論理シミュレータ時に前記パス
が活性化されたか否かをパストレース・パスカバレジシ
ステムで検出し、未活性パスはテストパタン生成装置を
動作させて活性パスか否かを判定し、活性パスに対して
はテストパタンを生成し、遅延付き論理シミュレータを
動作させ、未検証パスの除去を行う。
Here, the path trace / path coverage system detects whether or not the specified path is activated during the logic simulation, and determines what percentage of the specified path is activated. , A system that determines which path has not been activated. The procedure for delay verification using the path trace / path coverage system is shown below. The path trace / path coverage system detects whether or not the path is activated during the logic simulator with delay.The inactive path is activated by the test pattern generator to determine whether it is an active path. As a result, a test pattern is generated, a logic simulator with delay is operated, and unverified paths are removed.

【0032】次に、図20を用いてディレイ・チェック
・システム1404、パストレース・パスカバレジシス
テム1505の入出力を具体的に示す。
Next, the input / output of the delay check system 1404 and the path trace / path coverage system 1505 will be concretely described with reference to FIG.

【0033】図20(a)は回路の例である。図20
(b)は遅延情報1409の例である。ディレイ・チェ
ック・システム1404は全パスの内、遅延情報140
9を越えるパスについて、遅延不良パスとして遅延不良
パス情報1405に出力する。図20(c)は遅延不良
パス情報1405の例である。図20(d)はパス指定
情報1510の例である。図20(e)は初期テストパ
タン1511の例である。パストレース・パスカバレジ
システム1505はパス指定情報1510のパスについ
て、初期テストパタンを用い、遅延付き論理シミュレー
タ1504を動作させて、未活性パス情報1507を出
力する。図20(f)は未活性パス情報1507の例で
ある。図20(g)はカバレジ情報1512の例であ
る。この例は、初期テストパタン1511を用いて遅延
付き論理シミュレータ1504を動作させた時のパスト
レース・パスカバレジシステム1505の例である。
FIG. 20A shows an example of a circuit. FIG.
(B) is an example of the delay information 1409. The delay check system 1404 uses the delay information 140 among all paths.
The paths exceeding 9 are output to the delay failure path information 1405 as the delay failure paths. FIG. 20C is an example of the delay failure path information 1405. FIG. 20D is an example of the path designation information 1510. FIG. 20E shows an example of the initial test pattern 1511. The path trace / path coverage system 1505 uses the initial test pattern for the path of the path designation information 1510, operates the logic simulator with delay 1504, and outputs the inactive path information 1507. FIG. 20F is an example of the inactive path information 1507. FIG. 20G shows an example of coverage information 1512. This example is an example of the path trace / path coverage system 1505 when the logic simulator with delay 1504 is operated using the initial test pattern 1511.

【0034】[0034]

【発明の効果】本発明によれば、予め指定したパスを活
性化するようなテストパタンの自動生成方法において、
遅延対象パスの検出が容易に可能である。また、テスト
パタン生成不可能なパスについては、活性化されること
のないパスであると言うことが出来る。
According to the present invention, in a method for automatically generating a test pattern that activates a predesignated path,
It is possible to easily detect the delay target path. In addition, it can be said that a path that cannot generate a test pattern is a path that is not activated.

【0035】また、ディレイ・チェック・システムを用
いた遅延検証において、ディレイ・チェック・システム
によって検出された遅延時間不良パスについてのみテス
トパタン生成装置を動作させ、活性パスか否かを判定す
れば、不活性パスの自動除去が可能である。また、遅延
時間不良パスの設計変更は活性パスだけを対象に行える
ため、設計変更の工数を削減できる。さらに、遅延付き
論理シミュレータを用いた遅延検証において、パストレ
ース・パスカバレジシステムによって検出された未検証
パスについてテストパタン生成装置を動作させ、テスト
パタンを生成することにより、未検証パスの除去が可能
である。
In the delay verification using the delay check system, the test pattern generating device is operated only for the delay time defective path detected by the delay check system, and it is determined whether or not the path is an active path. Inactive paths can be automatically removed. Further, the design change of the delay time defective path can be performed only for the active path, so that the man-hour of the design change can be reduced. Furthermore, in delay verification using a logic simulator with delay, the unverified path can be removed by operating the test pattern generator for the unverified path detected by the path trace / path coverage system and generating the test pattern. Is.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の一実施例の方法を実施する装置構成の
ブロック図。
FIG. 1 is a block diagram of an apparatus configuration for implementing a method according to an embodiment of the present invention.

【図2】入力値決定手段での処理ステップのフロー図。FIG. 2 is a flowchart of processing steps in an input value determining unit.

【図3】矛盾チェック手段での処理ステップのフロー
図。
FIG. 3 is a flowchart of processing steps in the contradiction checking unit.

【図4】パス決定手段での処理ステップのフロー図。FIG. 4 is a flowchart of processing steps in a path determining unit.

【図5】初期設定手段での処理ステップのフロー図。FIG. 5 is a flowchart of processing steps in an initial setting unit.

【図6】パステーブルのデータ構造の説明図。FIG. 6 is an explanatory diagram of a data structure of a path table.

【図7】入力値を決定する条件の説明図。FIG. 7 is an explanatory diagram of a condition for determining an input value.

【図8】回路と入力ファイルの例を表わす説明図。FIG. 8 is an explanatory diagram showing an example of a circuit and an input file.

【図9】回路と入力ファイルの例を表わす説明図。FIG. 9 is an explanatory diagram showing an example of a circuit and an input file.

【図10】図8の回路データを基に作成したパステーブ
ルの説明図。
10 is an explanatory diagram of a path table created based on the circuit data of FIG.

【図11】図8の回路データを基に作成したパステーブ
ルの説明図。
FIG. 11 is an explanatory diagram of a path table created based on the circuit data of FIG.

【図12】図8の回路データを基に作成したパステーブ
ルの説明図。
12 is an explanatory diagram of a path table created based on the circuit data of FIG.

【図13】図9の回路データを基に作成したパステーブ
ルの説明図。
FIG. 13 is an explanatory diagram of a path table created based on the circuit data of FIG.

【図14】図9の回路データを基に作成したパステーブ
ルの説明図。
14 is an explanatory diagram of a path table created based on the circuit data of FIG.

【図15】図9の回路データを基に作成したパステーブ
ルの説明図。
15 is an explanatory diagram of a path table created based on the circuit data of FIG.

【図16】テストパタンの生成に失敗したときの出力結
果の説明図。
FIG. 16 is an explanatory diagram of an output result when the test pattern generation fails.

【図17】テストパタンの出力結果の説明図。FIG. 17 is an explanatory diagram of an output result of a test pattern.

【図18】本発明の一実施例の遅延検証の説明図。FIG. 18 is an explanatory diagram of delay verification according to an embodiment of the present invention.

【図19】本発明の一実施例の遅延検証の説明図。FIG. 19 is an explanatory diagram of delay verification according to an embodiment of the present invention.

【図20】本発明の一実施例のディレイ・チェック・シ
ステムの説明図。
FIG. 20 is an explanatory diagram of a delay check system according to an embodiment of the present invention.

【符号の説明】[Explanation of symbols]

101…回路記述、102…素子遅延情報、103…パ
ス指定情報、104…テストパタン生成装置、105…
初期設定手段、106…入力値決定手段、107…矛盾
チェック手段、108…パス決定手段、109…パステ
ーブル、110…エラー結果、111…出力結果。
101 ... Circuit description, 102 ... Element delay information, 103 ... Path designation information, 104 ... Test pattern generation device, 105 ...
Initial setting means, 106 ... Input value determining means, 107 ... Contradiction checking means, 108 ... Path determining means, 109 ... Path table, 110 ... Error result, 111 ... Output result.

フロントページの続き (51)Int.Cl.6 識別記号 庁内整理番号 FI 技術表示箇所 H01L 21/66 G06F 11/26 310 15/60 668A (72)発明者 内部 こなぎ 東京都国分寺市東恋ケ窪1丁目280番地 株式会社日立製作所中央研究所内Continuation of front page (51) Int.Cl. 6 Identification number Office reference number FI Technical indication location H01L 21/66 G06F 11/26 310 15/60 668A (72) Inside of the inventor Konagi, Kokubunji City, Tokyo 1-chome No. 280, Central Research Laboratory, Hitachi, Ltd.

Claims (3)

【特許請求の範囲】[Claims] 【請求項1】論理回路記述、素子遅延情報、パス指定情
報を入力として、前記回路の指定パスを活性化するテス
トパタン生成方法において、初期設定手段によってパス
テーブルの初期値を設定し、入力値決定手段によって前
記素子の入力値を決定し、矛盾チェック手段によって前
記入力値が矛盾するか否かを判定し、パス決定手段によ
って次のパスの設定とテストパタン生成終了か否かを判
定し、生成結果を出力することを特徴とするテストパタ
ン生成方法。
1. In a test pattern generation method for activating a designated path of the circuit by inputting a logic circuit description, element delay information, and path designation information, an initial value of a path table is set by an initial setting means, and an input value is set. The input value of the element is determined by the determination means, it is determined whether the input value is inconsistent by the contradiction check means, it is determined whether the setting of the next path and the test pattern generation is completed by the path determination means, A test pattern generation method characterized by outputting a generation result.
【請求項2】遅延情報、回路記述、素子遅延情報を入力
として、ディレイ・チェック・システムによって前記回
路の全パスを列挙し、遅延時間不良パスの検出を行い、
請求項1で示したテストパタン生成装置によって遅延時
間不良パスが活性化するか否かを判定し、活性化するパ
スについてのみ対策を行うことを特徴とする遅延検証方
法。
2. A delay check system is used to enumerate all paths of the circuit by inputting delay information, circuit description, and element delay information, and a delay time defective path is detected.
A delay verification method, comprising: determining whether or not a delay time defective path is activated by the test pattern generation device according to claim 1 and taking measures only for the activated path.
【請求項3】パス指定情報、テストパタン、回路記述、
素子遅延情報を入力として、初期テストパタンを入力と
して遅延付き論理シミュレータを動作させ、パストレー
ス・パスカバレジシステムによって前記指定パスが活性
化されたか否かを検出し、未活性パスの検出を行い、未
活性パスについてのみ請求項1で示したテストパタン生
成装置を動作させ、未検証パスの除去を行うことを特徴
とする遅延検証方法。
3. Path designation information, test pattern, circuit description,
With the element delay information as input, the logic simulator with delay is operated with the initial test pattern as input, and it is detected whether or not the specified path is activated by the path trace / path coverage system, and the inactive path is detected. A delay verification method characterized in that the test pattern generation device according to claim 1 is operated only for inactive paths to remove unverified paths.
JP7175808A 1995-07-12 1995-07-12 Test pattern generating method and delay verifying method Pending JPH0926986A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP7175808A JPH0926986A (en) 1995-07-12 1995-07-12 Test pattern generating method and delay verifying method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP7175808A JPH0926986A (en) 1995-07-12 1995-07-12 Test pattern generating method and delay verifying method

Publications (1)

Publication Number Publication Date
JPH0926986A true JPH0926986A (en) 1997-01-28

Family

ID=16002601

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7175808A Pending JPH0926986A (en) 1995-07-12 1995-07-12 Test pattern generating method and delay verifying method

Country Status (1)

Country Link
JP (1) JPH0926986A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001255356A (en) * 2000-03-08 2001-09-21 Matsushita Electric Ind Co Ltd Test-pattern generation method and test method for semiconductor integrated circuit
JP2006145401A (en) * 2004-11-19 2006-06-08 Handotai Rikougaku Kenkyu Center:Kk Test pattern compression method and apparatus, test pattern compression program, and medium with the program stored
US7120890B2 (en) 2002-10-28 2006-10-10 Kabushiki Kaisha Toshiba Apparatus for delay fault testing of integrated circuits

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001255356A (en) * 2000-03-08 2001-09-21 Matsushita Electric Ind Co Ltd Test-pattern generation method and test method for semiconductor integrated circuit
US7120890B2 (en) 2002-10-28 2006-10-10 Kabushiki Kaisha Toshiba Apparatus for delay fault testing of integrated circuits
JP2006145401A (en) * 2004-11-19 2006-06-08 Handotai Rikougaku Kenkyu Center:Kk Test pattern compression method and apparatus, test pattern compression program, and medium with the program stored

Similar Documents

Publication Publication Date Title
US5373514A (en) Three-state bus structure and method for generating test vectors while avoiding contention and/or floating outputs on the three-state bus
JP2003233639A (en) Failure verification device, failure verification method and failure analysis method
JP3056026B2 (en) Logic simulation method
JPH0926986A (en) Test pattern generating method and delay verifying method
JPH07121576A (en) Failure simulation device
JPS63140969A (en) Test facilitation system
JP3072985B2 (en) Logic simulation method
US6581194B1 (en) Method for reducing simulation overhead for external models
JPH07262263A (en) Device and method for simulation for semiconductor integrated circuit
JP2874719B2 (en) Integrated circuit design equipment
JP2996293B2 (en) Failure simulation method
JP2855992B2 (en) Automatic generation of test input sequence
JPH1183959A (en) Test pattern generator, test pattern generation method and medium with test pattern generation program recorded
JP2000241514A (en) Parallel generation method for test pattern and generation apparatus for test pattern
JPH11259555A (en) Design method for macro
JPH09127212A (en) Test-pattern generation method and delay verification method
JP2943161B2 (en) Failure simulation method
JP3048003B2 (en) Semiconductor device failure simulation method and data creation device in failure simulation
CN117573533A (en) Test case design method and device, electronic equipment and storage medium
JPH08320806A (en) Fault automatic detection system for digital ic
JP2658857B2 (en) Equivalent fault extraction method and device
JPH11133120A (en) Semiconductor device test pattern generating method, and computer-readable recording medium recording semiconductor device test pattern generating program
JPH06243190A (en) Logic simulator
JPH1050848A (en) Method for designing layout and wiring of integrated circuit
JPH04370775A (en) Lsi testing system