JPH09223727A - Semiconductor treating apparatus, substrate changing mechanism and changing method thereof - Google Patents

Semiconductor treating apparatus, substrate changing mechanism and changing method thereof

Info

Publication number
JPH09223727A
JPH09223727A JP8313420A JP31342096A JPH09223727A JP H09223727 A JPH09223727 A JP H09223727A JP 8313420 A JP8313420 A JP 8313420A JP 31342096 A JP31342096 A JP 31342096A JP H09223727 A JPH09223727 A JP H09223727A
Authority
JP
Japan
Prior art keywords
substrate
mounting table
substrates
support member
support
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP8313420A
Other languages
Japanese (ja)
Other versions
JP3650495B2 (en
Inventor
Tsutomu Hiroki
勤 広木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP31342096A priority Critical patent/JP3650495B2/en
Priority to TW085115158A priority patent/TW318258B/zh
Priority to US08/762,951 priority patent/US5989346A/en
Priority to KR1019960064343A priority patent/KR100329514B1/en
Publication of JPH09223727A publication Critical patent/JPH09223727A/en
Application granted granted Critical
Publication of JP3650495B2 publication Critical patent/JP3650495B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/1303Apparatus specially adapted to the manufacture of LCDs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Nonlinear Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Optics & Photonics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Liquid Crystal (AREA)
  • Manipulator (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a semiconductor treating apparatus for LCD substrates whereby the throughput can be improved in the substrate treatment. SOLUTION: An untreated substrate S1 is supported with an upper fork 66a of a catcher 66 on a conveyer while a treated substrate 22 is supported on support pins 11 at a second position above a mount 10. The catcher 66 is moved to specified position above the mount 10 and stopped. The pins 11 are lowered and support rods 12 are lifted to the crude substrate S1 is transferred from the fork 66a to the rods 12 while the treated substrate S2 is transferred from the pins 11 to a lower fork 66b.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は、LCD(液晶ディ
スプレイ)基板や半導体ウェハに半導体処理を施すため
の半導体処理装置、その基板交換機構及び基板交換方法
に関する。ここで、半導体処理とは、LCD基板、半導
体ウェハ等の被処理基板上に半導体デバイスを製造する
ために実施される種々処理を意味する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor processing apparatus for performing semiconductor processing on an LCD (liquid crystal display) substrate or a semiconductor wafer, a substrate exchange mechanism and a substrate exchange method. Here, semiconductor processing means various kinds of processing carried out for manufacturing a semiconductor device on a substrate to be processed such as an LCD substrate and a semiconductor wafer.

【0002】[0002]

【従来の技術】従来から、例えばLCDパネルの製造工
程においては、減圧雰囲気下でLCD基板にエッチン
グ、アッシング等の所定の半導体処理を施す真空処理室
を複数備えた、いわゆるマルチチャンバー型の真空処理
装置が使用されている。
2. Description of the Related Art Conventionally, for example, in a process of manufacturing an LCD panel, a so-called multi-chamber type vacuum processing is provided with a plurality of vacuum processing chambers for performing a predetermined semiconductor processing such as etching and ashing on an LCD substrate under a reduced pressure atmosphere. The device is in use.

【0003】このような真空処理装置は、内部に搬送ア
ーム等を有する基板搬送機構が設けられたロードロック
室と、その周囲に設けられた複数の真空処理室とを有す
る。ロードロック室内の搬送アームにより、被処理基板
が各真空処理室に搬入されると共に、処理済みの基板が
各真空処理室から搬出される。
Such a vacuum processing apparatus has a load lock chamber in which a substrate transfer mechanism having a transfer arm and the like is provided, and a plurality of vacuum processing chambers provided around the load lock chamber. The substrate to be processed is carried into each vacuum processing chamber by the transfer arm in the load lock chamber, and the processed substrate is carried out from each vacuum processing chamber.

【0004】このようなLCD基板の処理装置において
は、一定期間に処理可能な基板の処理枚数、つまり装置
のスループットをいかにして向上させるかが大きな技術
課題となっている。そのために上述したように装置をマ
ルチチャンバータイプにしたり、搬送アームを上下2段
にしたりして対応している。
In such an LCD substrate processing apparatus, how to improve the number of substrates that can be processed in a certain period, that is, the throughput of the apparatus is a major technical problem. Therefore, as described above, the apparatus is made into a multi-chamber type, or the transfer arm is provided in two upper and lower stages.

【0005】2段の搬送アームを用いる場合には、上ア
ームに未処理基板を載置した状態で、搬送アームを真空
処理室内の載置台にアクセスし、まず下アームを進出さ
せて処理済み基板を受け取った後、下アームを退避さ
せ、次いで上アームを進出させて未処理基板を載置台上
に搬送する。
When a two-stage transfer arm is used, the transfer arm accesses the mounting table in the vacuum processing chamber with the unprocessed substrate placed on the upper arm, and the lower arm is first advanced to move the processed substrate. After receiving, the lower arm is retracted, and then the upper arm is advanced to convey the unprocessed substrate onto the mounting table.

【0006】[0006]

【発明が解決しようとする課題】しかしながら、上述の
ような基板の交換動作では時間短縮にも一定の限界があ
り、LCD基板に対するさらなるスループット向上が要
求されている。本発明はかかる事情に鑑みてなされたも
のであって、基板処理におけるスループットを向上させ
ることが可能な基板交換機構及び基板交換方法を提供す
ることを目的とする。また、このような基板処理のスル
ープットを向上させることが可能な交換機構を備えた処
理装置を提供することを目的とする。
However, there is a certain limit to the time reduction in the above-described substrate exchange operation, and further improvement in throughput for LCD substrates is required. The present invention has been made in view of such circumstances, and an object of the present invention is to provide a substrate exchange mechanism and a substrate exchange method capable of improving throughput in substrate processing. Another object of the present invention is to provide a processing apparatus having an exchange mechanism capable of improving the throughput of such substrate processing.

【0007】[0007]

【課題を解決するための手段】本発明の第1の視点は、
半導体処理装置において載置台上の被処理基板を交換す
るための基板交換機構であって、前記基板の1つを前記
載置台上方の第1の位置で支持するための進出状態と退
却状態との間で状態を切替え可能な第1の支持部材と、
前記基板の1つを前記載置台上方の第2の位置で支持す
るための進出状態と退却状態との間で状態を切替え可能
な第2の支持部材と、前記第1及び第2の位置は上下に
重なるように配置されることと、前記載置台に対して前
記基板を搬出入するための搬送機構と、前記搬送機構
は、夫々に前記基板の1つを保持可能で且つ夫々前記第
1及び第2の位置に対応して上下に積重なる第1及び第
2の保持部を有する搬送部材を具備することと、を具備
し、前記基板の1つである第1の基板が前記搬送部材の
前記第1の保持部に保持され、且つ前記基板の別の1つ
である第2の基板が前記第2の支持部材により支持され
ている状態において、前記搬送部材が前記載置台の上方
の所定位置に進出している間に、前記第1及び第2の支
持部材が前記搬送部材に対して相対的に上下逆方向に移
動されることにより、前記第1の基板が前記第1の保持
部から前記第1の支持部材に受け渡されると共に、前記
第2の基板が前記第2の支持部材から前記第2の保持部
に受け渡されることを特徴とする。
SUMMARY OF THE INVENTION A first aspect of the present invention is as follows.
A substrate exchanging mechanism for exchanging a substrate to be processed on a mounting table in a semiconductor processing apparatus, comprising an advancing state and a retreating state for supporting one of the substrates at a first position above the mounting table. A first support member capable of switching between states,
The second support member capable of switching the state between the advanced state and the retracted state for supporting one of the substrates at the second position above the mounting table, and the first and second positions are The substrates are arranged so as to be vertically overlapped with each other, the transport mechanism for loading and unloading the substrate with respect to the mounting table, and the transport mechanism are each capable of holding one of the substrates and each of the first and second substrates. And a transport member having first and second holding portions stacked vertically corresponding to the second position, and the first substrate, which is one of the substrates, is the transport member. In a state in which the second substrate, which is another one of the substrates, is held by the first holding portion of the second supporting member, the transport member is located above the mounting table. While advancing to a predetermined position, the first and second support members are The first substrate is transferred from the first holding portion to the first support member by moving the first substrate relative to the second substrate, and the second substrate is moved to the second substrate. It is transferred from the supporting member to the second holding portion.

【0008】本発明の第2の視点は、半導体処理装置に
おいて載置台上の被処理基板を交換するための基板交換
機構を用いた基板交換方法であって、前記基板交換機構
は、前記基板の1つを前記載置台上方の第1の位置で支
持するための進出状態と退却状態との間で状態を切替え
可能な第1の支持部材と、前記基板の1つを前記載置台
上方の第2の位置で支持するための進出状態と退却状態
との間で状態を切替え可能な第2の支持部材と、前記第
1及び第2の位置は上下に重なるように配置されること
と、前記載置台に対して前記基板を搬出入するための搬
送機構と、前記搬送機構は、夫々に前記基板の1つを保
持可能で且つ夫々前記第1及び第2の位置に対応して上
下に積重なる第1及び第2の保持部を有する搬送部材を
具備することと、を具備し、前記基板交換方法は、前記
基板の1つである第1の基板を前記搬送部材の前記第1
の保持部に保持し、且つ前記基板の別の1つである第2
の基板を前記第2の支持部材により支持する工程と、前
記搬送部材を前記載置台の上方の所定位置に進出させた
状態におき、前記第1及び第2の支持部材を前記搬送部
材に対して相対的に上下逆方向に移動させることによ
り、前記第1の基板を前記第1の保持部から前記第1の
支持部材に受け渡すと共に、前記第2の基板を前記第2
の支持部材から前記第2の保持部に受け渡す工程と、を
具備することを特徴とする。
A second aspect of the present invention is a substrate exchanging method using a substrate exchanging mechanism for exchanging a substrate to be processed on a mounting table in a semiconductor processing apparatus, wherein the substrate exchanging mechanism is provided for the substrate. A first support member capable of switching the state between an advanced state and a retracted state for supporting one at a first position above the mounting table; and one of the substrates above the mounting table above. A second support member that can be switched between an advanced state and a retracted state for supporting at the second position; and the first and second positions are arranged so as to vertically overlap with each other, and A transfer mechanism for loading and unloading the substrate to and from the mounting table, and the transfer mechanism can hold one of the substrates, respectively, and stack the substrates vertically corresponding to the first and second positions, respectively. Providing a transport member having first and second holding portions that overlap, Comprising the substrate exchange method, the first of said conveying member a first substrate wherein the one of the substrates
A second one of the substrates, which is another one of the substrates.
Supporting the substrate by the second supporting member, and placing the conveying member in a state in which the conveying member is advanced to a predetermined position above the mounting table, and the first and second supporting members with respect to the conveying member. By moving the first substrate from the first holding portion to the first supporting member and moving the second substrate to the second substrate
And a step of transferring the supporting member from the supporting member to the second holding portion.

【0009】本発明の第3の視点は、被処理基板を処理
するための半導体処理装置であって、載置台を有すると
共に前記載置台上に載置された前記基板の1つに所定の
半導体処理を施すための処理室と、前記処理室外で前記
基板を待機させるための待機部と、前記載置台上の処理
済み基板を前記待機部の未処理基板と交換するための基
板交換機構と、を具備し、前記基板交換機構は、前記基
板の1つを前記載置台上方の第1の位置で支持するため
の進出状態と退却状態との間で状態を切替え可能な第1
の支持部材と、前記基板の1つを前記載置台上方の第2
の位置で支持するための進出状態と退却状態との間で状
態を切替え可能な第2の支持部材と、前記第1及び第2
の位置は上下に重なるように配置されることと、前記載
置台に対して前記基板を搬出入するための搬送機構と、
前記搬送機構は、夫々に前記基板の1つを保持可能で且
つ夫々前記第1及び第2の位置に対応して上下に積重な
る第1及び第2の保持部を有する搬送部材を具備するこ
とと、を具備し、前記未処理基板が前記搬送部材の前記
第1の保持部に保持され、且つ前記処理済み基板が前記
第2の支持部材により支持されている状態において、前
記搬送部材が前記載置台の上方の所定位置に進出してい
る間に、前記第1及び第2の支持部材が前記搬送部材に
対して相対的に上下逆方向に移動されることにより、前
記未処理基板が前記第1の保持部から前記第1の支持部
材に受け渡されると共に、前記処理済み基板が前記第2
の支持部材から前記第2の保持部に受け渡されることを
特徴とする半導体処理装置。
A third aspect of the present invention is a semiconductor processing apparatus for processing a substrate to be processed, which has a mounting table and has a predetermined semiconductor on one of the substrates mounted on the mounting table. A processing chamber for performing processing, a standby unit for waiting the substrate outside the processing chamber, a substrate exchange mechanism for exchanging a processed substrate on the mounting table with an unprocessed substrate of the standby unit, The substrate exchanging mechanism is capable of switching a state between an advanced state and a retracted state for supporting one of the substrates at a first position above the mounting table.
The support member and one of the substrates above the second table above the mounting table.
A second support member capable of switching a state between an advanced state and a retracted state for supporting the first position and the second position.
The positions of are arranged so as to overlap vertically, and a transfer mechanism for loading and unloading the substrate with respect to the mounting table,
The transfer mechanism includes a transfer member capable of holding one of the substrates, and having first and second holding portions stacked vertically corresponding to the first and second positions, respectively. In a state in which the unprocessed substrate is held by the first holding portion of the transfer member and the processed substrate is supported by the second support member, While the first and second support members are moved in the upside-down direction relative to the transfer member while advancing to a predetermined position above the mounting table, the unprocessed substrate is The processed substrate is transferred from the first holding unit to the first supporting member and the processed substrate is transferred to the second supporting member.
The semiconductor processing device, wherein the semiconductor processing device is delivered from the supporting member of the second holding unit to the second holding unit.

【0010】本発明の第4の視点は、被処理基板を処理
するための半導体処理装置であって、減圧雰囲気に設定
可能で且つ前記基板を待機させるために使用されるロー
ドロック室と、前記ロードロック室にゲートを介して接
続された複数の真空処理室と、前記真空処理室は夫々載
置台を有すると共に前記載置台上に載置された前記基板
の1つに所定の半導体処理を減圧雰囲気で施すために使
用されることと、前記載置台上の処理済み基板を前記ロ
ードロック室内の未処理基板と交換するための基板交換
機構と、を具備し、前記基板交換機構は、前記基板の1
つを前記載置台上方の第1の位置で支持するための進出
状態と退却状態との間で状態を切替え可能な第1の支持
部材と、前記基板の1つを前記載置台上方の第2の位置
で支持するための進出状態と退却状態との間で状態を切
替え可能な第2の支持部材と、前記第1及び第2の位置
は上下に重なるように配置されることと、前記載置台に
対して前記基板を搬出入するための搬送機構と、前記搬
送機構は、夫々に前記基板の1つを保持可能で且つ夫々
前記第1及び第2の位置に対応して上下に積重なる第1
及び第2の保持部を有する搬送部材を具備することと、
を具備し、前記未処理基板が前記搬送部材の前記第1の
保持部に保持され、且つ前記処理済み基板が前記第2の
支持部材により支持されている状態において、前記搬送
部材が前記載置台の上方の所定位置に進出している間
に、前記第1及び第2の支持部材が前記搬送部材に対し
て相対的に上下逆方向に移動されることにより、前記未
処理基板が前記第1の保持部から前記第1の支持部材に
受け渡されると共に、前記処理済み基板が前記第2の支
持部材から前記第2の保持部に受け渡されることを特徴
とする半導体処理装置。
A fourth aspect of the present invention is a semiconductor processing apparatus for processing a substrate to be processed, wherein a load lock chamber that can be set in a reduced pressure atmosphere and is used for waiting the substrate, A plurality of vacuum processing chambers connected to the load lock chamber via gates, and each of the vacuum processing chambers has a mounting table, and decompresses predetermined semiconductor processing on one of the substrates mounted on the mounting table. And a substrate exchanging mechanism for exchanging a processed substrate on the mounting table with an unprocessed substrate in the load lock chamber, the substrate exchanging mechanism comprising: Of 1
A first support member capable of switching the state between an advanced state and a retracted state for supporting one of the substrates at a first position above the mounting table; The second support member capable of switching the state between the advanced state and the retracted state for supporting at the position, and the first and second positions are arranged so as to vertically overlap with each other, A transport mechanism for loading and unloading the substrate to and from the mounting table, and the transport mechanism are capable of holding one of the substrates, respectively, and are vertically stacked corresponding to the first and second positions, respectively. First
And a transport member having a second holding part,
In the state in which the unprocessed substrate is held by the first holding unit of the transfer member and the processed substrate is supported by the second support member, The first and second support members are moved in the upside-down direction relative to the transfer member while advancing to a predetermined position above the untreated substrate. And the processed substrate is transferred from the second supporting member to the second supporting unit, as well as being transferred from the holding unit to the first supporting member.

【0011】(作用)本発明においては、搬送部材の第
1の基板支持部に第1の基板が支持され、且つ載置台上
方の第2の位置で第2の基板が第2の基板支持部材に支
持されている状態で、搬送部材を載置台の上方の所定位
置に進出させ、その際に第1の基板支持部に支持された
第1の基板を第1の位置にある第1の支持部材に受け渡
し、それと同時に、第2の基板支持部材から第2の基板
支持部に第2の基板を受け渡す。このため、従来搬送部
材を2回移動させることによって行なっていた基板の交
換工程を、1回の移動で行なうことができ、スループッ
トを著しく向上させることができる。
(Operation) In the present invention, the first substrate is supported by the first substrate supporting portion of the transfer member, and the second substrate is at the second position above the mounting table. The carrier member is advanced to a predetermined position above the mounting table while being supported by the first substrate, and at that time, the first substrate supported by the first substrate supporting portion is moved to the first position at the first position. At the same time, the second substrate is transferred from the second substrate supporting member to the second substrate supporting portion. Therefore, the substrate exchanging process, which was conventionally performed by moving the transport member twice, can be performed by one movement, and the throughput can be significantly improved.

【0012】[0012]

【発明の実施の形態】以下、添付図面を参照して本発明
の実施の形態について具体的に説明する。ここでは、ガ
ラス製のLCD基板上に半導体デバイス等を形成するた
め、エッチング処理及びアッシング処理を行なうための
マルチチャンバータイプの真空処理装置について説明す
る。
Embodiments of the present invention will be specifically described below with reference to the accompanying drawings. Here, a multi-chamber type vacuum processing apparatus for performing an etching process and an ashing process for forming a semiconductor device or the like on a glass LCD substrate will be described.

【0013】図1は本発明の実施の形態に係る真空処理
装置の概観を示す斜視図、図2はその内部を示す概略横
断平面図である。処理装置1の中央部にはゲートバルブ
9aを介して接続された搬送室5とロードロック室3と
が配設される。搬送室5は、平面が略正方形であり、ロ
ードロック室3と面しない残りの各側面には、開口部を
気密にシールし且つ開閉可能なゲートバルブ9aを介し
て3つの処理室2、4、6が夫々接続される。
FIG. 1 is a perspective view showing an outline of a vacuum processing apparatus according to an embodiment of the present invention, and FIG. 2 is a schematic cross-sectional plan view showing the inside thereof. A transfer chamber 5 and a load lock chamber 3, which are connected via a gate valve 9a, are arranged in the center of the processing apparatus 1. The transfer chamber 5 has a substantially square plane, and the remaining side surfaces that do not face the load lock chamber 3 are provided with three processing chambers 2 and 4 via a gate valve 9a that hermetically seals the opening and can be opened and closed. , 6 are connected respectively.

【0014】各処理室2、4、6には、所定の処理ガス
を供給するための供給手段と、室内を排気するための排
気手段とが接続され、従って、各処理室2、4、6は任
意の減圧雰囲気に設定し且つ維持することが可能となっ
ている。例えば、処理室2、6では同一のエッチング処
理が行なわれ、他の1つ処理室4ではアッシング処理が
行なわれる。処理室の組合わせはこれに限らず、適宜の
処理を組み合わせることもでき、シリアル処理、パラレ
ル処理等任意の処理を複数の処理室を用いて実施するこ
とが可能である。
A supply means for supplying a predetermined processing gas and an exhaust means for exhausting the inside of the chamber are connected to each of the processing chambers 2, 4, 6 and therefore each of the processing chambers 2, 4, 6 is connected. Can be set and maintained in an arbitrary reduced pressure atmosphere. For example, the same etching process is performed in the processing chambers 2 and 6, and the ashing process is performed in the other processing chamber 4. The combination of the processing chambers is not limited to this, and it is also possible to combine appropriate processing, and it is possible to perform arbitrary processing such as serial processing and parallel processing using a plurality of processing chambers.

【0015】各処理室2、4、6内には載置台10が配
設される。載置台10には基板Sを支持するための4本
の支持ピン11が配設される。載置台10の周囲にはや
はり基板を支持するための4本の支持部材12が配設さ
れる。支持ピン11及び支持部材12については、詳細
を後述する。
A mounting table 10 is provided in each of the processing chambers 2, 4, and 6. The support table 11 is provided with four support pins 11 for supporting the substrate S. Four support members 12 for supporting the substrate are arranged around the mounting table 10. Details of the support pin 11 and the support member 12 will be described later.

【0016】ロードロック室3は任意の減圧雰囲気に設
定し且つ維持することが可能となっている。ロードロッ
ク室3内には、図4図示の如く、基板Sを支持するため
の一対のスタンド31を具備するバッファラック30が
配設される。バッファラック30は、一度に2枚の基板
Sを保持するように構成されており、これにより真空引
き、パージの効率が向上する。
The load lock chamber 3 can be set and maintained in an arbitrary reduced pressure atmosphere. As shown in FIG. 4, a buffer rack 30 including a pair of stands 31 for supporting the substrate S is disposed in the load lock chamber 3. The buffer rack 30 is configured to hold two substrates S at a time, which improves the efficiency of evacuation and purging.

【0017】各スタンド31は、2つの棚32、33を
上下に具備する。棚32、33は搬送機構50の2枚の
アーム52、53に対応する水平な2段の基板支持レベ
ルを形成する。本実施の形態では、バッファラック30
の支持レベル間隔は、カセット42における基板Sの支
持間隔よりも大きく設定される。また各棚32、33の
上面には、摩擦係数の高い合成ゴムからなる突起34が
設けられており、これによって基板のずれ及び落下が防
止される。
Each stand 31 comprises two shelves 32 and 33, one above the other. The shelves 32 and 33 form two horizontal substrate support levels corresponding to the two arms 52 and 53 of the transport mechanism 50. In the present embodiment, the buffer rack 30
The support level interval of is set to be larger than the support interval of the substrate S in the cassette 42. Further, projections 34 made of synthetic rubber having a high friction coefficient are provided on the upper surfaces of the shelves 32 and 33, thereby preventing the substrates from slipping and dropping.

【0018】バッファラック30の一対のスタンド31
は一体的に昇降可能となる。バッファラック30の昇降
により、搬送室5内に設けられた搬送機構60が昇降す
ることなく、2枚の基板のうちの一方を選択的に取出す
ことができる。
A pair of stands 31 of the buffer rack 30
Can be raised and lowered as a unit. By raising and lowering the buffer rack 30, it is possible to selectively take out one of the two substrates without raising and lowering the transport mechanism 60 provided in the transport chamber 5.

【0019】ロードロック室3内には、2枚の基板を一
度にアライメントするための一対のポジショナ35、3
5及び基板のアライメントの完了を確認するための光学
的センサ(図示せず)が配置される。一対のポジショナ
35は、基板の対角線の延長線上にて相互に対向するよ
うに配置される。各ポジショナ35は、図中の往復矢印
A方向に起動可能なサポート36と、サポート36上に
回転フリーに支持された一対のローラ37、37を具備
する。
In the load lock chamber 3, a pair of positioners 35, 3 for aligning two substrates at once.
5 and an optical sensor (not shown) for confirming the completion of the alignment of the substrate are arranged. The pair of positioners 35 are arranged so as to face each other on an extension line of a diagonal line of the substrate. Each positioner 35 includes a support 36 that can be activated in the direction of the reciprocating arrow A in the figure, and a pair of rollers 37 and 37 that are rotatably supported on the support 36.

【0020】ポジショナ35は、バッファラック30に
支持された2枚の基板を対角線方向に挟み込む態様で、
基板のアライメントを行なう。ローラ37は基板Sの側
面を4点で押圧することにより位置合わせするため、略
矩形状の基板の位置合わせを行なうのに特に適する。ロ
ーラ37はサポート36上に着脱可能に取り付けられ、
処理されるLCD基板の寸法に応じて適宜交換すること
が可能である。
The positioner 35 sandwiches two substrates supported by the buffer rack 30 in a diagonal direction,
Align the board. Since the roller 37 aligns the side surface of the substrate S by pressing it at four points, it is particularly suitable for aligning a substantially rectangular substrate. The roller 37 is detachably attached to the support 36,
It can be replaced appropriately depending on the size of the LCD substrate to be processed.

【0021】ロードロック室3はゲートバルブ9bを介
して外部雰囲気と接続される。ロードロック室3の外部
側には、2つのカセットインデクサ41が配設され、そ
の上に夫々LCD基板を収容するカセット42が載置さ
れる。カセット42の一方には未処理基板が収容され、
他方には処理済み基板が収容される。カセット42は、
昇降機構43により昇降可能となっている。
The load lock chamber 3 is connected to the outside atmosphere via a gate valve 9b. Two cassette indexers 41 are arranged on the outside of the load lock chamber 3, and cassettes 42 for accommodating LCD substrates are placed on the two cassette indexers 41, respectively. One of the cassettes 42 accommodates an unprocessed substrate,
The other side contains a processed substrate. Cassette 42,
The elevating mechanism 43 can move up and down.

【0022】2つのカセット42の間には、支持台51
上に基板搬送機構50が配設される。搬送機構50は上
下2段に配設されたアーム52、53と、これらを一体
的に進出退避及び回転可能に支持するベース54とを有
する。アーム52、53上には基板を支持する4つの突
起55が形成される。突起55は摩擦係数の高い合成ゴ
ム製の弾性体からなり、基板支持中に基板がずれたり、
落下することが防止される。
A support base 51 is provided between the two cassettes 42.
A substrate transfer mechanism 50 is arranged on the top. The transport mechanism 50 has arms 52 and 53 arranged in two stages, upper and lower, and a base 54 that integrally supports the arms 52 and 53 so that they can be advanced and retracted and rotated. Four protrusions 55 that support the substrate are formed on the arms 52 and 53. The protrusion 55 is made of an elastic body made of synthetic rubber having a high friction coefficient, and the substrate may be displaced during supporting the substrate.
It is prevented from falling.

【0023】搬送機構50は、アーム52、53により
2枚の基板を一度に搬送可能となっている。即ち、カセ
ット42に対して、2枚の基板が一度に取出しまたは装
入することが可能となる。各カセット42の高さは昇降
機構43により調整され、アーム52、53による基板
の取出しまたは装入位置が設定される。2枚のアーム5
2、53の間隔は、各種のカセットの基板支持間隔の最
大値よりも大きくなるように設定される。このため、種
々のカセットに対応可能である。
The transport mechanism 50 is capable of transporting two substrates at once by the arms 52 and 53. That is, two substrates can be taken out or loaded into the cassette 42 at one time. The height of each cassette 42 is adjusted by the elevating mechanism 43, and the taking-out or loading position of the substrate by the arms 52 and 53 is set. Two arms 5
The interval of 2, 53 is set to be larger than the maximum value of the substrate supporting intervals of various cassettes. Therefore, it can be applied to various cassettes.

【0024】なお、カセットは1個だけ設置するもでき
る。この場合には、同一のカセット内の空いたスペース
に処理済みの基板を戻していくことになる。搬送室5は
任意の減圧雰囲気に設定し且つ維持することが可能とな
っている。搬送室5内には、図3図示の如く、搬送機構
60と、複数のLCD基板を保持可能に構成されたバッ
ファ枠体70が配設される。搬送機構60により、ロー
ドロック室3と、処理室2、4、6との間で基板が搬送
される。また、バッファ枠体70により、未処理基板ま
たは処理済み基板が一時的に保持される。このように基
板を一時保持することによりスループットの向上を図っ
ている。
Incidentally, only one cassette can be installed. In this case, the processed substrates are returned to the empty space in the same cassette. The transfer chamber 5 can be set and maintained in an arbitrary reduced pressure atmosphere. As shown in FIG. 3, a transfer mechanism 60 and a buffer frame 70 configured to hold a plurality of LCD substrates are arranged in the transfer chamber 5. The transfer mechanism 60 transfers the substrate between the load lock chamber 3 and the processing chambers 2, 4, and 6. In addition, the buffer frame 70 temporarily holds the unprocessed substrate or the processed substrate. By temporarily holding the substrate in this manner, the throughput is improved.

【0025】搬送機構60は、ベース68の一端に配設
され、ベース68に回動可能に配設された第1アーム6
2と、第1アーム62の先端部に回動可能に配設された
第2アーム64と、第2アーム64に回動可能に配設さ
れ且つ基板を保持するためのキャッチャ66とを有す
る。ベース68に内蔵された駆動機構により第1アーム
62、第2アーム64及びキャッチャ66を移動させる
ことにより、基板を搬送することが可能となる。また、
搬送機構60は、ベース68の下に配設されたシリンダ
機構69により上下動が可能であると共に、シリンダを
軸として回転可能となっている。
The transfer mechanism 60 is disposed at one end of the base 68, and the first arm 6 rotatably disposed on the base 68.
2, a second arm 64 rotatably arranged at the tip of the first arm 62, and a catcher 66 rotatably arranged on the second arm 64 for holding a substrate. By moving the first arm 62, the second arm 64, and the catcher 66 by the drive mechanism built in the base 68, the substrate can be transported. Also,
The transport mechanism 60 can be moved up and down by a cylinder mechanism 69 arranged below the base 68, and can be rotated about a cylinder.

【0026】搬送機構60のキャッチャ66は、2段に
構成されたフォーク66a、66bを有する。上フォー
ク66aにより未処理基板が支持され、下フォーク66
bにより処理済み基板が支持されるようになっている。
なお、図示しないが、各フォークには、基板のずれや落
下を防止するために、摩擦係数の高い合成ゴム製の突起
が配設される。
The catcher 66 of the transport mechanism 60 has forks 66a and 66b arranged in two stages. The unprocessed substrate is supported by the upper fork 66a, and the lower fork 66
The processed substrate is supported by b.
Although not shown, each fork is provided with a protrusion made of synthetic rubber having a high friction coefficient in order to prevent the substrate from slipping and dropping.

【0027】バッファ枠体70は、ベース68の他端側
に、ベース68に対して昇降可能に設置される。枠体7
0は、4つのバッファ72、74、76、78を具備
し、これらは水平な4段の基板支持レベルを形成してい
る。これらバッファには、基板を支持するための突起7
9が配設される。突起79は摩擦係数の高い合成ゴム製
であり、基板支持中に基板がずれることまたは落下する
ことを防止する。
The buffer frame 70 is installed on the other end side of the base 68 so as to be able to move up and down with respect to the base 68. Frame 7
0 comprises four buffers 72, 74, 76, 78, which form four horizontal substrate support levels. These buffers have projections 7 for supporting the substrate.
9 are provided. The protrusion 79 is made of synthetic rubber having a high friction coefficient, and prevents the substrate from being displaced or dropped while supporting the substrate.

【0028】搬送機構60及びバッファ枠体70は、シ
リンダ69を軸としてベース68と一体となって回転す
る。このようにベース68を回転させることにより、搬
送機構60は、処理室2、4、6、ロードロック室3の
いずれかに対して選択的に対面することができる。
The transport mechanism 60 and the buffer frame 70 rotate integrally with the base 68 with the cylinder 69 as an axis. By rotating the base 68 in this manner, the transfer mechanism 60 can selectively face any one of the processing chambers 2, 4, 6 and the load lock chamber 3.

【0029】各処理室2、4、6内には、上述したよう
に載置台10が配設される。載置台10はプラズマを形
成するための下部電極として機能する。載置台10の周
囲には、図5図示の如く、セラミック製のシールドリン
グ13が配設される。
The mounting table 10 is provided in each of the processing chambers 2, 4, and 6 as described above. The mounting table 10 functions as a lower electrode for forming plasma. A ceramic shield ring 13 is arranged around the mounting table 10 as shown in FIG.

【0030】4本の支持ピン11(第2の支持部材)は
載置台10の縁部に進出退避可能に配設される。4本の
支持部材12(第1の支持部材)は、載置台10の周囲
のシールドリング13に進出退避可能に配設された支持
棒12aと、その先端に配設された張出し部材12bと
を有する。支持棒12aは進出することにより、基板を
支持することが可能となり、基板の受取りの際に第1の
位置で未処理基板S1を支持する。また、支持ピン11
は進出することにより、基板を支持することが可能とな
り、基板受渡しの際に第1の位置よりも下方の第2の位
置で処理済み基板S2を支持する。
The four support pins 11 (second support members) are arranged at the edge of the mounting table 10 so as to be able to advance and retract. The four support members 12 (first support members) include a support rod 12a arranged so as to be able to advance and retreat to the shield ring 13 around the mounting table 10, and an overhanging member 12b arranged at the tip thereof. Have. The support bar 12a can support the substrate by advancing, and supports the unprocessed substrate S1 at the first position when receiving the substrate. Also, the support pin 11
By advancing, it becomes possible to support the substrate, and when transferring the substrate, the processed substrate S2 is supported at the second position below the first position.

【0031】支持部材12は退避位置において、図9
(a)図示の如く、張出し部材12bが載置台10にか
からないような状態にある。しかし、支持部材12は支
持位置において、図9(b)図示の如く、支持棒12a
が回転されることにより、張出し部材12bが載置台1
0側に突出した支持位置にある状態となる。
The support member 12 is shown in FIG.
(A) As shown in the figure, the overhanging member 12b is in a state where it does not rest on the mounting table 10. However, as shown in FIG. 9B, the support member 12 does not support the support rod 12a in the support position.
When the table is rotated, the overhanging member 12b is moved to the mounting table 1
It is in a state of being in a supporting position protruding to the 0 side.

【0032】キャッチャ66は、その上フォーク66a
が前記第1の位置に対応し、下フォーク66bが前記第
2の位置に対応する位置になるように高さが設定され
る。後述するように、上フォーク66aに未処理基板を
支持した状態で処理室に装入された際に、未処理基板が
第1の位置にある支持部材12に受け渡されると同時
に、第1の位置で支持ピン11に支持される処理済み基
板がフォーク66bに受け渡される。
The catcher 66 has a fork 66a thereon.
Corresponds to the first position, and the lower fork 66b is set to a position corresponding to the second position. As will be described later, when the unprocessed substrate is loaded into the processing chamber while being supported by the upper fork 66a, the unprocessed substrate is transferred to the support member 12 at the first position, and at the same time, the first unprocessed substrate is transferred. The processed substrate supported by the support pin 11 at the position is transferred to the fork 66b.

【0033】次に、以上のように構成される装置の動作
について説明する。まず、搬送機構50の2枚のアーム
52、53を進退駆動させて、未処理基板を収容した一
方のカセット42(図1の左側のカセット)から2枚の
基板Sを一度にロードロック室3に搬入する。
Next, the operation of the apparatus configured as described above will be described. First, the two arms 52 and 53 of the transfer mechanism 50 are driven back and forth to load two substrates S from the one cassette 42 (the left cassette in FIG. 1) accommodating the unprocessed substrates at one time into the load lock chamber 3 Bring to.

【0034】ロードロック室3内においては、バッファ
ラック30の棚32、33により2枚の基板Sを保持す
る。アーム52、53が退避した後、ロードロック室の
大気側のゲートバルブ9bを閉じる。その後、ロードロ
ック室3内を排気して、内部を所定の真空度、例えば1
-1Torr程度まで減圧する。真空引き終了後、一対
のポジショナ35の4つのローラ37により基板を押圧
うすることにより基板Sの位置合わせを行なう。
In the load lock chamber 3, two shelves S are held by the shelves 32 and 33 of the buffer rack 30. After the arms 52 and 53 are retracted, the gate valve 9b on the atmosphere side of the load lock chamber is closed. After that, the inside of the load lock chamber 3 is evacuated, and the inside of the load lock chamber 3 has a predetermined vacuum degree,
Reduce the pressure to about 0 -1 Torr. After the evacuation is completed, the substrate S is aligned by pressing the substrate with the four rollers 37 of the pair of positioners 35.

【0035】以上のように位置合わせされた後、搬送室
5及びロードロック室3間のゲートバルブ9aを開く。
汚染防止の観点から下段の棚33の基板Sから搬送機構
60により搬送室5内に搬入し、バッファ枠体70の最
上のバッファ72に保持する。この場合に、基板Sはバ
ファラック30上に予め決められた所定の間隔で支持さ
れるているので、搬送機構の動作制御をカセット42の
基板支持間隔に依存せずに行なうことができる。即ち、
異なる基板の支持間隔毎に搬送機構60の動作量等を変
更するという複雑な制御手段が不要となる。従って、装
置内の汚染を低減することができる。
After the alignment as described above, the gate valve 9a between the transfer chamber 5 and the load lock chamber 3 is opened.
From the viewpoint of preventing contamination, the substrate S of the lower shelf 33 is carried into the carrier chamber 5 by the carrier mechanism 60 and held in the uppermost buffer 72 of the buffer frame 70. In this case, since the substrate S is supported on the buffer rack 30 at a predetermined interval, the operation control of the transfer mechanism can be performed without depending on the substrate support interval of the cassette 42. That is,
A complicated control means for changing the operation amount of the transfer mechanism 60 for each support interval of different substrates is unnecessary. Therefore, the contamination in the device can be reduced.

【0036】搬送室5内に基板を搬入した状態で、10
-4Torr程度に更に真空引きする。これにより、装置
内の汚染を低減することができる。次に、搬送機構60
により搬送室5内に搬入し且つバッファ72に保持した
基板Sを所定の処理室、例えば処理室2に搬送する。こ
の場合に、最初に搬送する場合以外は、処理室内には処
理済み基板が存在しており、処理済み基板と未処理基板
との交換を行なうこととなる。
With the substrate loaded in the transfer chamber 5, 10
-Evacuate to about 4 Torr. As a result, contamination in the device can be reduced. Next, the transport mechanism 60
Thus, the substrate S loaded into the transfer chamber 5 and held in the buffer 72 is transferred to a predetermined processing chamber, for example, the processing chamber 2. In this case, the processed substrate is present in the processing chamber except when it is first transferred, and the processed substrate and the unprocessed substrate are exchanged.

【0037】この際の交換操作を図5乃至図8を参照し
ながら説明する。まず、処理室の載置台10上に処理済
み基板S2を載置した状態で、支持部材12を図9
(a)の状態から進出させる。更に、図9(b)のよう
に支持棒12aを回転させて、張出し部材12bが載置
台10側に突出する位置になるようにする。この状態で
この支持部材12は第1の位置で未処理基板S1を受取
ることが可能な状態となる。
The exchange operation at this time will be described with reference to FIGS. First, with the processed substrate S2 mounted on the mounting table 10 in the processing chamber, the support member 12 is moved to the position shown in FIG.
Advance from the state of (a). Further, as shown in FIG. 9B, the support rod 12a is rotated so that the overhanging member 12b is positioned so as to project toward the mounting table 10 side. In this state, the supporting member 12 is ready to receive the unprocessed substrate S1 at the first position.

【0038】次に、支持ピン11を進出させて処理済み
基板S2を上昇させ、第2の位置で支持するようにす
る。以上のような動作により、図5の状態が形成され
る。この場合に、搬送機構60のキャッチャ66は、そ
の上フォーク66aが前記第1の位置に対応し、下フォ
ーク66bが前記第2の位置に対応する位置になるよう
に高さが設定されており、上フォーク66aに未処理基
板S1を支持している。
Next, the support pins 11 are advanced to raise the processed substrate S2 to support it at the second position. By the above operation, the state of FIG. 5 is formed. In this case, the catcher 66 of the transport mechanism 60 has a height set so that the upper fork 66a thereof corresponds to the first position and the lower fork 66b thereof corresponds to the second position. The unprocessed substrate S1 is supported by the upper fork 66a.

【0039】次に、図6図示の如く、キャッチャ66を
載置台10の上方に進出させ、未処理基板S1を載置台
10上方の第1の位置まで搬送する。この場合に、フォ
ーク66bは第2の位置にある処理済み基板S2の直下
に位置する。この状態で支持部材12の支持棒12aを
僅かに上昇させ、同時に支持ピン11を下降させる。こ
れにより、未処理基板S1は支持部材12に支持された
状態となると共に、処理済み基板はキャッチャ66の下
フォーク66bに支持された状態となる。
Next, as shown in FIG. 6, the catcher 66 is advanced above the mounting table 10 and the unprocessed substrate S1 is conveyed to a first position above the mounting table 10. In this case, the fork 66b is located directly below the processed substrate S2 in the second position. In this state, the support rod 12a of the support member 12 is slightly raised, and at the same time, the support pin 11 is lowered. As a result, the unprocessed substrate S1 is supported by the support member 12, and the processed substrate is supported by the lower fork 66b of the catcher 66.

【0040】その後、図7図示の如く、処理済み基板S
2を支持した状態のキャッチャ66を退避させる。そし
て、図8図示の如く、再び支持ピン11を進出させて未
処理基板S1を支持し、支持部材12を退避させて図9
(a)の状態に戻す。この図8の動作と並行して、処理
室と搬送室5との間のゲートバルブ9aを閉じる動作に
入り、プロセス前処理を開始する。従って、図8の動作
はスループットには影響を与えない。
Then, as shown in FIG. 7, the processed substrate S is processed.
The catcher 66 supporting 2 is retracted. Then, as shown in FIG. 8, the support pin 11 is advanced again to support the unprocessed substrate S1, and the support member 12 is retracted to remove the unprocessed substrate S1.
Return to the state of (a). In parallel with the operation of FIG. 8, the operation of closing the gate valve 9a between the processing chamber and the transfer chamber 5 is started, and the process pretreatment is started. Therefore, the operation of FIG. 8 does not affect the throughput.

【0041】このように、処理室における基板の交換に
おいて、未処理基板の搬入と処理済み基板の搬出とを保
持部(キャッチャ)の1回の移動によって行なうことが
できる。このため、基板の交換時間を著しく低減するこ
とができる。ちなみに、従来この交換操作にかかる時間
が17秒であったものが8秒と半分以下に短縮された。
As described above, in exchanging the substrate in the processing chamber, the unprocessed substrate can be loaded and the processed substrate can be unloaded by one movement of the holding portion (catcher). Therefore, the time for exchanging the substrate can be significantly reduced. By the way, the time required for this replacement operation, which was 17 seconds in the past, was reduced to 8 seconds, which is less than half.

【0042】このような動作が行なわれる間に、ロード
ロック室3内の棚32の基板も搬送室5に搬入し、いず
れかのバッファに保持する。このような動作をカセット
42内の基板に対して順次に行なう。この際に第1及び
2ロードロック室20、3内のバッファの存在により、
待ち時間なく連続的に基板を装置内に搬入することがで
きるので、スループットの向上に寄与する。
While such an operation is being performed, the substrates on the shelves 32 in the load lock chamber 3 are also loaded into the transport chamber 5 and held in one of the buffers. Such an operation is sequentially performed on the substrates in the cassette 42. At this time, due to the existence of the buffers in the first and second load lock chambers 20 and 3,
The substrate can be continuously loaded into the apparatus without waiting time, which contributes to improvement in throughput.

【0043】処理済み基板S2は、搬送機構60により
搬送室5に戻し、更にロードロック室3を経て、搬送機
構50のアーム52、53により、処理済み基板用のカ
セット42(図1の右側のカセット)に挿入する。
The processed substrate S2 is returned to the transfer chamber 5 by the transfer mechanism 60, further passes through the load lock chamber 3, and then the processed substrate cassette 42 (on the right side in FIG. 1) is transferred by the arms 52 and 53 of the transfer mechanism 50. Cassette).

【0044】以上のような処理においては、バッファ機
構の存在、及び特に処理室における基板の交換の高効率
化により、従来にない極めて高いスループットを実現す
ることができる。
In the above-described processing, the existence of the buffer mechanism, and in particular, the highly efficient exchange of the substrate in the processing chamber, makes it possible to realize an unprecedentedly high throughput.

【0045】また、上記装置では、エッチング、アッシ
ングの連続処理を行なうことが可能であり、この点でも
効率が高い。また、プログラムを変更することにより、
エッチング、エッチングの連続処理、エッチングの単一
処理など、ユーザーのニーズに対応した種々処理を行な
うことができ、極めて汎用性が高い。
Further, in the above apparatus, it is possible to continuously perform etching and ashing, and this is also highly efficient. Also, by changing the program,
It is extremely versatile because it can perform various processes such as etching, continuous etching, and single etching.

【0046】例えば、支持部材12として支持棒12a
の先端に平板状の張出し部材12bを設けたものを用い
たが、図10図示の如く、先端に鉤状部12cを有する
ピン状の支持部材12xであってもよい。そして、支持
部材12xは退避位置においては、図11(a)図示の
如く、シールド部材13中に完全に収容され、その上に
蓋12dがされる。支持部材12xが支持位置に進出す
る際には、図11(b)図示の如く、蓋12が開き、支
持位置まで上昇すると回転し、鉤状部12cが載置台1
0側へ突出した状態となる。また、未処理基板を支持す
る支持部材(第1の支持部材)は、進出退避即ち上昇下
降するタイプのものに限らず、例えば回転移動して退避
するタイプのものであってもよい。
For example, the support rod 12a is used as the support member 12.
Although a plate-shaped projecting member 12b is provided at the tip of the above, a pin-shaped support member 12x having a hook-shaped portion 12c at the tip may be used as shown in FIG. Then, in the retracted position, the support member 12x is completely housed in the shield member 13 as shown in FIG. 11A, and the lid 12d is placed thereon. When the support member 12x advances to the support position, the lid 12 opens as shown in FIG. 11 (b), and when the support member 12x rises to the support position, the support member 12x rotates and the hook-shaped portion 12c is placed.
It is in a state of protruding to the 0 side. Further, the support member (first support member) for supporting the unprocessed substrate is not limited to the type of advancing / withdrawing, that is, raising / lowering, and may be of the type of rotating and retreating, for example.

【0047】また、キャッチャ66即ち保持部も上記の
ものに限定されず種々のものを採用することができる。
またキャッチャとして上下2段のフォークが固定的に配
設されたものを用いたが、これらフォークが独立して移
動できるものとすることもできる。更に、基板支持部は
フォーク状に限らず搬送機構50のアーム52、53の
ように板状のものであってもよい。
Further, the catcher 66, that is, the holding portion is not limited to the above, and various kinds can be adopted.
Further, as the catcher, a fork having two upper and lower stages fixedly arranged is used, but these forks may be independently movable. Further, the substrate support portion is not limited to the fork shape and may be a plate shape like the arms 52 and 53 of the transfer mechanism 50.

【0048】図12は本発明の別の実施の形態に係る真
空処理装置の概観を示す斜視図、図13及び図14はそ
の内部を示す概略横断平面図及び概略側面図である。こ
れらの図中、図1乃至図11を参照して述べた先の実施
の形態と共通する部分については、同一の符号を付して
詳細な説明を省略する。
FIG. 12 is a perspective view showing an outline of a vacuum processing apparatus according to another embodiment of the present invention, and FIGS. 13 and 14 are a schematic cross-sectional plan view and a schematic side view showing the inside thereof. In these figures, the same parts as those in the previous embodiment described with reference to FIGS. 1 to 11 are designated by the same reference numerals, and detailed description thereof will be omitted.

【0049】この実施の形態に係る処理装置1Bは、図
12図示の如く、先の実施の形態と同じ3つ処理室2、
4、6を有する。処理室2、4、6は、平面が正方形の
搬送室5の3つの辺に夫々ゲートバルブ9aを介して接
続される。例えば、処理室2、6では同一のエッチング
処理が行なわれ、他の1つ処理室4ではアッシング処理
が行なわれる。
As shown in FIG. 12, the processing apparatus 1B according to this embodiment has the same three processing chambers 2 as in the previous embodiment.
4 and 6. The processing chambers 2, 4, and 6 are connected to the three sides of the transfer chamber 5 having a square plane through gate valves 9a, respectively. For example, the same etching process is performed in the processing chambers 2 and 6, and the ashing process is performed in the other processing chamber 4.

【0050】各処理室2、4、6内には、先の実施の形
態と同様、4本の支持ピン11及び4本の支持部材12
を有する載置台10が配設される。従って、前述の如
く、各処理室2、4、6においては、処理済み基板と未
処理基板との交換操作を、搬送室5内に配設された搬送
機構60の一進出動作で行うことができる。
In each of the processing chambers 2, 4, and 6, as in the previous embodiment, four support pins 11 and four support members 12 are provided.
The mounting table 10 having the above is arranged. Therefore, as described above, in each of the processing chambers 2, 4, and 6, the exchange operation of the processed substrate and the unprocessed substrate can be performed by one advancing operation of the transfer mechanism 60 arranged in the transfer chamber 5. it can.

【0051】搬送室5の残りの一辺には、上下に2つの
ロードロック室3a、3bが夫々ゲートバルブ9aを介
して接続される。また、ロードロック室3a、3bと基
板カセット42との間でLCD基板Sを搬送するため、
先の実施の形態の搬送機構50とは異なる構造の搬送機
構80が配設される。
Two load lock chambers 3a and 3b, one above the other, are connected to the remaining one side of the transfer chamber 5 through gate valves 9a, respectively. Further, since the LCD substrate S is transported between the load lock chambers 3a and 3b and the substrate cassette 42,
A transport mechanism 80 having a structure different from that of the transport mechanism 50 of the previous embodiment is provided.

【0052】搬送機構80はベース板81を有し、その
長手方向に沿って摺動駆動可能にスライダ82が配設さ
れる。スライダ82上には、水平面内で回転駆動可能に
L字形のスタンド83が取付けられる。更に、スタンド
83の垂直部には、昇降駆動可能に水平板84が取付け
られる。
The transport mechanism 80 has a base plate 81, and a slider 82 is slidably driven along the longitudinal direction of the base plate 81. An L-shaped stand 83 is mounted on the slider 82 so as to be rotatable in a horizontal plane. Further, a horizontal plate 84 is attached to the vertical portion of the stand 83 so as to be able to drive up and down.

【0053】水平板84上には基板Sを載置するための
上フォーク85及び下フォーク86が配設される。下フ
ォーク86は、水平板84の長手方向に沿って摺動駆動
可能に水平板84に取付けられる。下フォーク86の基
部86aにはサブスタンド87が立設され、上フォーク
85はサブスタンド87に昇降駆動可能に取付けられ
る。従って、上フォーク85は下フォーク86と共に、
水平板84の長手方向に沿って一体的に摺動することと
なる。
An upper fork 85 and a lower fork 86 for mounting the substrate S are arranged on the horizontal plate 84. The lower fork 86 is attached to the horizontal plate 84 so as to be slidable along the longitudinal direction of the horizontal plate 84. A sub stand 87 is erected on the base portion 86a of the lower fork 86, and the upper fork 85 is attached to the sub stand 87 so as to be vertically movable. Therefore, the upper fork 85, together with the lower fork 86,
The horizontal flat plate 84 slides integrally along the longitudinal direction.

【0054】上フォーク85のフィンガ85b、85c
と、下フォーク86のフィンガ86b、86cとは、上
下方向の厚さが同一で、基板Sのカセット42内への収
納間隔よりも小さくなっている。また、上フォーク85
のフィンガ85b、85cの内エッジ間の幅は、下フォ
ーク86のフィンガ86b、86cの外エッジ間の幅よ
りも僅かに大きく設定される。更に、下フォーク86の
基部86aは、そのフィンガ86b、86cよりも、上
フォーク85の基部85aの厚さ分だけ下に凹んでい
る。
Fingers 85b, 85c of the upper fork 85
And the fingers 86b and 86c of the lower fork 86 have the same vertical thickness, and are smaller than the storage interval of the substrate S in the cassette 42. Also, the upper fork 85
The width between the inner edges of the fingers 85b and 85c is set to be slightly larger than the width between the outer edges of the fingers 86b and 86c of the lower fork 86. Further, the base portion 86a of the lower fork 86 is recessed below the fingers 86b and 86c by the thickness of the base portion 85a of the upper fork 85.

【0055】従って、上フォーク85が最も降下した
際、上フォーク85のフィンガ85b、85cと下フォ
ーク86のフィンガ86b、86cとは横方向から見て
一枚の板のように相互に重なり合うことができる。この
時、上フォーク85のフィンガ85b、85cは、下フ
ォーク86のフィンガ86b、86cのちょうど外側で
同一平面上に位置する。また、この時、少なくとも両フ
ォーク85、86の上側の支持面を整一させるようにす
る。ここでは、両上フォーク85、86の厚さが同じで
あるから、両フォーク85、86の上側の支持面も、下
側の底面も整一する。
Therefore, when the upper fork 85 descends most, the fingers 85b and 85c of the upper fork 85 and the fingers 86b and 86c of the lower fork 86 may overlap each other like a plate when viewed in the lateral direction. it can. At this time, the fingers 85b and 85c of the upper fork 85 are located on the same plane just outside the fingers 86b and 86c of the lower fork 86. At this time, at least the upper support surfaces of both forks 85 and 86 are aligned. Here, since both upper forks 85 and 86 have the same thickness, both the upper support surface and the lower bottom surface of both forks 85 and 86 are aligned.

【0056】このような構成の搬送機構80を用いる
と、処理済み基板のカセット42への収納と、未処理基
板のカセットからの取り出しとを同時に並行して行うこ
とができ、スループットが向上する。搬送機構80は、
回転系が1カ所で、他は全て直線摺動系であるから、高
速且つ安定した動作を行うことができる。
By using the transport mechanism 80 having such a structure, it is possible to store processed substrates in the cassette 42 and take out unprocessed substrates from the cassette at the same time, thus improving the throughput. The transport mechanism 80 is
Since the rotating system is at one location and the others are linear sliding systems, high speed and stable operation can be performed.

【0057】ロードロック室3a、3bは個別に任意の
減圧雰囲気に設定し且つ維持することが可能となってい
る。従って、ロードロック室3a、3bは、個別動作可
能なゲートバルブ9aを介して搬送室5に接続される一
方、個別動作可能なゲートバルブ9bを介して外部雰囲
気に接続される。
The load lock chambers 3a and 3b can be individually set and maintained in an arbitrary reduced pressure atmosphere. Therefore, the load lock chambers 3a and 3b are connected to the transfer chamber 5 via the individually operable gate valve 9a, while being connected to the external atmosphere via the individually operable gate valve 9b.

【0058】この実施の形態において、両ロードロック
室3a、3bは、図15図示の様な同一の内部構造を有
する。即ち、各ロードロック室3a、3bは、水平な2
段の基板支持レベルを有し、一度に2枚の基板Sを保持
するように構成される。上段支持レベルは対向する一対
のハンド91により規定され、下段支持レベルは対向す
る一対のハンド92により規定される。
In this embodiment, both load lock chambers 3a and 3b have the same internal structure as shown in FIG. That is, each load lock chamber 3a, 3b has a horizontal 2
It has a stepped substrate support level and is configured to hold two substrates S at a time. The upper support level is defined by a pair of opposing hands 91, and the lower support level is defined by a pair of opposing hands 92.

【0059】各ハンド91、92は前方に向かって広が
るように配設された一対のフィンガ93を有する。フィ
ンガ93は内側壁に取付けられた駆動部94に取付けら
れ、駆動部94により、図15図示の位置と、フィンガ
93が側壁に向かって退避する退避位置との間で旋回駆
動される。
Each of the hands 91 and 92 has a pair of fingers 93 arranged so as to spread toward the front. The finger 93 is attached to a drive unit 94 attached to the inner side wall, and is driven by the drive unit 94 between a position shown in FIG. 15 and a retracted position where the finger 93 is retracted toward the side wall.

【0060】また、各ロードロック室3a、3bには底
壁の下に配設された駆動部(図示せず)により上下に駆
動される4本の支持ピン96が配設される。支持ピン9
6は、底壁の下に退避する退避位置と、ハンド91によ
り規定される上段支持レベルよりも上に突出する突出位
置との間で移動可能であると共に、任意の位置で停止可
能となる。
Further, in each of the load lock chambers 3a and 3b, four support pins 96 which are vertically driven by a drive unit (not shown) disposed under the bottom wall are disposed. Support pin 9
6 is movable between a retracted position that retracts under the bottom wall and a protruding position that protrudes above the upper support level defined by the hand 91, and can be stopped at any position.

【0061】各フィンガ93が閉じて図15図示の位置
にある時、各ハンド91、92により基板Sを対応の支
持レベルに支持可能となる。反対に、各フィンガ93が
退避位置に開くと、支持ピン96に支持された基板S
が、対向する一対のハンド91、91間、或いは一対の
ハンド92、92間を通過することができる。
When the fingers 93 are closed and located at the positions shown in FIG. 15, the substrates S can be supported by the hands 91 and 92 at the corresponding support levels. On the contrary, when each finger 93 is opened to the retracted position, the substrate S supported by the support pin 96 is
However, it can pass between the pair of hands 91, 91 facing each other or between the pair of hands 92, 92.

【0062】更に、この実施の形態においては、搬送室
5内に配設された搬送機構60xには、上下2フォーク
66a、66bを有するキャッチャ66が具備される
が、バッファ枠体70は付設されていない。これは、上
下2つのロードロック室3a、3bが配設されると共
に、処理室2、4、6だけでなく、ロードロック室3
a、3bにおいても、処理済み基板と未処理基板との交
換操作を搬送機構60xの一進出動作で行うことができ
るため、バッファ枠体70を省略することができるから
である。また、キャッチャ66をバッファ枠体70側に
向ける必要がないため、キャッチャ66とベース68と
は一つの中間アーム63で接続される。更に、ベース6
8は、シリンダ機構69を介して上下に駆動可能となっ
ている。
Further, in this embodiment, the transport mechanism 60x provided in the transport chamber 5 is provided with the catcher 66 having the upper and lower two forks 66a and 66b, but the buffer frame body 70 is additionally provided. Not not. This is because not only the upper and lower load lock chambers 3a and 3b are disposed, but also the load lock chambers 3 as well as the processing chambers 2, 4, and 6
This is because, also in a and 3b, the exchange operation of the processed substrate and the unprocessed substrate can be performed by one advance operation of the transport mechanism 60x, so that the buffer frame body 70 can be omitted. Further, since it is not necessary to direct the catcher 66 to the buffer frame 70 side, the catcher 66 and the base 68 are connected by one intermediate arm 63. Furthermore, base 6
8 can be driven up and down via a cylinder mechanism 69.

【0063】上述のような構成により、各ロードロック
室3a、3bにおいては、搬送機構60xの一進出動作
で、処理済み基板と未処理基板との交換操作が可能とな
る。この操作は、処理室2、4、6の載置台10に支持
ピン11と支持部材12とを配設することにより実現し
た、処理済み基板と未処理基板との交換操作と類似して
いる。
With the configuration as described above, in each of the load lock chambers 3a and 3b, the one operation of advancing the transfer mechanism 60x enables the exchange operation of the processed substrate and the unprocessed substrate. This operation is similar to the operation of exchanging a processed substrate and an unprocessed substrate, which is realized by disposing the support pins 11 and the support members 12 on the mounting table 10 of the processing chambers 2, 4, and 6.

【0064】なお、ロードロック室3a、3bの各ハン
ド91、92のフィンガ93が開閉可能であるのは、例
えば、空き時間に処理済みの基板Sを上段支持レベルか
ら下段支持レベルに移す等の付随的な動作に対応するた
めのものである。従って、処理済み基板と未処理基板と
の交換操作を搬送機構60xの一進出動作で行うためだ
けであれば、各ハンド91、92のフィンガ93は開閉
動作せず、図15図示の位置に固定されたものでよい。
The fingers 93 of the hands 91 and 92 of the load lock chambers 3a and 3b can be opened and closed, for example, when the processed substrate S is moved from the upper support level to the lower support level during idle time. This is for accommodating an incidental operation. Therefore, the finger 93 of each of the hands 91 and 92 does not open and close but is fixed to the position shown in FIG. 15 only if the exchange operation of the processed substrate and the unprocessed substrate is performed by the one-advancing operation of the transport mechanism 60x. It can be the one that was done.

【0065】次に、ロードロック室3a、3bにおい
て、搬送室5の搬送機構60xにより処理済み基板と未
処理基板とを交換する操作について説明する。ここで
は、搬送機構60xの下フォーク66bに処理済み基板
S1が支持され、ロードロック室3aのハンド91(上
段支持レベル)に未処理基板S2が支持された状態を想
定する。また、ロードロック室3aの上下段支持レベル
間の間隔が搬送機構60xの上下段支持レベル間の間隔
よりも十分大きく設定されるものとする。なお、ゲート
バルブ9a等の付随的な操作の説明は省略する。
Next, in the load lock chambers 3a and 3b, an operation for exchanging a processed substrate and an unprocessed substrate by the transfer mechanism 60x of the transfer chamber 5 will be described. Here, it is assumed that the processed substrate S1 is supported by the lower fork 66b of the transport mechanism 60x and the unprocessed substrate S2 is supported by the hand 91 (upper support level) of the load lock chamber 3a. Further, the interval between the upper and lower support levels of the load lock chamber 3a is set to be sufficiently larger than the interval between the upper and lower support levels of the transport mechanism 60x. Note that description of incidental operations of the gate valve 9a and the like will be omitted.

【0066】先ず、下フォーク66bで処理済み基板S
1を支持するキャッチャ66を、ハンド91で未処理基
板S2を支持するロードロック室3a内に挿入する。こ
の時、キャッチャ66の上下フォーク66a、66bの
両者がロードロック室3aの上下ハンド91、92間に
位置するようにする。
First, the substrate S processed by the lower fork 66b is processed.
The catcher 66 supporting 1 is inserted into the load lock chamber 3a supporting the unprocessed substrate S2 with the hand 91. At this time, both the upper and lower forks 66a and 66b of the catcher 66 are positioned between the upper and lower hands 91 and 92 of the load lock chamber 3a.

【0067】次に、支持ピン96を上昇させ、支持ピン
96によりキャッチャ66の下フォーク66bから処理
済み基板S1を受取る。次に、支持ピン96と共にキャ
ッチャ66を上昇させ、上フォーク66aによりハンド
91から未処理基板S2を受取る。
Next, the support pin 96 is raised, and the support pin 96 receives the processed substrate S1 from the lower fork 66b of the catcher 66. Next, the catcher 66 is raised together with the support pin 96, and the unprocessed substrate S2 is received from the hand 91 by the upper fork 66a.

【0068】次に、上フォーク66aで未処理基板S2
を支持するキャッチャ66を搬送室5へ退避させる。次
に、支持ピン96を下降させ、ハンド92(下段支持レ
ベル)上に処理済み基板S1を載置する。
Next, the unprocessed substrate S2 is moved by the upper fork 66a.
The catcher 66 supporting the is retracted to the transfer chamber 5. Next, the support pin 96 is lowered, and the processed substrate S1 is placed on the hand 92 (lower support level).

【0069】次に、上記操作に続いて、ロードロック室
3a、3bにおいて、外部雰囲気側の搬送機構80によ
り処理済み基板と未処理基板とを交換する操作について
説明する。ここでは、ロードロック室3aのハンド92
(下段支持レベル)に処理済み基板S1が支持され、搬
送機構80の上フォーク85に未処理基板S3が支持さ
れた状態を想定する。なお、ゲートバルブ9b等の付随
的な操作の説明は省略する。
Next, following the above operation, an operation for exchanging a processed substrate and an unprocessed substrate in the load lock chambers 3a, 3b by the transfer mechanism 80 on the external atmosphere side will be described. Here, the hand 92 of the load lock chamber 3a
It is assumed that the processed substrate S1 is supported by (lower support level) and the unprocessed substrate S3 is supported by the upper fork 85 of the transport mechanism 80. Note that description of incidental operations of the gate valve 9b and the like will be omitted.

【0070】先ず、上フォーク85で未処理基板S3を
支持する搬送機構80を、ハンド92で処理済み基板S
1を支持するロードロック室3a内に挿入する。この
時、搬送機構80の上下フォーク85、86間の間隔を
予め広げておき、上下フォーク85、86間にロードロ
ック室3aの上下ハンド91、92が位置するようにす
る。
First, the transport mechanism 80 for supporting the unprocessed substrate S3 by the upper fork 85 is moved to the processed substrate S by the hand 92.
1 is inserted into the load lock chamber 3a which supports 1. At this time, the space between the upper and lower forks 85 and 86 of the transport mechanism 80 is widened in advance so that the upper and lower hands 91 and 92 of the load lock chamber 3a are located between the upper and lower forks 85 and 86.

【0071】次に、搬送機構80の水平板84を上昇さ
せながら、上フォーク85を下フォーク86に向けて移
動させる。この操作により、上下フォーク85、86を
上昇させながら両者間の間隔を狭めることができる。従
って、上フォーク85から上ハンド91に未処理基板S
3を載置すると共に、下フォーク86により下ハンド9
2から処理済み基板S1を受取ることができる。
Next, the upper fork 85 is moved toward the lower fork 86 while raising the horizontal plate 84 of the transport mechanism 80. By this operation, the space between the upper and lower forks 85 and 86 can be narrowed while being raised. Therefore, the unprocessed substrate S is transferred from the upper fork 85 to the upper hand 91.
3 is placed and the lower fork 86 lowers the lower hand 9
It is possible to receive the processed substrate S1 from 2.

【0072】図16は図12乃至図15を参照して述べ
た実施の形態に係る真空処理装置におけるLCD基板S
の搬送シーケンスを順に示す説明図である。ここでは、
処理室2、6で同一のエッチング処理を、処理室4でア
ッシング処理を行うことを想定している。図16におい
ては混同を避けるため、(a)のみに処理装置の各室の
参照を符号を付してある。(b)〜(s)中の数字は、
LCD基板Sである基板S1〜S8の係数のみを取上げ
て示すものである。
FIG. 16 shows an LCD substrate S in the vacuum processing apparatus according to the embodiment described with reference to FIGS.
It is an explanatory view showing the conveyance sequence of in order. here,
It is assumed that the same etching process is performed in the processing chambers 2 and 6 and the ashing process is performed in the processing chamber 4. In FIG. 16, in order to avoid confusion, reference is made to each chamber of the processing apparatus only in (a). The numbers in (b) to (s) are
Only the coefficients of the substrates S1 to S8, which are LCD substrates S, are shown.

【0073】先ず、下ロードロック室3bに基板S1、
上ロードロック室3aに基板S2を導入する(図16
(b)、(c))。次に、基板S1を下ロードロック室
3bから搬送室5を経由し(図16(d))、処理室2
にロードし、基板S1のエッチングを開始する。また、
基板S1を処理室2にロードするのと並行して下ロード
ロック室3bに基板S3を搬入する(図16(e))。
そして、基板S1の処理中、基板S2を上ロードロック
室3aから搬送室5を経由し(図16(f))、処理室
6にロードし、基板S2のエッチングを開始する。ま
た、基板S2を処理室6にロードするのと並行して上ロ
ードロック室3aに基板S4を搬入する(図16
(g))。
First, the substrate S1 is placed in the lower load lock chamber 3b.
The substrate S2 is introduced into the upper load lock chamber 3a (see FIG. 16).
(B), (c)). Next, the substrate S1 is transferred from the lower load lock chamber 3b through the transfer chamber 5 (FIG. 16 (d)) to the processing chamber 2
Then, the etching of the substrate S1 is started. Also,
In parallel with loading the substrate S1 into the processing chamber 2, the substrate S3 is loaded into the lower load lock chamber 3b (FIG. 16 (e)).
Then, during the processing of the substrate S1, the substrate S2 is loaded from the upper load lock chamber 3a through the transfer chamber 5 (FIG. 16 (f)) into the processing chamber 6, and the etching of the substrate S2 is started. The substrate S4 is loaded into the upper load lock chamber 3a in parallel with loading the substrate S2 into the processing chamber 6 (FIG. 16).
(G)).

【0074】次に、基板S1、S2の処理中、基板S3
を下ロードロック室3bから搬送室5に移動する(図1
6(h))。更に、基板S2の処理中、エッチング処理
済みの基板S1と基板S3とを搬送機構60xの一進出
動作で交換し、基板S1を搬送室5にアンロードすると
共に基板S3を処理室2にロードする。また、これと並
行して、下ロードロック室3bに基板S5を搬入する
(図16(i))。
Next, during the processing of the substrates S1 and S2, the substrate S3
Is moved from the lower load lock chamber 3b to the transfer chamber 5 (see FIG. 1).
6 (h)). Furthermore, during the processing of the substrate S2, the etching-processed substrate S1 and the substrate S3 are exchanged by one advance operation of the transfer mechanism 60x, the substrate S1 is unloaded into the transfer chamber 5, and the substrate S3 is loaded into the processing chamber 2. . Further, in parallel with this, the substrate S5 is carried into the lower load lock chamber 3b (FIG. 16 (i)).

【0075】次に、基板S2、S3の処理中、基板S1
を搬送室5から処理室4にロードし、基板S1のアッシ
ングを開始する(図16(j))。更に、基板S2、S
3、S1の処理中、基板S4を上ロードロック室3aか
ら搬送室5に移動する(図16(k))。そして、基板
S3、S1の処理中、エッチング処理済みの基板S2と
基板S4とを搬送機構60xの一進出動作で交換し、基
板S2を搬送室5にアンロードすると共に基板S4を処
理室6にロードする。また、これと並行して、上ロード
ロック室3aに基板S6を搬入する(図16(l))。
Next, during the processing of the substrates S2 and S3, the substrate S1
Is loaded from the transfer chamber 5 into the processing chamber 4, and the ashing of the substrate S1 is started (FIG. 16 (j)). Further, the substrates S2, S
3, the substrate S4 is moved from the upper load lock chamber 3a to the transfer chamber 5 during the processing of S1 (FIG. 16 (k)). Then, during the processing of the substrates S3 and S1, the substrate S2 and the substrate S4 which have been subjected to the etching process are exchanged by one advance operation of the transfer mechanism 60x, the substrate S2 is unloaded into the transfer chamber 5, and the substrate S4 is transferred into the processing chamber 6. To load. Further, in parallel with this, the substrate S6 is carried into the upper load lock chamber 3a (FIG. 16 (l)).

【0076】次に、基板S3、S4の処理中、アッシン
グ処理済みの基板S1と基板S2とを搬送機構60xの
一進出動作で交換し、基板S1を搬送室5にアンロード
すると共に基板S2を処理室4にロードする(図16
(m))。更に、基板S3、S4、S2の処理中、処理
完了基板S1と基板S5とを搬送機構60xの一進出動
作で交換し、基板S1を下ロードロック室3bに戻すと
共に基板S5を搬送室5に移動する(図16(n))。
そして、基板S4、S2の処理中、エッチング処理済み
の基板S3と基板S5とを搬送機構60xの一進出動作
で交換し、基板S3を搬送室5にアンロードすると共に
基板S5を処理室2にロードする。また、これと並行し
て、処理完了基板S1と基板S7とを外部雰囲気側の搬
送機構80の一進出動作で交換し、基板S1を搬出する
と共に基板S7を下ロードロック室3bに搬入する(図
16(o))。
Next, during the processing of the substrates S3 and S4, the ashed substrate S1 and the substrate S2 are exchanged by one advance operation of the transport mechanism 60x, the substrate S1 is unloaded into the transport chamber 5, and the substrate S2 is removed. It is loaded into the processing chamber 4 (Fig. 16)
(M)). Further, during the processing of the substrates S3, S4, S2, the processing-completed substrate S1 and the substrate S5 are exchanged by one advance operation of the transfer mechanism 60x, the substrate S1 is returned to the lower load lock chamber 3b, and the substrate S5 is transferred to the transfer chamber 5. It moves (FIG. 16 (n)).
Then, during the processing of the substrates S4 and S2, the etched substrate S3 and the substrate S5 are exchanged by one advance operation of the transfer mechanism 60x, the substrate S3 is unloaded into the transfer chamber 5, and the substrate S5 is transferred into the processing chamber 2. To load. In parallel with this, the processed substrate S1 and the substrate S7 are exchanged by one advance operation of the transfer mechanism 80 on the external atmosphere side, the substrate S1 is unloaded, and the substrate S7 is loaded into the lower load lock chamber 3b ( FIG. 16 (o)).

【0077】以下、同様な操作を繰返すことにより(図
16(p)〜(s))、基板S1〜S8を、それらの係
数の小さい順に処理を完了して、真空処理装置から搬出
することができる。
Thereafter, by repeating the same operation (FIGS. 16 (p) to 16 (s)), the substrates S1 to S8 can be unloaded from the vacuum processing apparatus by completing the processing in the ascending order of their coefficients. it can.

【0078】以上のような処理においては、処理室及び
ロードロック室における基板の交換の高効率化により、
従来にない極めて高いスループットを実現することがで
きる。
In the above processing, the efficiency of substrate exchange in the processing chamber and the load lock chamber is increased,
It is possible to realize extremely high throughput that has never been achieved.

【0079】なお、本発明は、上記実施の形態に限定さ
れず、本発明の要旨の範囲内で種々変形が可能である。
特に、処理装置の各特徴部分を各実施の形態に別けて述
べたが、それらの特徴部分は、任意に組み合わせ可能で
ある。例えば、図1図示の処理装置に、図12を参照し
て述べた搬送機構80や、図15を参照して述べたロー
ドロック室3a、3bを用いることができる。また、図
12図示の処理装置に、図3を参照して述べた搬送機構
60や図4を参照して述べたロードロック室3を用いる
ことができる。
The present invention is not limited to the above embodiment, and various modifications can be made within the scope of the gist of the present invention.
In particular, although the characteristic portions of the processing device have been described separately for each embodiment, the characteristic portions can be arbitrarily combined. For example, the transport mechanism 80 described with reference to FIG. 12 and the load lock chambers 3a and 3b described with reference to FIG. 15 can be used in the processing apparatus shown in FIG. Further, the transfer device 60 described with reference to FIG. 3 and the load lock chamber 3 described with reference to FIG. 4 can be used in the processing apparatus shown in FIG.

【0080】更に、例えば、本発明は、単一の処理室を
有する処理装置にも有効に適用することができ、真空処
理に限らず常圧または陽圧の処理装置にも適用すること
ができる。また、エッチング、アッシング装置に限ら
ず、成膜装置等、他の種々の処理装置に適用することが
できる。更にまた、被搬送基板はLCD基板に限らず、
半導体基板等、他の基板であってもよい。
Further, for example, the present invention can be effectively applied to a processing apparatus having a single processing chamber, and can be applied not only to vacuum processing but also to normal pressure or positive pressure processing apparatus. . Further, the present invention can be applied not only to the etching and ashing devices but also to other various processing devices such as a film forming device. Furthermore, the substrate to be transported is not limited to the LCD substrate,
It may be another substrate such as a semiconductor substrate.

【0081】[0081]

【発明の効果】以上説明したように、本発明によれば、
処理室やロードロック室における処理済み基板と未処理
基板との交換操作を搬送機構の一進出動作で行うことが
できるため、スループットを著しく向上させることがで
きる。
As described above, according to the present invention,
Since the exchange operation of the processed substrate and the unprocessed substrate in the processing chamber or the load lock chamber can be performed by one advance operation of the transfer mechanism, the throughput can be significantly improved.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の実施の形態に係る真空処理装置の概観
を示す斜視図。
FIG. 1 is a perspective view showing an overview of a vacuum processing apparatus according to an embodiment of the present invention.

【図2】図1図示の装置の内部を示す概略横断平面図。FIG. 2 is a schematic cross-sectional plan view showing the inside of the apparatus shown in FIG.

【図3】図1図示の装置の搬送室内に配設された搬送機
構及びバッファ枠体を示す斜視図。
FIG. 3 is a perspective view showing a transfer mechanism and a buffer frame body arranged in a transfer chamber of the apparatus shown in FIG.

【図4】図1図示の装置のロードロック室内に配設され
たバッファラック及びポジショナを示す斜視図。
4 is a perspective view showing a buffer rack and a positioner arranged in a load lock chamber of the apparatus shown in FIG.

【図5】図1図示の装置の処理室における基板の交換操
作を説明するための図。
5 is a view for explaining a substrate exchange operation in the processing chamber of the apparatus shown in FIG.

【図6】図1図示の装置の処理室における基板の交換操
作を説明するための図。
FIG. 6 is a diagram for explaining a substrate exchange operation in the processing chamber of the apparatus shown in FIG.

【図7】図1図示の装置の処理室における基板の交換操
作を説明するための図。
FIG. 7 is a diagram for explaining a substrate exchange operation in the processing chamber of the apparatus shown in FIG.

【図8】図1図示の装置の処理室における基板の交換操
作を説明するための図。
FIG. 8 is a diagram for explaining a substrate exchange operation in the processing chamber of the apparatus shown in FIG.

【図9】図1図示の装置の処理室において未処理基板を
支持する支持部材の動作を示す図。
FIG. 9 is a view showing an operation of a support member that supports an unprocessed substrate in the processing chamber of the apparatus shown in FIG.

【図10】図1図示の装置の処理室において未処理基板
を支持する支持部材の変形例を示す図。
10 is a view showing a modified example of a support member for supporting an unprocessed substrate in the processing chamber of the apparatus shown in FIG.

【図11】図10図示の支持部材の動作を示す図。11 is a view showing the operation of the support member shown in FIG.

【図12】本発明の別の実施の形態に係る真空処理装置
の概観を示す斜視図。
FIG. 12 is a perspective view showing an overview of a vacuum processing apparatus according to another embodiment of the present invention.

【図13】図12図示の装置の内部を示す概略横断平面
図。
13 is a schematic cross-sectional plan view showing the inside of the apparatus shown in FIG.

【図14】図12図示の装置の内部を示す概略側面図。FIG. 14 is a schematic side view showing the inside of the apparatus shown in FIG.

【図15】図12図示の装置のロードロック室の内部を
示す概略斜視図。
15 is a schematic perspective view showing the inside of a load lock chamber of the apparatus shown in FIG.

【図16】図12図示の装置における基板の搬送シーケ
ンスを順に示す説明図。
16 is an explanatory diagram sequentially showing a substrate transfer sequence in the apparatus shown in FIG.

【符号の説明】[Explanation of symbols]

2、4、6……処理室 3、3a、3b……ロードロック室 5……搬送室 10……載置台 11……支持ピン(第2の支持部材) 12、12x……支持部材(第1の支持部材) 30……バッファラック 42……LCD基板カセット 50……搬送機構 60、60x……搬送機構 62……アーム 66……キャッチャ 66a、66b……フォーク 80……搬送機構 85、86……フォーク 91、92……ハンド S……LCD基板 2, 4, 6 ... Processing chamber 3, 3a, 3b ... Load lock chamber 5 ... Transfer chamber 10 ... Mounting table 11 ... Support pin (second support member) 12, 12x ... Support member (first 1 support member 30 ... Buffer rack 42 ... LCD substrate cassette 50 ... Transport mechanism 60, 60x ... Transport mechanism 62 ... Arm 66 ... Catcher 66a, 66b ... Fork 80 ... Transport mechanism 85, 86 ...... Fork 91, 92 ...... Hand S ...... LCD substrate

Claims (12)

【特許請求の範囲】[Claims] 【請求項1】半導体処理装置において載置台上の被処理
基板を交換するための基板交換機構であって、 前記基板の1つを前記載置台上方の第1の位置で支持す
るための進出状態と退却状態との間で状態を切替え可能
な第1の支持部材と、 前記基板の1つを前記載置台上方の第2の位置で支持す
るための進出状態と退却状態との間で状態を切替え可能
な第2の支持部材と、前記第1及び第2の位置は上下に
重なるように配置されることと、 前記載置台に対して前記基板を搬出入するための搬送機
構と、前記搬送機構は、夫々に前記基板の1つを保持可
能で且つ夫々前記第1及び第2の位置に対応して上下に
積重なる第1及び第2の保持部を有する搬送部材を具備
することと、を具備し、 前記基板の1つである第1の基板が前記搬送部材の前記
第1の保持部に保持され、且つ前記基板の別の1つであ
る第2の基板が前記第2の支持部材により支持されてい
る状態において、前記搬送部材が前記載置台の上方の所
定位置に進出している間に、前記第1及び第2の支持部
材が前記搬送部材に対して相対的に上下逆方向に移動さ
れることにより、前記第1の基板が前記第1の保持部か
ら前記第1の支持部材に受け渡されると共に、前記第2
の基板が前記第2の支持部材から前記第2の保持部に受
け渡されることを特徴とする基板交換機構。
1. A substrate exchanging mechanism for exchanging a substrate to be processed on a mounting table in a semiconductor processing apparatus, which is in an advanced state for supporting one of the substrates at a first position above the mounting table. A first support member capable of switching between a retracted state and a retracted state, and a state between an advanced state and a retracted state for supporting one of the substrates at a second position above the mounting table. A switchable second support member, the first and second positions are arranged so as to vertically overlap with each other, a transfer mechanism for transferring the substrate in and out of the mounting table, and the transfer. The mechanism comprises a transport member capable of holding one of the substrates, respectively, and having first and second holding portions stacked vertically corresponding to the first and second positions, respectively. A first substrate, which is one of the substrates, of the transport member. In a state in which the second substrate, which is another one of the substrates, is held by the first holding unit and is supported by the second support member, the transport member is at a predetermined position above the mounting table. By moving the first and second support members in the upside-down direction relative to the transport member while advancing to the position, the first substrate is moved from the first holding unit. While being transferred to the first support member, the second support member
The substrate exchanging mechanism, wherein the substrate is transferred from the second supporting member to the second holding unit.
【請求項2】前記第1及び第2の基板を受け渡す際に、
前記搬送部材が停止した状態において、前記第1及び第
2の支持部材が上下逆方向に移動することを特徴とする
請求項1に記載の基板交換機構。
2. When transferring the first and second substrates,
2. The substrate exchanging mechanism according to claim 1, wherein the first and second support members move upside down when the transport member is stopped.
【請求項3】前記第2の支持部材は、前記載置台に対し
て突出退避可能な複数の支持ピンからなり、突出時に前
記第2の位置で前記基板の1つを支持し、且つ退避時に
前記基板の1つを前記載置台上に載置することが可能で
あることを特徴とする請求項1または2に記載の基板交
換機構。
3. The second support member comprises a plurality of support pins capable of projecting and retracting with respect to the mounting table, supports one of the substrates at the second position at the time of projecting, and at the time of retracting. The substrate exchanging mechanism according to claim 1, wherein one of the substrates can be placed on the placing table.
【請求項4】前記第1の位置は前記第2の位置よりも上
方にあり、前記第1の支持部材は、前記第1の位置に存
在する場合に前記第2の基板の外側に位置する複数の支
持棒と、それらの上端で内側に突出するように設けられ
た張出し部材とを有することと、前記第1の位置におい
て前記第1の基板が前記張出し部材上に載置され、前記
第2の基板が前記搬送部材によって搬送された後に、前
記第1の基板が前記第1の支持部材から前記第2の支持
部材に受け渡されると共に、前記第1の支持部材が退却
することと、を特徴とする請求項1乃至3のいずれかに
記載の基板交換機構。
4. The first position is above the second position, and the first support member is located outside the second substrate when in the first position. Having a plurality of support rods and an overhanging member provided so as to project inward at the upper ends thereof, wherein the first substrate is placed on the overhanging member at the first position, and After the second substrate is transported by the transport member, the first substrate is transferred from the first support member to the second support member, and the first support member retreats; The board exchange mechanism according to claim 1, wherein
【請求項5】前記第1の支持部材の複数の支持棒は前記
載置台に対して突出退避可能に設けられ、その先端に設
けられた張出し部材は前記載置台の外側に移動可能に設
けられ、前記張出し部材が前記載置台の外側に移動する
と共に前記支持棒が退避することによって、前記第1の
支持部材が退却することを特徴とする請求項4に記載の
基板交換機構。
5. The plurality of support rods of the first support member are provided so as to project and retract with respect to the mounting table, and an overhanging member provided at the tip thereof is provided so as to be movable outside the mounting table. 5. The substrate exchanging mechanism according to claim 4, wherein the first supporting member is retracted when the projecting member moves to the outside of the mounting table and the supporting rod retracts.
【請求項6】半導体処理装置において載置台上の被処理
基板を交換するための基板交換機構を用いた基板交換方
法であって、 前記基板交換機構は、 前記基板の1つを前記載置台上方の第1の位置で支持す
るための進出状態と退却状態との間で状態を切替え可能
な第1の支持部材と、 前記基板の1つを前記載置台上方の第2の位置で支持す
るための進出状態と退却状態との間で状態を切替え可能
な第2の支持部材と、前記第1及び第2の位置は上下に
重なるように配置されることと、 前記載置台に対して前記基板を搬出入するための搬送機
構と、前記搬送機構は、夫々に前記基板の1つを保持可
能で且つ夫々前記第1及び第2の位置に対応して上下に
積重なる第1及び第2の保持部を有する搬送部材を具備
することと、を具備し、 前記基板交換方法は、 前記基板の1つである第1の基板を前記搬送部材の前記
第1の保持部に保持し、且つ前記基板の別の1つである
第2の基板を前記第2の支持部材により支持する工程
と、 前記搬送部材を前記載置台の上方の所定位置に進出させ
た状態におき、前記第1及び第2の支持部材を前記搬送
部材に対して相対的に上下逆方向に移動させることによ
り、前記第1の基板を前記第1の保持部から前記第1の
支持部材に受け渡すと共に、前記第2の基板を前記第2
の支持部材から前記第2の保持部に受け渡す工程と、を
具備することを特徴とする基板交換方法。
6. A substrate exchanging method using a substrate exchanging mechanism for exchanging a substrate to be processed on a mounting table in a semiconductor processing apparatus, wherein the substrate exchanging mechanism is one of the substrates above the mounting table. A first support member capable of switching the state between an advanced state and a retracted state for supporting the first position of the substrate, and supporting one of the substrates at a second position above the mounting table. The second support member capable of switching the state between the advancing state and the retreating state, and the first and second positions are arranged so as to vertically overlap with each other; and the substrate with respect to the mounting table. And a transport mechanism for loading and unloading the first and second transport mechanisms, each of which is capable of holding one of the substrates and which is vertically stacked corresponding to the first and second positions, respectively. A transport member having a holding part; In the replacement method, a first substrate that is one of the substrates is held by the first holding unit of the transport member, and a second substrate that is another one of the substrates is supported by the second support. A step of supporting by means of a member, the conveying member being in a state of being advanced to a predetermined position above the mounting table, and the first and second supporting members being arranged in an upside-down direction relative to the conveying member. By moving the first substrate from the first holding unit to the first support member, the second substrate is moved to the second substrate.
And a step of delivering the supporting member from the supporting member to the second holding unit.
【請求項7】前記第1及び第2の基板を受け渡す際に、
前記搬送部材が停止した状態において、前記第1及び第
2の支持部材が上下逆方向に移動することを特徴とする
請求項6に記載の基板交換方法。
7. When transferring the first and second substrates,
7. The substrate exchanging method according to claim 6, wherein the first and second support members move upside down in a state where the transport member is stopped.
【請求項8】前記第2の支持部材は、前記載置台に対し
て突出退避可能な複数の支持ピンからなり、突出時に前
記第2の位置で前記基板の1つを支持し、且つ退避時に
前記基板の1つを前記載置台上に載置することが可能で
あることを特徴とする請求項6または7に記載の基板交
換方法。
8. The second support member comprises a plurality of support pins capable of projecting and retracting with respect to the mounting table, supports one of the substrates at the second position at the time of projecting, and at the time of retracting. 8. The substrate exchanging method according to claim 6, wherein one of the substrates can be placed on the placing table.
【請求項9】前記第1の位置は前記第2の位置よりも上
方にあり、前記第1の支持部材は、前記第1の位置に存
在する場合に前記第2の基板の外側に位置する複数の支
持棒と、それらの上端で内側に突出するように設けられ
た張出し部材とを有することと、前記第1の位置におい
て前記第1の基板が前記張出し部材上に載置され、前記
第2の基板が前記搬送部材によって搬送された後に、前
記第1の基板が前記第1の支持部材から前記第2の支持
部材に受け渡されると共に、前記第1の支持部材が退却
することと、を特徴とする請求項6乃至8のいずれかに
記載の基板交換方法。
9. The first position is above the second position and the first support member is located outside the second substrate when in the first position. Having a plurality of support rods and an overhanging member provided so as to project inward at the upper ends thereof, wherein the first substrate is placed on the overhanging member at the first position, and After the second substrate is transported by the transport member, the first substrate is transferred from the first support member to the second support member, and the first support member retreats; 9. The substrate exchange method according to claim 6, wherein
【請求項10】前記第1の支持部材の複数の支持棒は前
記載置台に対して突出退避可能に設けられ、その先端に
設けられた張出し部材は前記載置台の外側に移動可能に
設けられ、前記張出し部材が前記載置台の外側に移動す
ると共に前記支持棒が退避することによって、前記第1
の支持部材が退却することを特徴とする請求項9に記載
の基板交換方法。
10. A plurality of support rods of the first support member are provided so as to project and retract with respect to the mounting table, and an overhanging member provided at a tip thereof is provided so as to be movable outside the mounting table. When the overhanging member moves to the outside of the mounting table and the support rod retracts,
10. The substrate exchanging method according to claim 9, wherein the supporting member is retracted.
【請求項11】被処理基板を処理するための半導体処理
装置であって、 載置台を有すると共に前記載置台上に載置された前記基
板の1つに所定の半導体処理を施すための処理室と、 前記処理室外で前記基板を待機させるための待機部と、 前記載置台上の処理済み基板を前記待機部の未処理基板
と交換するための基板交換機構と、を具備し、 前記基板交換機構は、 前記基板の1つを前記載置台上方の第1の位置で支持す
るための進出状態と退却状態との間で状態を切替え可能
な第1の支持部材と、 前記基板の1つを前記載置台上方の第2の位置で支持す
るための進出状態と退却状態との間で状態を切替え可能
な第2の支持部材と、前記第1及び第2の位置は上下に
重なるように配置されることと、 前記載置台に対して前記基板を搬出入するための搬送機
構と、前記搬送機構は、夫々に前記基板の1つを保持可
能で且つ夫々前記第1及び第2の位置に対応して上下に
積重なる第1及び第2の保持部を有する搬送部材を具備
することと、を具備し、 前記未処理基板が前記搬送部材の前記第1の保持部に保
持され、且つ前記処理済み基板が前記第2の支持部材に
より支持されている状態において、前記搬送部材が前記
載置台の上方の所定位置に進出している間に、前記第1
及び第2の支持部材が前記搬送部材に対して相対的に上
下逆方向に移動されることにより、前記未処理基板が前
記第1の保持部から前記第1の支持部材に受け渡される
と共に、前記処理済み基板が前記第2の支持部材から前
記第2の保持部に受け渡されることを特徴とする半導体
処理装置。
11. A semiconductor processing apparatus for processing a substrate to be processed, comprising a mounting table, and a processing chamber for performing a predetermined semiconductor processing on one of the substrates mounted on the mounting table. And a substrate exchanging mechanism for exchanging a processed substrate on the mounting table with an unprocessed substrate in the standby unit, and a substrate exchanging unit for exchanging the substrate outside the processing chamber. The mechanism includes a first support member capable of switching the state between an advanced state and a retracted state for supporting one of the substrates at a first position above the mounting table, and one of the substrates. The second support member, which can be switched between an advanced state and a retracted state for supporting at a second position above the mounting table, and the first and second positions are arranged so as to vertically overlap with each other. And that the substrate is carried in and out of the mounting table. And a transport mechanism for holding each of the substrates, the transport mechanism having a first and a second holding portion that are vertically stacked in correspondence with the first and second positions, respectively. A transfer member is provided, wherein the unprocessed substrate is held by the first holding unit of the transfer member, and the processed substrate is supported by the second support member. While the transport member is advancing to a predetermined position above the mounting table, the first
And the second support member is moved in the upside-down direction relative to the transport member, whereby the unprocessed substrate is transferred from the first holding unit to the first support member, and The semiconductor processing apparatus, wherein the processed substrate is transferred from the second support member to the second holding unit.
【請求項12】被処理基板を処理するための半導体処理
装置であって、 減圧雰囲気に設定可能で且つ前記基板を待機させるため
に使用されるロードロック室と、 前記ロードロック室にゲートを介して接続された複数の
真空処理室と、前記真空処理室は夫々載置台を有すると
共に前記載置台上に載置された前記基板の1つに所定の
半導体処理を減圧雰囲気で施すために使用されること
と、 前記載置台上の処理済み基板を前記ロードロック室内の
未処理基板と交換するための基板交換機構と、を具備
し、 前記基板交換機構は、 前記基板の1つを前記載置台上方の第1の位置で支持す
るための進出状態と退却状態との間で状態を切替え可能
な第1の支持部材と、 前記基板の1つを前記載置台上方の第2の位置で支持す
るための進出状態と退却状態との間で状態を切替え可能
な第2の支持部材と、前記第1及び第2の位置は上下に
重なるように配置されることと、 前記載置台に対して前記基板を搬出入するための搬送機
構と、前記搬送機構は、夫々に前記基板の1つを保持可
能で且つ夫々前記第1及び第2の位置に対応して上下に
積重なる第1及び第2の保持部を有する搬送部材を具備
することと、を具備し、 前記未処理基板が前記搬送部材の前記第1の保持部に保
持され、且つ前記処理済み基板が前記第2の支持部材に
より支持されている状態において、前記搬送部材が前記
載置台の上方の所定位置に進出している間に、前記第1
及び第2の支持部材が前記搬送部材に対して相対的に上
下逆方向に移動されることにより、前記未処理基板が前
記第1の保持部から前記第1の支持部材に受け渡される
と共に、前記処理済み基板が前記第2の支持部材から前
記第2の保持部に受け渡されることを特徴とする半導体
処理装置。
12. A semiconductor processing apparatus for processing a substrate to be processed, comprising: a load lock chamber which can be set in a reduced pressure atmosphere and is used for waiting the substrate; and a gate to the load lock chamber. A plurality of vacuum processing chambers connected to each other, and each of the vacuum processing chambers has a mounting table, and is used to perform a predetermined semiconductor processing on one of the substrates mounted on the mounting table in a reduced pressure atmosphere. And a substrate exchanging mechanism for exchanging a processed substrate on the mounting table with an unprocessed substrate in the load lock chamber, wherein the substrate exchanging mechanism includes one of the substrates. A first support member capable of switching between an advanced state and a retracted state for supporting at an upper first position, and one of the substrates is supported at a second position above the mounting table. Status and withdrawal letter for A second support member capable of switching the state between the first and second positions, and the first and second positions are arranged so as to vertically overlap with each other; The transport mechanism and the transport mechanism include a transport member capable of holding one of the substrates, and having first and second holding portions that are vertically stacked corresponding to the first and second positions, respectively. In a state in which the unprocessed substrate is held by the first holding unit of the transport member and the processed substrate is supported by the second support member, While the transport member is advancing to a predetermined position above the mounting table, the first
And the second support member is moved in the upside-down direction relative to the transport member, whereby the unprocessed substrate is transferred from the first holding unit to the first support member, and The semiconductor processing apparatus, wherein the processed substrate is transferred from the second support member to the second holding unit.
JP31342096A 1995-12-12 1996-11-25 Semiconductor processing apparatus, substrate replacement mechanism and substrate replacement method thereof Expired - Lifetime JP3650495B2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP31342096A JP3650495B2 (en) 1995-12-12 1996-11-25 Semiconductor processing apparatus, substrate replacement mechanism and substrate replacement method thereof
TW085115158A TW318258B (en) 1995-12-12 1996-12-07
US08/762,951 US5989346A (en) 1995-12-12 1996-12-10 Semiconductor processing apparatus
KR1019960064343A KR100329514B1 (en) 1995-12-12 1996-12-11 Semiconductor processing equipment

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP32309495 1995-12-12
JP7-323094 1995-12-12
JP31342096A JP3650495B2 (en) 1995-12-12 1996-11-25 Semiconductor processing apparatus, substrate replacement mechanism and substrate replacement method thereof

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2000316685A Division JP3554534B2 (en) 1995-12-12 2000-10-17 Substrate support mechanism and substrate exchange method for semiconductor processing apparatus, and semiconductor processing apparatus and substrate transfer apparatus

Publications (2)

Publication Number Publication Date
JPH09223727A true JPH09223727A (en) 1997-08-26
JP3650495B2 JP3650495B2 (en) 2005-05-18

Family

ID=26567550

Family Applications (1)

Application Number Title Priority Date Filing Date
JP31342096A Expired - Lifetime JP3650495B2 (en) 1995-12-12 1996-11-25 Semiconductor processing apparatus, substrate replacement mechanism and substrate replacement method thereof

Country Status (2)

Country Link
JP (1) JP3650495B2 (en)
KR (1) KR100329514B1 (en)

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999059928A3 (en) * 1998-05-20 2000-10-26 Applied Komatsu Technology Inc Substrate transfer shuttle
JP2000306978A (en) * 1999-02-15 2000-11-02 Kokusai Electric Co Ltd Substrate treatment apparatus, substrate transfer apparatus, and substrate treatment method
JP2000340534A (en) * 1999-04-02 2000-12-08 Applied Materials Inc Buffer station of cmp system
JP2000357722A (en) * 1999-04-19 2000-12-26 Applied Materials Inc Wafer processing method and wafer processor
JP2001148410A (en) * 1999-09-06 2001-05-29 Tokyo Electron Ltd Conveyer and container for semiconductor treatment and the semiconductor treatment system
KR20010068598A (en) * 2000-01-07 2001-07-23 윤종용 Chemical vapor deposition device for manufacturing semiconductor
JP2001291758A (en) * 2000-11-27 2001-10-19 Tokyo Electron Ltd Vacuum processing equipment
JP2005175440A (en) * 2003-10-20 2005-06-30 Applied Materials Inc Load lock chamber for large area substrate processing system
JP2006324366A (en) * 2005-05-18 2006-11-30 Tokyo Electron Ltd Processor and aligning method
JP2007069991A (en) * 2005-09-02 2007-03-22 Tokyo Electron Ltd Lifting mechanism and conveying device
KR100720422B1 (en) * 2002-11-15 2007-05-22 엘지.필립스 엘시디 주식회사 Apparatus for manufacturing liquid crystal display device and method for manufacturing liquid crystal display devide using the same
KR100720444B1 (en) * 2002-03-13 2007-05-22 엘지.필립스 엘시디 주식회사 Method for manufacturing liquid crystal display device
KR100720443B1 (en) * 2002-02-27 2007-05-22 엘지.필립스 엘시디 주식회사 Method for manufacturing liquid crystal display device
WO2007088927A1 (en) * 2006-02-01 2007-08-09 Olympus Corporation Substrate exchanging apparatus, substrate processing apparatus, and substrate inspection apparatus
KR100757847B1 (en) * 2006-05-26 2007-09-11 세메스 주식회사 Apparatus for treating substrate and method for loading substrate in the apparatus
KR100769186B1 (en) * 2002-02-27 2007-10-23 엘지.필립스 엘시디 주식회사 Method for manufacturing liquid crystal display device
KR100769187B1 (en) * 2002-03-04 2007-10-23 엘지.필립스 엘시디 주식회사 Method for manufacturing liquid crystal display device
JP2008060577A (en) * 2006-08-30 2008-03-13 Asm Japan Kk Substrate-processing apparatus having buffer mechanism, and substrate-transfer apparatus
JP2008066661A (en) * 2006-09-11 2008-03-21 Ihi Corp Substrate conveying apparatus, and substrate conveying method
WO2008068859A1 (en) * 2006-12-05 2008-06-12 Hirata Corporation Conveying equipment
JP2008526032A (en) * 2004-12-22 2008-07-17 アプライド マテリアルズ インコーポレイテッド Cluster tool architecture for processing substrates
CN100458515C (en) * 2005-09-02 2009-02-04 东京毅力科创株式会社 Substrate processing device, load locking chamber unit and moving method for conveyer
JP2009292570A (en) * 2008-06-04 2009-12-17 Tokyo Electron Ltd Conveying device and treatment device
JP2010205885A (en) * 2009-03-03 2010-09-16 Tokyo Electron Ltd Wafer transfer apparatus and wafer transfer method
JP2010232349A (en) * 2009-03-26 2010-10-14 Dainippon Screen Mfg Co Ltd Substrate processing apparatus and substrate delivery method
US7857569B2 (en) 2002-02-25 2010-12-28 Tokyo Electron Limited Semiconductor processing system
JP2013058735A (en) * 2011-08-12 2013-03-28 Shibaura Mechatronics Corp Processing system and processing method
US8911193B2 (en) 2004-12-22 2014-12-16 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
CN109693941A (en) * 2019-01-31 2019-04-30 武汉华星光电半导体显示技术有限公司 The transmission device of liquid crystal display panel

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6486444B1 (en) * 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
JP4753224B2 (en) * 2000-08-22 2011-08-24 日本エー・エス・エム株式会社 Gas line system
US6485248B1 (en) * 2000-10-10 2002-11-26 Applied Materials, Inc. Multiple wafer lift apparatus and associated method
KR100475156B1 (en) * 2001-05-22 2005-03-08 엘지.필립스 엘시디 주식회사 Lifter-pin Member
JP3693972B2 (en) 2002-03-19 2005-09-14 富士通株式会社 Bonded substrate manufacturing apparatus and substrate bonding method
JP4485980B2 (en) * 2005-03-28 2010-06-23 大日本スクリーン製造株式会社 Substrate transport apparatus and substrate transport method
JP4908771B2 (en) * 2005-04-27 2012-04-04 東京エレクトロン株式会社 Processing device system
US20080138178A1 (en) * 2006-12-06 2008-06-12 Axcelis Technologies,Inc. High throughput serial wafer handling end station
KR101411620B1 (en) * 2007-02-16 2014-06-25 엘아이지에이디피 주식회사 Load lock chamber structure for device manufacturing FPD
JP6700130B2 (en) * 2016-07-12 2020-05-27 東京エレクトロン株式会社 Joining system
TWI808489B (en) * 2020-09-18 2023-07-11 日商斯庫林集團股份有限公司 Substrate processing apparatus and substrate processing method
JP2022051028A (en) * 2020-09-18 2022-03-31 株式会社Screenホールディングス Substrate processing device and substrate processing method

Cited By (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6517303B1 (en) 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
WO1999059928A3 (en) * 1998-05-20 2000-10-26 Applied Komatsu Technology Inc Substrate transfer shuttle
US6746198B2 (en) 1998-05-20 2004-06-08 Applied Materials, Inc. Substrate transfer shuttle
JP2000306978A (en) * 1999-02-15 2000-11-02 Kokusai Electric Co Ltd Substrate treatment apparatus, substrate transfer apparatus, and substrate treatment method
JP2000340534A (en) * 1999-04-02 2000-12-08 Applied Materials Inc Buffer station of cmp system
JP2013102226A (en) * 1999-04-02 2013-05-23 Applied Materials Inc Method of monitoring substrates in polishing apparatus and method of transferring substrates in polishing system
JP2000357722A (en) * 1999-04-19 2000-12-26 Applied Materials Inc Wafer processing method and wafer processor
JP2001148410A (en) * 1999-09-06 2001-05-29 Tokyo Electron Ltd Conveyer and container for semiconductor treatment and the semiconductor treatment system
JP4607301B2 (en) * 1999-09-06 2011-01-05 東京エレクトロン株式会社 Semiconductor processing apparatus and semiconductor processing system
KR20010068598A (en) * 2000-01-07 2001-07-23 윤종용 Chemical vapor deposition device for manufacturing semiconductor
JP2001291758A (en) * 2000-11-27 2001-10-19 Tokyo Electron Ltd Vacuum processing equipment
US7857569B2 (en) 2002-02-25 2010-12-28 Tokyo Electron Limited Semiconductor processing system
KR100769186B1 (en) * 2002-02-27 2007-10-23 엘지.필립스 엘시디 주식회사 Method for manufacturing liquid crystal display device
KR100720443B1 (en) * 2002-02-27 2007-05-22 엘지.필립스 엘시디 주식회사 Method for manufacturing liquid crystal display device
KR100769187B1 (en) * 2002-03-04 2007-10-23 엘지.필립스 엘시디 주식회사 Method for manufacturing liquid crystal display device
KR100720444B1 (en) * 2002-03-13 2007-05-22 엘지.필립스 엘시디 주식회사 Method for manufacturing liquid crystal display device
KR100720422B1 (en) * 2002-11-15 2007-05-22 엘지.필립스 엘시디 주식회사 Apparatus for manufacturing liquid crystal display device and method for manufacturing liquid crystal display devide using the same
JP2005175440A (en) * 2003-10-20 2005-06-30 Applied Materials Inc Load lock chamber for large area substrate processing system
JP2009200518A (en) * 2003-10-20 2009-09-03 Applied Materials Inc Large area substrate transferring method
US8911193B2 (en) 2004-12-22 2014-12-16 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
JP2008526032A (en) * 2004-12-22 2008-07-17 アプライド マテリアルズ インコーポレイテッド Cluster tool architecture for processing substrates
JP2006324366A (en) * 2005-05-18 2006-11-30 Tokyo Electron Ltd Processor and aligning method
JP2007069991A (en) * 2005-09-02 2007-03-22 Tokyo Electron Ltd Lifting mechanism and conveying device
CN100458515C (en) * 2005-09-02 2009-02-04 东京毅力科创株式会社 Substrate processing device, load locking chamber unit and moving method for conveyer
TWI383936B (en) * 2006-02-01 2013-02-01 Olympus Corp Substrate exchange apparatus and substrate processing apparatus, and substrate inspection apparatus
WO2007088927A1 (en) * 2006-02-01 2007-08-09 Olympus Corporation Substrate exchanging apparatus, substrate processing apparatus, and substrate inspection apparatus
JP5189370B2 (en) * 2006-02-01 2013-04-24 オリンパス株式会社 Substrate exchange apparatus, substrate processing apparatus, and substrate inspection apparatus
KR100757847B1 (en) * 2006-05-26 2007-09-11 세메스 주식회사 Apparatus for treating substrate and method for loading substrate in the apparatus
JP2008060577A (en) * 2006-08-30 2008-03-13 Asm Japan Kk Substrate-processing apparatus having buffer mechanism, and substrate-transfer apparatus
TWI393205B (en) * 2006-09-11 2013-04-11 Ishikawajima Harima Heavy Ind Substrate transmission apparatus and substrate transmission method
JP2008066661A (en) * 2006-09-11 2008-03-21 Ihi Corp Substrate conveying apparatus, and substrate conveying method
WO2008068859A1 (en) * 2006-12-05 2008-06-12 Hirata Corporation Conveying equipment
JP2009292570A (en) * 2008-06-04 2009-12-17 Tokyo Electron Ltd Conveying device and treatment device
JP2010205885A (en) * 2009-03-03 2010-09-16 Tokyo Electron Ltd Wafer transfer apparatus and wafer transfer method
JP2010232349A (en) * 2009-03-26 2010-10-14 Dainippon Screen Mfg Co Ltd Substrate processing apparatus and substrate delivery method
JP2013058735A (en) * 2011-08-12 2013-03-28 Shibaura Mechatronics Corp Processing system and processing method
CN109693941A (en) * 2019-01-31 2019-04-30 武汉华星光电半导体显示技术有限公司 The transmission device of liquid crystal display panel

Also Published As

Publication number Publication date
KR19980041650A (en) 1998-08-17
KR100329514B1 (en) 2002-08-24
JP3650495B2 (en) 2005-05-18

Similar Documents

Publication Publication Date Title
JPH09223727A (en) Semiconductor treating apparatus, substrate changing mechanism and changing method thereof
US5989346A (en) Semiconductor processing apparatus
KR100906268B1 (en) Substrate processing system and method
KR100639765B1 (en) Method and apparatus for processing substrates and semiconductor device manufacturing method
KR0179385B1 (en) Vacuum apparatus
US6331095B1 (en) Transportation system and processing apparatus employing the transportation system
JP3139155B2 (en) Vacuum processing equipment
KR101321612B1 (en) Substrate position alignment mechanism, vacuum prechamber and substrate processing system having same
KR20010025063A (en) Substrate transfer shuttle
JP4227623B2 (en) Semiconductor processing equipment
TW563184B (en) Method and apparatus for processing substrates and method for manufacturing a semiconductor device
US20090053020A1 (en) Substrate processing apparatus
JP5249098B2 (en) Substrate processing system and substrate processing method
TWI533392B (en) Substrate relay device, substrate relay method and substrate processing device
JP3554534B2 (en) Substrate support mechanism and substrate exchange method for semiconductor processing apparatus, and semiconductor processing apparatus and substrate transfer apparatus
JP3570827B2 (en) Processing equipment
JPH09205127A (en) Carrying of substrate, substrate carrier device and treatment system
JP3816929B2 (en) Semiconductor processing equipment
JP2002237507A (en) Processing system, and method for conveying element to be processed of the processing system
JPH1179388A (en) Glass sheet handling device
KR20160049629A (en) Cassette stoker and casette loading/unloading method using thereof
JPH06252245A (en) Vacuum processing equipment
JPH10310240A (en) Substrate processing device and substrate processing method
JPH05326666A (en) Conveyor
CN113169107A (en) Load lock chamber

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040106

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040305

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040907

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20041105

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20050215

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20050218

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080225

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110225

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110225

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140225

Year of fee payment: 9

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term