JP4227623B2 - Semiconductor processing equipment - Google Patents

Semiconductor processing equipment Download PDF

Info

Publication number
JP4227623B2
JP4227623B2 JP2006105310A JP2006105310A JP4227623B2 JP 4227623 B2 JP4227623 B2 JP 4227623B2 JP 2006105310 A JP2006105310 A JP 2006105310A JP 2006105310 A JP2006105310 A JP 2006105310A JP 4227623 B2 JP4227623 B2 JP 4227623B2
Authority
JP
Japan
Prior art keywords
substrate
load lock
chamber
support
semiconductor processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2006105310A
Other languages
Japanese (ja)
Other versions
JP2006216982A (en
Inventor
勤 広木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2006105310A priority Critical patent/JP4227623B2/en
Publication of JP2006216982A publication Critical patent/JP2006216982A/en
Application granted granted Critical
Publication of JP4227623B2 publication Critical patent/JP4227623B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Landscapes

  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

本発明は、LCD(液晶ディスプレイ)基板や半導体ウェハに半導体処理を施すための半導体処理装置に関する。ここで、半導体処理とは、LCD基板、半導体ウェハ等の被処理基板上に半導体デバイスを製造するために実施される種々処理を意味する。   The present invention relates to a semiconductor processing apparatus for performing semiconductor processing on an LCD (liquid crystal display) substrate or a semiconductor wafer. Here, the semiconductor processing means various processes performed for manufacturing a semiconductor device on a substrate to be processed such as an LCD substrate or a semiconductor wafer.

従来から、例えばLCDパネルの製造工程においては、減圧雰囲気下でLCD基板にエッチング、アッシング等の所定の半導体処理を施す真空処理室を複数備えた、いわゆるマルチチャンバー型の真空処理装置が使用されている。   Conventionally, for example, in the manufacturing process of an LCD panel, a so-called multi-chamber type vacuum processing apparatus having a plurality of vacuum processing chambers for performing predetermined semiconductor processing such as etching and ashing on an LCD substrate in a reduced pressure atmosphere has been used. Yes.

このような真空処理装置は、内部に搬送アーム等を有する基板搬送機構が設けられたロードロック室と、その周囲に設けられた複数の真空処理室とを有する。ロードロック室内の搬送アームにより、被処理基板が各真空処理室に搬入されると共に、処理済みの基板が各真空処理室から搬出される。   Such a vacuum processing apparatus includes a load lock chamber in which a substrate transfer mechanism having a transfer arm and the like is provided, and a plurality of vacuum processing chambers provided around the load lock chamber. The substrate to be processed is carried into each vacuum processing chamber by the transfer arm in the load lock chamber, and the processed substrate is carried out from each vacuum processing chamber.

このようなLCD基板の処理装置においては、一定期間に処理可能な基板の処理枚数、つまり装置のスループットをいかにして向上させるかが大きな技術課題となっている。そのために上述したように装置をマルチチャンバータイプにしたり、搬送アームを上下2段にしたりして対応している。   In such an LCD substrate processing apparatus, a major technical problem is how to improve the number of processed substrates, that is, the throughput of the apparatus, that can be processed in a certain period. For this purpose, as described above, the apparatus is a multi-chamber type or the transfer arm is arranged in two upper and lower stages.

2段の搬送アームを用いる場合には、上アームに未処理基板を載置した状態で、搬送アームを真空処理室内の載置台にアクセスし、まず下アームを進出させて処理済み基板を受取った後、下アームを退避させ、次いで上アームを進出させて未処理基板を載置台上に搬送する。   When a two-stage transfer arm is used, with the unprocessed substrate mounted on the upper arm, the transfer arm accessed the mounting table in the vacuum processing chamber, and the lower arm was first advanced to receive the processed substrate. Thereafter, the lower arm is retracted, and then the upper arm is advanced to transfer the unprocessed substrate onto the mounting table.

しかしながら、上述のような基板の交換動作では時間短縮にも一定の限界があり、LCD基板に対するさらなるスループット向上が要求されている。
特開平08−115968号公報 特開平09−104982号公報(特願平08−223120号) 特開平10−107127号公報(特願平08−255889号)
However, the substrate replacement operation as described above has a certain limit in time reduction, and further improvement in throughput for the LCD substrate is required.
Japanese Patent Laid-Open No. 08-115968 Japanese Unexamined Patent Publication No. 09-104982 (Japanese Patent Application No. 08-223120) JP-A-10-107127 (Japanese Patent Application No. 08-255889)

本発明はかかる事情に鑑みてなされたものであって、基板処理におけるスループットを向上させることが可能な半導体処理装置を提供することを目的とする。   The present invention has been made in view of such circumstances, and an object thereof is to provide a semiconductor processing apparatus capable of improving the throughput in substrate processing.

本発明の第1の視点は、矩形のガラス製LCD基板に対して半導体処理を施すための装置であって、
第1の側の面に取り付けられた第1のゲートを介して前記基板を搬出入可能で且つ減圧雰囲気に設定可能な第1のロードロック室と、
前記第1の側の面に取り付けられた第2のゲートを介して前記基板を搬出入可能で且つ第1のロードロック室とは個別に減圧雰囲気に設定可能であると共に、前記第1のロードロック室に対して上下に重なるように配設された第2のロードロック室と、
前記第1の側と反対側の前記第1及び第2のロードロック室の第2の側の面に取り付けられた前記基板が通過可能な第3及び第4のゲートを介して前記第1及び第2のロードロック室に夫々接続され且つ減圧雰囲気に設定可能な搬送室と、
前記搬送室にゲートを介して接続され且つ減圧雰囲気で前記半導体処理を行うための処理室と、
前記第1及び第2のロードロック室と前記処理室との間で前記基板を搬送するために前記搬送室内に配設された搬送機構と、
を具備し、
前記第1及び第2のロードロック室の少なくとも一方は、前記基板の1つを夫々支持可能で且つ上下に重なる第1及び第2の支持レベルを有することと、
を特徴とする。
A first aspect of the present invention is an apparatus for performing semiconductor processing on a rectangular glass LCD substrate,
A first load lock chamber capable of loading and unloading the substrate through a first gate attached to a first side surface and set in a reduced pressure atmosphere;
The substrate can be carried in and out via a second gate attached to the first side surface, and can be set in a reduced pressure atmosphere separately from the first load lock chamber, and the first load can be set. A second load lock chamber disposed so as to overlap vertically with respect to the lock chamber;
The first and second gates pass through the third and fourth gates through which the substrate attached to the second side surfaces of the first and second load lock chambers opposite to the first side can pass. A transfer chamber connected to each of the second load lock chambers and capable of being set to a reduced pressure atmosphere;
A processing chamber connected to the transfer chamber via a gate and performing the semiconductor processing in a reduced pressure atmosphere;
A transfer mechanism disposed in the transfer chamber for transferring the substrate between the first and second load lock chambers and the processing chamber;
Comprising
At least one of the first and second load lock chambers has first and second support levels that are capable of supporting one of the substrates and overlapping one above the other;
It is characterized by.

本発明の第2の視点は、第1の視点の装置において、前記第1及び第2の支持レベルを有する前記第1及び第2のロードロック室の前記少なくとも一方は、前記第1及び第2の支持レベルを貫通して上下に移動可能で且つ前記基板を支持可能な複数の支持ピンを更に具備することを特徴とする。   According to a second aspect of the present invention, in the apparatus according to the first aspect, the at least one of the first and second load lock chambers having the first and second support levels is the first and second. And a plurality of support pins that can move up and down through the support level and can support the substrate.

本発明の第3の視点は、第1または第2の視点の装置において、前記第1及び第2の支持レベルの夫々は、前記基板を支持する開閉可能な一対のフィンガにより規定され、前記フィンガは閉状態において前記基板を支持し、開状態において前記基板が前記一対のフィンガ間を上下に通過することを許容することを特徴とする。   According to a third aspect of the present invention, in the apparatus according to the first or second aspect, each of the first and second support levels is defined by a pair of openable and closable fingers that support the substrate. Supports the substrate in the closed state and allows the substrate to pass vertically between the pair of fingers in the open state.

本発明の第4の視点は、第1乃至第3のいずれかの視点の装置において、前記搬送機構が上下動可能であることを特徴とする。   According to a fourth aspect of the present invention, in the apparatus according to any one of the first to third aspects, the transport mechanism can move up and down.

本発明の第5の視点は、第1乃至第4のいずれかの視点の装置において、前記第1及び第2の支持レベルは、前記基板を支持する状態と支持しない状態との間で状態を切り替え可能であることを特徴とする。   According to a fifth aspect of the present invention, in the apparatus according to any one of the first to fourth aspects, the first and second support levels are set between a state in which the substrate is supported and a state in which the substrate is not supported. It can be switched.

本発明の第6の視点は、第1乃至第5のいずれかの視点の装置において、前記第1及び第2の支持レベル間の間隔は、前記搬送機構に配設された前記基板の1つを夫々支持可能な上下段支持レベルの間隔よりも大きく設定されることを特徴とする。   According to a sixth aspect of the present invention, in the apparatus according to any one of the first to fifth aspects, an interval between the first and second support levels is one of the substrates disposed in the transport mechanism. Is set to be larger than the interval between the upper and lower support levels capable of supporting each.

本発明によれば、半導体処理装置において、被処理基板の交換操作を効率よく行うことができるため、スループットを著しく向上させることができる。   According to the present invention, in a semiconductor processing apparatus, a substrate to be processed can be exchanged efficiently, so that the throughput can be significantly improved.

以下、添付図面を参照して本発明の実施の形態について具体的に説明する。   Embodiments of the present invention will be specifically described below with reference to the accompanying drawings.

ここでは、ガラス製のLCD基板上に半導体デバイス等を形成するため、エッチング処理及びアッシング処理を行うためのマルチチャンバータイプの真空処理装置について説明する。   Here, a multi-chamber type vacuum processing apparatus for performing an etching process and an ashing process in order to form a semiconductor device or the like on a glass LCD substrate will be described.

図1は本発明の実施の形態に係る真空処理装置の概観を示す斜視図、図2はその内部を示す概略横断平面図である。   FIG. 1 is a perspective view showing an overview of a vacuum processing apparatus according to an embodiment of the present invention, and FIG. 2 is a schematic transverse plan view showing the inside thereof.

処理装置1の中央部にはゲートバルブ9aを介して接続された搬送室5とロードロック室3とが配設される。搬送室5は、平面が略正方形であり、ロードロック室3と面しない残りの各側面には、開口部を気密にシールし且つ開閉可能なゲートバルブ9aを介して3つの処理室2、4、6が夫々接続される。   A transfer chamber 5 and a load lock chamber 3 connected via a gate valve 9a are disposed at the center of the processing apparatus 1. The transfer chamber 5 has a substantially square plane, and the remaining side surfaces not facing the load lock chamber 3 are provided with three processing chambers 2, 4 via a gate valve 9 a that hermetically seals the opening and can be opened and closed. , 6 are connected to each other.

各処理室2、4、6には、所定の処理ガスを供給するための供給手段と、室内を排気するための排気手段とが接続され、従って、各処理室2、4、6は任意の減圧雰囲気に設定し且つ維持することが可能となっている。例えば、処理室2、6では同一のエッチング処理が行われ、他の1つ処理室4ではアッシング処理が行われる。処理室の組み合わせはこれに限らず、適宜の処理を組み合わせることもでき、シリアル処理、パラレル処理等任意の処理を複数の処理室を用いて実施することが可能である。   Each processing chamber 2, 4, 6 is connected to a supply means for supplying a predetermined processing gas and an exhaust means for exhausting the interior of the chamber. It is possible to set and maintain a reduced pressure atmosphere. For example, the same etching process is performed in the processing chambers 2 and 6, and the ashing process is performed in the other processing chamber 4. The combination of processing chambers is not limited to this, and appropriate processing can be combined, and arbitrary processing such as serial processing and parallel processing can be performed using a plurality of processing chambers.

各処理室2、4、6内には載置台10が配設される。載置台10には基板Sを支持するための4本の支持ピン11が配設される。載置台10の周囲にはやはり基板を支持するための4本の支持部材12が配設される。支持ピン11及び支持部材12については、詳細を後述する。   A mounting table 10 is disposed in each processing chamber 2, 4, 6. Four support pins 11 for supporting the substrate S are disposed on the mounting table 10. Four support members 12 for supporting the substrate are also arranged around the mounting table 10. Details of the support pin 11 and the support member 12 will be described later.

ロードロック室3は任意の減圧雰囲気に設定し且つ維持することが可能となっている。ロードロック室3内には、図4図示の如く、基板Sを支持するための一対のスタンド31を具備するバッファラック30が配設される。バッファラック30は、一度に2枚の基板Sを保持するように構成されており、これにより真空引き、パージの効率が向上する。   The load lock chamber 3 can be set and maintained in an arbitrary reduced pressure atmosphere. As shown in FIG. 4, a buffer rack 30 having a pair of stands 31 for supporting the substrate S is disposed in the load lock chamber 3. The buffer rack 30 is configured to hold two substrates S at a time, thereby improving the vacuuming and purging efficiency.

各スタンド31は、2つの棚32、33を上下に具備する。棚32、33は搬送機構50の2枚のアーム52、53に対応する水平な2段の基板支持レベルを形成する。本実施の形態では、バッファラック30の支持レベル間隔は、カセット42における基板Sの支持間隔よりも大きく設定される。また各棚32、33の上面には、摩擦係数の高い合成ゴムからなる突起34が設けられており、これによって基板のずれ及び落下が防止される。   Each stand 31 includes two shelves 32 and 33 at the top and bottom. The shelves 32 and 33 form two horizontal substrate support levels corresponding to the two arms 52 and 53 of the transport mechanism 50. In the present embodiment, the support level interval of the buffer rack 30 is set larger than the support interval of the substrate S in the cassette 42. Further, a projection 34 made of synthetic rubber having a high friction coefficient is provided on the upper surface of each shelf 32, 33, thereby preventing the substrate from being displaced and dropped.

バッファラック30の一対のスタンド31は一体的に昇降可能となる。バッファラック30の昇降により、搬送室5内に設けられた搬送機構60が昇降することなく、2枚の基板のうちの一方を選択的に取出すことができる。   The pair of stands 31 of the buffer rack 30 can be moved up and down integrally. By raising and lowering the buffer rack 30, one of the two substrates can be selectively taken out without raising or lowering the transfer mechanism 60 provided in the transfer chamber 5.

ロードロック室3内には、2枚の基板を一度にアライメントするための一対のポジショナ35、35及び基板のアライメントの完了を確認するための光学的センサ(図示せず)が配置される。一対のポジショナ35は、基板の対角線の延長線上にて相互に対向するように配置される。各ポジショナ35は、図中の往復矢印A方向に起動可能なサポート36と、サポート36上に回転フリーに支持された一対のローラ37、37を具備する。   In the load lock chamber 3, a pair of positioners 35 and 35 for aligning two substrates at once and an optical sensor (not shown) for confirming completion of alignment of the substrates are arranged. The pair of positioners 35 are disposed so as to face each other on an extension of the diagonal line of the substrate. Each positioner 35 includes a support 36 that can be activated in the direction of the reciprocating arrow A in the figure, and a pair of rollers 37, 37 that are rotatably supported on the support 36.

ポジショナ35は、バッファラック30に支持された2枚の基板を対角線方向に挟み込む態様で、基板のアライメントを行う。ローラ37は基板Sの側面を4点で押圧することにより位置合わせするため、略矩形状の基板の位置合わせを行うのに特に適する。ローラ37はサポート36上に着脱可能に取付けられ、処理されるLCD基板の寸法に応じて適宜交換することが可能である。   The positioner 35 aligns the substrates in such a manner as to sandwich the two substrates supported by the buffer rack 30 in the diagonal direction. Since the roller 37 is aligned by pressing the side surface of the substrate S at four points, it is particularly suitable for aligning a substantially rectangular substrate. The roller 37 is detachably mounted on the support 36 and can be appropriately replaced according to the dimensions of the LCD substrate to be processed.

ロードロック室3はゲートバルブ9bを介して外部雰囲気と接続される。ロードロック室3の外部側には、2つのカセットインデクサ41が配設され、その上に夫々LCD基板を収容するカセット42が載置される。カセット42の一方には未処理基板が収容され、他方には処理済み基板が収容される。カセット42は、昇降機構43により昇降可能となっている。   The load lock chamber 3 is connected to the external atmosphere via the gate valve 9b. Two cassette indexers 41 are disposed on the outside of the load lock chamber 3, and cassettes 42 for accommodating LCD substrates are placed thereon. One of the cassettes 42 stores an unprocessed substrate, and the other stores a processed substrate. The cassette 42 can be moved up and down by an elevating mechanism 43.

2つのカセット42の間には、支持台51上に基板搬送機構50が配設される。搬送機構50は上下2段に配設されたアーム52、53と、これらを一体的に進出退避及び回転可能に支持するベース54とを有する。アーム52、53上には基板を支持する4つの突起55が形成される。突起55は摩擦係数の高い合成ゴム製の弾性体からなり、基板支持中に基板がずれたり、落下することが防止される。   A substrate transport mechanism 50 is disposed on the support base 51 between the two cassettes 42. The transport mechanism 50 includes arms 52 and 53 arranged in two upper and lower stages, and a base 54 that integrally supports these so as to advance and retract and rotate. Four projections 55 for supporting the substrate are formed on the arms 52 and 53. The protrusion 55 is made of an elastic body made of a synthetic rubber having a high friction coefficient, and prevents the substrate from being displaced or dropped during substrate support.

搬送機構50は、アーム52、53により2枚の基板を一度に搬送可能となっている。即ち、カセット42に対して、2枚の基板が一度に取出しまたは装入することが可能となる。各カセット42の高さは昇降機構43により調整され、アーム52、53による基板の取出しまたは装入位置が設定される。2枚のアーム52、53の間隔は、各種のカセットの基板支持間隔の最大値よりも大きくなるように設定される。このため、種々のカセットに対応可能である。   The transport mechanism 50 can transport two substrates at a time by the arms 52 and 53. That is, two substrates can be taken out from or loaded into the cassette 42 at a time. The height of each cassette 42 is adjusted by the elevating mechanism 43, and the position for taking out or loading the substrate by the arms 52 and 53 is set. The interval between the two arms 52 and 53 is set to be larger than the maximum value of the substrate support intervals of various cassettes. For this reason, it can respond to various cassettes.

なお、カセットは1個だけ設置するもできる。この場合には、同一のカセット内の空いたスペースに処理済みの基板を戻していくことになる。   Only one cassette can be installed. In this case, the processed substrate is returned to an empty space in the same cassette.

搬送室5は任意の減圧雰囲気に設定し且つ維持することが可能となっている。搬送室5内には、図3図示の如く、搬送機構60と、複数のLCD基板を保持可能に構成されたバッファ枠体70が配設される。搬送機構60により、ロードロック室3と、処理室2、4、6との間で基板が搬送される。また、バッファ枠体70により、未処理基板または処理済み基板が一時的に保持される。このように基板を一時保持することによりスループットの向上を図っている。   The transfer chamber 5 can be set and maintained in an arbitrary reduced pressure atmosphere. In the transfer chamber 5, as shown in FIG. 3, a transfer mechanism 60 and a buffer frame 70 configured to hold a plurality of LCD substrates are disposed. The substrate is transported between the load lock chamber 3 and the processing chambers 2, 4, 6 by the transport mechanism 60. Further, the unprocessed substrate or the processed substrate is temporarily held by the buffer frame 70. Thus, throughput is improved by temporarily holding the substrate.

搬送機構60は、ベース68の一端に配設され、ベース68に回動可能に配設された第1アーム62と、第1アーム62の先端部に回動可能に配設された第2アーム64と、第2アーム64に回動可能に配設され且つ基板を保持するためのキャッチャ66とを有する。ベース68に内蔵された駆動機構により第1アーム62、第2アーム64及びキャッチャ66を移動させることにより、基板を搬送することが可能となる。また、搬送機構60は、ベース68の下に配設されたシリンダ機構69により上下動が可能であると共に、シリンダを軸として回転可能となっている。   The transport mechanism 60 is disposed at one end of the base 68, a first arm 62 that is pivotally disposed on the base 68, and a second arm that is pivotally disposed at the distal end portion of the first arm 62. 64 and a catcher 66 rotatably disposed on the second arm 64 and holding the substrate. By moving the first arm 62, the second arm 64, and the catcher 66 by a drive mechanism built in the base 68, the substrate can be transported. Further, the transport mechanism 60 can be moved up and down by a cylinder mechanism 69 disposed under the base 68 and can be rotated around the cylinder.

搬送機構60のキャッチャ66は、2段に構成されたフォーク66a、66bを有する。上フォーク66aにより未処理基板が支持され、下フォーク66bにより処理済み基板が支持されるようになっている。なお、図示しないが、各フォークには、基板のずれや落下を防止するために、摩擦係数の高い合成ゴム製の突起が配設される。   The catcher 66 of the transport mechanism 60 has forks 66a and 66b configured in two stages. An unprocessed substrate is supported by the upper fork 66a, and a processed substrate is supported by the lower fork 66b. Although not shown, each fork is provided with a protrusion made of synthetic rubber having a high friction coefficient in order to prevent the substrate from being displaced or dropped.

バッファ枠体70は、ベース68の他端側に、ベース68に対して昇降可能に設置される。枠体70は、4つのバッファ72、74、76、78を具備し、これらは水平な4段の基板支持レベルを形成している。これらバッファには、基板を支持するための突起79が配設される。突起79は摩擦係数の高い合成ゴム製であり、基板支持中に基板がずれることまたは落下することを防止する。   The buffer frame 70 is installed on the other end side of the base 68 so as to be movable up and down with respect to the base 68. The frame 70 includes four buffers 72, 74, 76, 78, which form a horizontal four-level substrate support level. These buffers are provided with protrusions 79 for supporting the substrate. The protrusion 79 is made of a synthetic rubber having a high friction coefficient, and prevents the substrate from being displaced or dropped during substrate support.

搬送機構60及びバッファ枠体70は、シリンダ69を軸としてベース68と一体となって回転する。このようにベース68を回転させることにより、搬送機構60は、処理室2、4、6、ロードロック室3のいずれかに対して選択的に対面することができる。   The transport mechanism 60 and the buffer frame 70 rotate integrally with the base 68 with the cylinder 69 as an axis. By rotating the base 68 in this way, the transport mechanism 60 can selectively face either the processing chambers 2, 4, 6, or the load lock chamber 3.

各処理室2、4、6内には、上述したように載置台10が配設される。載置台10はプラズマを形成するための下部電極として機能する。載置台10の周囲には、図5図示の如く、セラミック製のシールドリング13が配設される。   As described above, the mounting table 10 is disposed in each processing chamber 2, 4, 6. The mounting table 10 functions as a lower electrode for forming plasma. A ceramic shield ring 13 is disposed around the mounting table 10 as shown in FIG.

4本の支持ピン11(第2の支持部材)は載置台10の縁部に進出退避可能に配設される。4本の支持部材12(第1の支持部材)は、載置台10の周囲のシールドリング13に進出退避可能に配設された支持棒12aと、その先端に配設された張出し部材12bとを有する。支持棒12aは進出することにより、基板を支持することが可能となり、基板の受取りの際に第1の位置で未処理基板S1を支持する。また、支持ピン11は進出することにより、基板を支持することが可能となり、基板受渡しの際に第1の位置よりも下方の第2の位置で処理済み基板S2を支持する。   The four support pins 11 (second support members) are disposed on the edge of the mounting table 10 so as to be able to advance and retreat. The four support members 12 (first support members) include a support bar 12a disposed in a shield ring 13 around the mounting table 10 so as to be able to advance and retreat, and an overhang member 12b disposed at the tip thereof. Have. The support bar 12a advances to be able to support the substrate, and supports the unprocessed substrate S1 at the first position when the substrate is received. Further, when the support pins 11 advance, it becomes possible to support the substrate, and the processed substrate S2 is supported at the second position below the first position when the substrate is delivered.

支持部材12は退避位置において、図9(a)図示の如く、張出し部材12bが載置台10にかからないような状態にある。しかし、支持部材12は支持位置において、図9(b)図示の如く、支持棒12aが回転されることにより、張出し部材12bが載置台10側に突出した支持位置にある状態となる。   As shown in FIG. 9A, the support member 12 is in a state in which the protruding member 12 b does not cover the mounting table 10 in the retracted position. However, as shown in FIG. 9B, the support member 12 is in the support position where the projecting member 12b protrudes toward the mounting table 10 when the support rod 12a is rotated.

キャッチャ66は、その上フォーク66aが前記第1の位置に対応し、下フォーク66bが前記第2の位置に対応する位置になるように高さが設定される。後述するように、上フォーク66aに未処理基板を支持した状態で処理室に装入された際に、未処理基板が第1の位置にある支持部材12に受け渡されると同時に、第1の位置で支持ピン11に支持される処理済み基板がフォーク66bに受け渡される。   The height of the catcher 66 is set such that the upper fork 66a corresponds to the first position and the lower fork 66b corresponds to the second position. As will be described later, when the unprocessed substrate is loaded into the processing chamber in a state where the unprocessed substrate is supported on the upper fork 66a, the unprocessed substrate is transferred to the support member 12 in the first position, and at the same time, the first The processed substrate supported by the support pins 11 at the position is transferred to the fork 66b.

次に、以上のように構成される装置の動作について説明する。   Next, the operation of the apparatus configured as described above will be described.

まず、搬送機構50の2枚のアーム52、53を進退駆動させて、未処理基板を収容した一方のカセット42(図1の左側のカセット)から2枚の基板Sを一度にロードロック室3に搬入する。   First, the two arms 52 and 53 of the transport mechanism 50 are moved forward and backward, and two substrates S are loaded at one time from one cassette 42 (the left cassette in FIG. 1) containing unprocessed substrates. Carry in.

ロードロック室3内においては、バッファラック30の棚32、33により2枚の基板Sを保持する。アーム52、53が退避した後、ロードロック室の大気側のゲートバルブ9bを閉じる。その後、ロードロック室3内を排気して、内部を所定の真空度、例えば10-1Torr程度まで減圧する。真空引き終了後、一対のポジショナ35の4つのローラ37により基板を押圧することにより基板Sの位置合わせを行う。 In the load lock chamber 3, two substrates S are held by the shelves 32 and 33 of the buffer rack 30. After the arms 52 and 53 are retracted, the gate valve 9b on the atmosphere side of the load lock chamber is closed. Thereafter, the inside of the load lock chamber 3 is evacuated, and the inside is decompressed to a predetermined degree of vacuum, for example, about 10 −1 Torr. After completion of evacuation, the substrate S is aligned by pressing the substrate with the four rollers 37 of the pair of positioners 35.

以上のように位置合わせされた後、搬送室5及びロードロック室3間のゲートバルブ9aを開く。汚染防止の観点から下段の棚33の基板Sから搬送機構60により搬送室5内に搬入し、バッファ枠体70の最上のバッファ72に保持する。この場合に、基板Sはバファラック30上に予め決められた所定の間隔で支持されているので、搬送機構の動作制御をカセット42の基板支持間隔に依存せずに行うことができる。即ち、異なる基板の支持間隔毎に搬送機構60の動作量等を変更するという複雑な制御手段が不要となる。従って、装置内の汚染を低減することができる。   After the alignment as described above, the gate valve 9a between the transfer chamber 5 and the load lock chamber 3 is opened. From the viewpoint of preventing contamination, the substrate S on the lower shelf 33 is carried into the transfer chamber 5 by the transfer mechanism 60 and held in the uppermost buffer 72 of the buffer frame 70. In this case, since the substrates S are supported on the buffer rack 30 at predetermined intervals, the operation control of the transport mechanism can be performed without depending on the substrate support intervals of the cassette 42. That is, complicated control means for changing the operation amount of the transport mechanism 60 for each support interval of different substrates is not necessary. Therefore, contamination in the apparatus can be reduced.

搬送室5内に基板を搬入した状態で、10-4Torr程度に更に真空引きする。これにより、装置内の汚染を低減することができる。次に、搬送機構60により搬送室5内に搬入し且つバッファ72に保持した基板Sを所定の処理室、例えば処理室2に搬送する。この場合に、最初に搬送する場合以外は、処理室内には処理済み基板が存在しており、処理済み基板と未処理基板との交換を行うこととなる。 In a state where the substrate is carried into the transfer chamber 5, the vacuum is further reduced to about 10 −4 Torr. Thereby, the contamination in the apparatus can be reduced. Next, the substrate S carried into the transfer chamber 5 by the transfer mechanism 60 and held in the buffer 72 is transferred to a predetermined processing chamber, for example, the processing chamber 2. In this case, a processed substrate exists in the processing chamber except when it is first transported, and the processed substrate and the unprocessed substrate are exchanged.

この際の交換操作を図5乃至図8を参照しながら説明する。   The replacement operation at this time will be described with reference to FIGS.

まず、処理室の載置台10上に処理済み基板S2を載置した状態で、支持部材12を図9(a)の状態から進出させる。更に、図9(b)のように支持棒12aを回転させて、張出し部材12bが載置台10側に突出する位置になるようにする。この状態でこの支持部材12は第1の位置で未処理基板S1を受取ることが可能な状態となる。   First, the support member 12 is advanced from the state of FIG. 9A in a state where the processed substrate S2 is mounted on the mounting table 10 in the processing chamber. Further, as shown in FIG. 9B, the support bar 12a is rotated so that the projecting member 12b protrudes toward the mounting table 10 side. In this state, the support member 12 can receive the unprocessed substrate S1 at the first position.

次に、支持ピン11を進出させて処理済み基板S2を上昇させ、第2の位置で支持するようにする。以上のような動作により、図5の状態が形成される。この場合に、搬送機構60のキャッチャ66は、その上フォーク66aが前記第1の位置に対応し、下フォーク66bが前記第2の位置に対応する位置になるように高さが設定されており、上フォーク66aに未処理基板S1を支持している。   Next, the support pins 11 are advanced to raise the processed substrate S2, and are supported at the second position. The state shown in FIG. 5 is formed by the operation as described above. In this case, the height of the catcher 66 of the transport mechanism 60 is set so that the upper fork 66a corresponds to the first position and the lower fork 66b corresponds to the second position. The unprocessed substrate S1 is supported on the upper fork 66a.

次に、図6図示の如く、キャッチャ66を載置台10の上方に進出させ、未処理基板S1を載置台10上方の第1の位置まで搬送する。この場合に、フォーク66bは第2の位置にある処理済み基板S2の直下に位置する。この状態で支持部材12の支持棒12aを僅かに上昇させ、同時に支持ピン11を下降させる。これにより、未処理基板S1は支持部材12に支持された状態となると共に、処理済み基板はキャッチャ66の下フォーク66bに支持された状態となる。   Next, as shown in FIG. 6, the catcher 66 is advanced above the mounting table 10, and the unprocessed substrate S <b> 1 is transported to the first position above the mounting table 10. In this case, the fork 66b is located immediately below the processed substrate S2 in the second position. In this state, the support rod 12a of the support member 12 is slightly raised, and at the same time, the support pin 11 is lowered. As a result, the unprocessed substrate S1 is supported by the support member 12, and the processed substrate is supported by the lower fork 66b of the catcher 66.

その後、図7図示の如く、処理済み基板S2を支持した状態のキャッチャ66を退避させる。そして、図8図示の如く、再び支持ピン11を進出させて未処理基板S1を支持し、支持部材12を退避させて図9(a)の状態に戻す。この図8の動作と並行して、処理室と搬送室5との間のゲートバルブ9aを閉じる動作に入り、プロセス前処理を開始する。従って、図8の動作はスループットには影響を与えない。   Thereafter, as shown in FIG. 7, the catcher 66 supporting the processed substrate S2 is retracted. Then, as shown in FIG. 8, the support pins 11 are advanced again to support the unprocessed substrate S1, and the support member 12 is retracted to return to the state shown in FIG. In parallel with the operation of FIG. 8, an operation for closing the gate valve 9 a between the processing chamber and the transfer chamber 5 is started, and pre-process processing is started. Therefore, the operation of FIG. 8 does not affect the throughput.

このように、処理室における基板の交換において、未処理基板の搬入と処理済み基板の搬出とを保持部(キャッチャ)の1回の移動によって行うことができる。このため、基板の交換時間を著しく低減することができる。ちなみに、従来この交換操作にかかる時間が17秒であったものが8秒と半分以下に短縮された。   As described above, in the replacement of the substrate in the processing chamber, the unprocessed substrate can be carried in and the processed substrate can be carried out by one movement of the holding portion (catcher). For this reason, it is possible to significantly reduce the substrate replacement time. Incidentally, the time required for this exchange operation in the past 17 seconds has been reduced to 8 seconds, which is less than half.

このような動作が行われる間に、ロードロック室3内の棚32の基板も搬送室5に搬入し、いずれかのバッファに保持する。このような動作をカセット42内の基板に対して順次に行う。この際に第1及び2ロードロック室20、3内のバッファの存在により、待ち時間なく連続的に基板を装置内に搬入することができるので、スループットの向上に寄与する。   While such an operation is performed, the substrate on the shelf 32 in the load lock chamber 3 is also carried into the transfer chamber 5 and held in one of the buffers. Such an operation is sequentially performed on the substrates in the cassette 42. At this time, the presence of the buffers in the first and second load lock chambers 20 and 3 allows the substrates to be continuously loaded into the apparatus without waiting time, which contributes to an improvement in throughput.

処理済み基板S2は、搬送機構60により搬送室5に戻し、更にロードロック室3を経て、搬送機構50のアーム52、53により、処理済み基板用のカセット42(図1の右側のカセット)に挿入する。   The processed substrate S2 is returned to the transfer chamber 5 by the transfer mechanism 60, and further passes through the load lock chamber 3 and is then transferred to the processed substrate cassette 42 (the right-side cassette in FIG. 1) by the arms 52 and 53 of the transfer mechanism 50. insert.

以上のような処理においては、バッファ機構の存在、及び特に処理室における基板の交換の高効率化により、従来にない極めて高いスループットを実現することができる。   In the processing as described above, extremely high throughput unprecedented can be realized by the existence of the buffer mechanism and the high efficiency of the replacement of the substrate in the processing chamber.

また、上記装置では、エッチング、アッシングの連続処理を行うことが可能であり、この点でも効率が高い。また、プログラムを変更することにより、エッチング、エッチングの連続処理、エッチングの単一処理など、ユーザーのニーズに対応した種々処理を行うことができ、極めて汎用性が高い。   Further, the above apparatus can perform continuous processing of etching and ashing, and the efficiency is high also in this respect. Further, by changing the program, various processes corresponding to the user's needs such as etching, continuous etching process, and single etching process can be performed, which is extremely versatile.

例えば、支持部材12として支持棒12aの先端に平板状の張出し部材12bを設けたものを用いたが、図10図示の如く、先端に鉤状部12cを有するピン状の支持部材12xであってもよい。そして、支持部材12xは退避位置においては、図11(a)図示の如く、シールド部材13中に完全に収容され、その上に蓋12dがされる。支持部材12xが支持位置に進出する際には、図11(b)図示の如く、蓋12が開き、支持位置まで上昇すると回転し、鉤状部12cが載置台10側へ突出した状態となる。また、未処理基板を支持する支持部材(第1の支持部材)は、進出退避即ち上昇下降するタイプのものに限らず、例えば回転移動して退避するタイプのものであってもよい。   For example, a support member 12 having a support bar 12a provided with a flat plate-like overhanging member 12b is used. However, as shown in FIG. 10, the support member 12 is a pin-like support member 12x having a hook-like portion 12c. Also good. In the retracted position, the support member 12x is completely accommodated in the shield member 13 as shown in FIG. 11A, and a lid 12d is placed thereon. When the support member 12x advances to the support position, as shown in FIG. 11 (b), the lid 12 is opened, and when the support member 12x is raised to the support position, the support member 12x rotates and the hook-shaped portion 12c projects to the mounting table 10 side. . In addition, the support member (first support member) that supports the unprocessed substrate is not limited to a type that advances and retracts, that is, moves up and down, and may be a type that rotates and retracts, for example.

また、キャッチャ66即ち保持部も上記のものに限定されず種々のものを採用することができる。またキャッチャとして上下2段のフォークが固定的に配設されたものを用いたが、これらフォークが独立して移動できるものとすることもできる。更に、基板支持部はフォーク状に限らず搬送機構50のアーム52、53のように板状のものであってもよい。   Further, the catcher 66, that is, the holding unit is not limited to the above, and various types can be adopted. In addition, although a catcher in which two upper and lower forks are fixedly used is used, these forks can be moved independently. Furthermore, the substrate support portion is not limited to a fork shape, and may be a plate shape like the arms 52 and 53 of the transport mechanism 50.

図12は本発明の別の実施の形態に係る真空処理装置の概観を示す斜視図、図13及び図14はその内部を示す概略横断平面図及び概略側面図である。これらの図中、図1乃至図11を参照して述べた先の実施の形態と共通する部分については、同一の符号を付して詳細な説明を省略する。   FIG. 12 is a perspective view showing an overview of a vacuum processing apparatus according to another embodiment of the present invention, and FIGS. 13 and 14 are a schematic transverse plan view and a schematic side view showing the inside thereof. In these drawings, portions common to the previous embodiment described with reference to FIGS. 1 to 11 are denoted by the same reference numerals, and detailed description thereof is omitted.

この実施の形態に係る処理装置1Bは、図12図示の如く、先の実施の形態と同じ3つ処理室2、4、6を有する。処理室2、4、6は、平面が正方形の搬送室5の3つの辺に夫々ゲートバルブ9aを介して接続される。例えば、処理室2、6では同一のエッチング処理が行われ、他の1つ処理室4ではアッシング処理が行われる。   The processing apparatus 1B according to this embodiment has the same three processing chambers 2, 4, and 6 as the previous embodiment as shown in FIG. The processing chambers 2, 4, and 6 are connected to three sides of the transfer chamber 5 having a square plane through gate valves 9a, respectively. For example, the same etching process is performed in the processing chambers 2 and 6, and the ashing process is performed in the other processing chamber 4.

各処理室2、4、6内には、先の実施の形態と同様、4本の支持ピン11及び4本の支持部材12を有する載置台10が配設される。従って、前述の如く、各処理室2、4、6においては、処理済み基板と未処理基板との交換操作を、搬送室5内に配設された搬送機構60の一進出動作で行うことができる。   In each processing chamber 2, 4, 6, a mounting table 10 having four support pins 11 and four support members 12 is disposed as in the previous embodiment. Therefore, as described above, in each of the processing chambers 2, 4, and 6, the operation of exchanging the processed substrate and the unprocessed substrate can be performed by one advance operation of the transport mechanism 60 disposed in the transport chamber 5. it can.

搬送室5の残りの一辺には、上下に2つのロードロック室3a、3bが夫々ゲートバルブ9aを介して接続される。また、ロードロック室3a、3bと基板カセット42との間でLCD基板Sを搬送するため、先の実施の形態の搬送機構50とは異なる構造の搬送機構80が配設される。   Two load lock chambers 3a and 3b are connected to the other side of the transfer chamber 5 via gate valves 9a. Further, in order to transport the LCD substrate S between the load lock chambers 3a and 3b and the substrate cassette 42, a transport mechanism 80 having a structure different from that of the transport mechanism 50 of the previous embodiment is provided.

搬送機構80はベース板81を有し、その長手方向に沿って摺動駆動可能にスライダ82が配設される。スライダ82上には、水平面内で回転駆動可能にL字形のスタンド83が取付けられる。更に、スタンド83の垂直部には、昇降駆動可能に水平板84が取付けられる。   The transport mechanism 80 has a base plate 81, and a slider 82 is disposed so as to be slidable along the longitudinal direction thereof. On the slider 82, an L-shaped stand 83 is attached so as to be rotatable in a horizontal plane. Further, a horizontal plate 84 is attached to the vertical portion of the stand 83 so as to be driven up and down.

水平板84上には基板Sを載置するための上フォーク85及び下フォーク86が配設される。下フォーク86は、水平板84の長手方向に沿って摺動駆動可能に水平板84に取付けられる。下フォーク86の基部86aにはサブスタンド87が立設され、上フォーク85はサブスタンド87に昇降駆動可能に取付けられる。従って、上フォーク85は下フォーク86と共に、水平板84の長手方向に沿って一体的に摺動することとなる。   An upper fork 85 and a lower fork 86 for placing the substrate S are disposed on the horizontal plate 84. The lower fork 86 is attached to the horizontal plate 84 so as to be slidable along the longitudinal direction of the horizontal plate 84. A sub stand 87 is erected on the base 86 a of the lower fork 86, and the upper fork 85 is attached to the sub stand 87 so as to be able to be driven up and down. Therefore, the upper fork 85 slides integrally with the lower fork 86 along the longitudinal direction of the horizontal plate 84.

上フォーク85のフィンガ85b、85cと、下フォーク86のフィンガ86b、86cとは、上下方向の厚さが同一で、基板Sのカセット42内への収納間隔よりも小さくなっている。また、上フォーク85のフィンガ85b、85cの内エッジ間の幅は、下フォーク86のフィンガ86b、86cの外エッジ間の幅よりも僅かに大きく設定される。更に、下フォーク86の基部86aは、そのフィンガ86b、86cよりも、上フォーク85の基部85aの厚さ分だけ下に凹んでいる。   The fingers 85b and 85c of the upper fork 85 and the fingers 86b and 86c of the lower fork 86 have the same vertical thickness, and are smaller than the interval in which the substrate S is stored in the cassette 42. The width between the inner edges of the fingers 85b and 85c of the upper fork 85 is set slightly larger than the width between the outer edges of the fingers 86b and 86c of the lower fork 86. Furthermore, the base 86a of the lower fork 86 is recessed below the fingers 86b and 86c by the thickness of the base 85a of the upper fork 85.

従って、上フォーク85が最も降下した際、上フォーク85のフィンガ85b、85cと下フォーク86のフィンガ86b、86cとは横方向から見て一枚の板のように相互に重なり合うことができる。この時、上フォーク85のフィンガ85b、85cは、下フォーク86のフィンガ86b、86cのちょうど外側で同一平面上に位置する。また、この時、少なくとも両フォーク85、86の上側の支持面を整一させるようにする。ここでは、両上フォーク85、86の厚さが同じであるから、両フォーク85、86の上側の支持面も、下側の底面も整一する。   Therefore, when the upper fork 85 is lowered most, the fingers 85b and 85c of the upper fork 85 and the fingers 86b and 86c of the lower fork 86 can overlap each other like a single plate when viewed from the side. At this time, the fingers 85b and 85c of the upper fork 85 are located on the same plane just outside the fingers 86b and 86c of the lower fork 86. At this time, at least the upper support surfaces of the forks 85 and 86 are made uniform. Here, since the thickness of both upper forks 85 and 86 is the same, the upper support surface and the lower bottom surface of both forks 85 and 86 are made uniform.

このような構成の搬送機構80を用いると、処理済み基板のカセット42への収納と、未処理基板のカセットからの取り出しとを同時に並行して行うことができ、スループットが向上する。搬送機構80は、回転系が1カ所で、他は全て直線摺動系であるから、高速且つ安定した動作を行うことができる。   When the transport mechanism 80 having such a configuration is used, storage of processed substrates in the cassette 42 and removal of unprocessed substrates from the cassette can be performed in parallel, thereby improving throughput. Since the transport mechanism 80 has one rotation system and all others are linear sliding systems, it can perform a high-speed and stable operation.

ロードロック室3a、3bは個別に任意の減圧雰囲気に設定し且つ維持することが可能となっている。従って、ロードロック室3a、3bは、個別動作可能なゲートバルブ9aを介して搬送室5に接続される一方、個別動作可能なゲートバルブ9bを介して外部雰囲気に接続される。   The load lock chambers 3a and 3b can be individually set and maintained in an arbitrary reduced pressure atmosphere. Accordingly, the load lock chambers 3a and 3b are connected to the transfer chamber 5 via the individually operable gate valve 9a, and are connected to the external atmosphere via the individually operable gate valve 9b.

この実施の形態において、両ロードロック室3a、3bは、図15図示の様な同一の内部構造を有する。即ち、各ロードロック室3a、3bは、水平な2段の基板支持レベルを有し、一度に2枚の基板Sを保持するように構成される。上段支持レベルは対向する一対のハンド91により規定され、下段支持レベルは対向する一対のハンド92により規定される。   In this embodiment, both load lock chambers 3a and 3b have the same internal structure as shown in FIG. That is, each of the load lock chambers 3a and 3b has two horizontal substrate support levels, and is configured to hold two substrates S at a time. The upper support level is defined by a pair of facing hands 91, and the lower support level is defined by a pair of facing hands 92.

各ハンド91、92は前方に向かって広がるように配設された一対のフィンガ93を有する。フィンガ93は内側壁に取付けられた駆動部94に取付けられ、駆動部94により、図15図示の位置と、フィンガ93が側壁に向かって退避する退避位置との間で旋回駆動される。   Each hand 91, 92 has a pair of fingers 93 arranged so as to spread forward. The finger 93 is attached to a drive unit 94 attached to the inner side wall, and is driven to rotate between the position shown in FIG. 15 and the retraction position where the finger 93 is retracted toward the side wall.

また、各ロードロック室3a、3bには底壁の下に配設された駆動部(図示せず)により上下に駆動される4本の支持ピン96が配設される。支持ピン96は、底壁の下に退避する退避位置と、ハンド91により規定される上段支持レベルよりも上に突出する突出位置との間で移動可能であると共に、任意の位置で停止可能となる。   Each load lock chamber 3a, 3b is provided with four support pins 96 that are driven up and down by a drive unit (not shown) provided below the bottom wall. The support pin 96 is movable between a retracted position retracted below the bottom wall and a projecting position projecting above an upper support level defined by the hand 91, and can be stopped at an arbitrary position. Become.

各フィンガ93が閉じて図15図示の位置にある時、各ハンド91、92により基板Sを対応の支持レベルに支持可能となる。反対に、各フィンガ93が退避位置に開くと、支持ピン96に支持された基板Sが、対向する一対のハンド91、91間、或いは一対のハンド92、92間を通過することができる。   When each finger 93 is closed and in the position shown in FIG. 15, the hands 91 and 92 can support the substrate S at a corresponding support level. On the contrary, when each finger 93 is opened to the retracted position, the substrate S supported by the support pins 96 can pass between the pair of opposed hands 91 and 91 or between the pair of hands 92 and 92.

更に、この実施の形態においては、搬送室5内に配設された搬送機構60xには、上下2フォーク66a、66bを有するキャッチャ66が具備されるが、バッファ枠体70は付設されていない。これは、上下2つのロードロック室3a、3bが配設されると共に、処理室2、4、6だけでなく、ロードロック室3a、3bにおいても、処理済み基板と未処理基板との交換操作を搬送機構60xの一進出動作で行うことができるため、バッファ枠体70を省略することができるからである。また、キャッチャ66をバッファ枠体70側に向ける必要がないため、キャッチャ66とベース68とは一つの中間アーム63で接続される。更に、ベース68は、シリンダ機構69を介して上下に駆動可能となっている。   Further, in this embodiment, the transport mechanism 60x disposed in the transport chamber 5 is provided with a catcher 66 having upper and lower two forks 66a and 66b, but no buffer frame 70 is attached. This is because the two upper and lower load lock chambers 3a and 3b are arranged, and in addition to the processing chambers 2, 4 and 6, not only the load lock chambers 3a and 3b but also the exchange operation between the processed substrate and the unprocessed substrate. This is because the buffer frame 70 can be omitted. Further, since the catcher 66 does not need to be directed toward the buffer frame 70, the catcher 66 and the base 68 are connected by a single intermediate arm 63. Further, the base 68 can be driven up and down via a cylinder mechanism 69.

上述のような構成により、各ロードロック室3a、3bにおいては、搬送機構60xの一進出動作で、処理済み基板と未処理基板との交換操作が可能となる。この操作は、処理室2、4、6の載置台10に支持ピン11と支持部材12とを配設することにより実現した、処理済み基板と未処理基板との交換操作と類似している。   With the configuration described above, in each of the load lock chambers 3a and 3b, the operation of exchanging the processed substrate and the unprocessed substrate can be performed by one advance operation of the transfer mechanism 60x. This operation is similar to the operation of exchanging the processed substrate and the unprocessed substrate realized by disposing the support pins 11 and the support members 12 on the mounting table 10 of the processing chambers 2, 4, 6.

なお、ロードロック室3a、3bの各ハンド91、92のフィンガ93が開閉可能であるのは、例えば、空き時間に処理済みの基板Sを上段支持レベルから下段支持レベルに移す等の付随的な動作に対応するためのものである。従って、処理済み基板と未処理基板との交換操作を搬送機構60xの一進出動作で行うためだけであれば、各ハンド91、92のフィンガ93は開閉動作せず、図15図示の位置に固定されたものでよい。   Note that the fingers 93 of the respective hands 91 and 92 of the load lock chambers 3a and 3b can be opened and closed, for example, when the processed substrate S is moved from the upper support level to the lower support level during the idle time. This corresponds to the operation. Accordingly, the finger 93 of each of the hands 91 and 92 is not opened / closed and is fixed at the position shown in FIG. 15 only when the exchange operation between the processed substrate and the unprocessed substrate is performed by a single advance operation of the transport mechanism 60x. It may be what was done.

次に、ロードロック室3a、3bにおいて、搬送室5の搬送機構60xにより処理済み基板と未処理基板とを交換する操作について説明する。ここでは、搬送機構60xの下フォーク66bに処理済み基板S1が支持され、ロードロック室3aのハンド91(上段支持レベル)に未処理基板S2が支持された状態を想定する。また、ロードロック室3aの上下段支持レベル間の間隔が搬送機構60xの上下段支持レベル間の間隔よりも十分大きく設定されるものとする。なお、ゲートバルブ9a等の付随的な操作の説明は省略する。   Next, in the load lock chambers 3a and 3b, an operation for exchanging a processed substrate and an unprocessed substrate by the transfer mechanism 60x of the transfer chamber 5 will be described. Here, it is assumed that the processed substrate S1 is supported by the lower fork 66b of the transport mechanism 60x, and the unprocessed substrate S2 is supported by the hand 91 (upper support level) of the load lock chamber 3a. Further, the interval between the upper and lower support levels of the load lock chamber 3a is set to be sufficiently larger than the interval between the upper and lower support levels of the transport mechanism 60x. A description of the incidental operation of the gate valve 9a and the like is omitted.

先ず、下フォーク66bで処理済み基板S1を支持するキャッチャ66を、ハンド91で未処理基板S2を支持するロードロック室3a内に挿入する。この時、キャッチャ66の上下フォーク66a、66bの両者がロードロック室3aの上下ハンド91、92間に位置するようにする。   First, the catcher 66 that supports the processed substrate S1 with the lower fork 66b is inserted into the load lock chamber 3a that supports the unprocessed substrate S2 with the hand 91. At this time, both the upper and lower forks 66a and 66b of the catcher 66 are positioned between the upper and lower hands 91 and 92 of the load lock chamber 3a.

次に、支持ピン96を上昇させ、支持ピン96によりキャッチャ66の下フォーク66bから処理済み基板S1を受取る。次に、支持ピン96と共にキャッチャ66を上昇させ、上フォーク66aによりハンド91から未処理基板S2を受取る。   Next, the support pin 96 is raised, and the processed substrate S1 is received from the lower fork 66b of the catcher 66 by the support pin 96. Next, the catcher 66 is raised together with the support pins 96, and the unprocessed substrate S2 is received from the hand 91 by the upper fork 66a.

次に、上フォーク66aで未処理基板S2を支持するキャッチャ66を搬送室5へ退避させる。次に、支持ピン96を下降させ、ハンド92(下段支持レベル)上に処理済み基板S1を載置する。   Next, the catcher 66 that supports the unprocessed substrate S <b> 2 by the upper fork 66 a is retracted to the transfer chamber 5. Next, the support pins 96 are lowered, and the processed substrate S1 is placed on the hand 92 (lower support level).

次に、上記操作に続いて、ロードロック室3a、3bにおいて、外部雰囲気側の搬送機構80により処理済み基板と未処理基板とを交換する操作について説明する。ここでは、ロードロック室3aのハンド92(下段支持レベル)に処理済み基板S1が支持され、搬送機構80の上フォーク85に未処理基板S3が支持された状態を想定する。なお、ゲートバルブ9b等の付随的な操作の説明は省略する。   Next, following the above operation, an operation of exchanging the processed substrate and the unprocessed substrate by the transfer mechanism 80 on the external atmosphere side in the load lock chambers 3a and 3b will be described. Here, it is assumed that the processed substrate S1 is supported by the hand 92 (lower support level) of the load lock chamber 3a and the unprocessed substrate S3 is supported by the upper fork 85 of the transport mechanism 80. A description of the incidental operation of the gate valve 9b and the like is omitted.

先ず、上フォーク85で未処理基板S3を支持する搬送機構80を、ハンド92で処理済み基板S1を支持するロードロック室3a内に挿入する。この時、搬送機構80の上下フォーク85、86間の間隔を予め広げておき、上下フォーク85、86間にロードロック室3aの上下ハンド91、92が位置するようにする。   First, the transport mechanism 80 that supports the unprocessed substrate S3 with the upper fork 85 is inserted into the load lock chamber 3a that supports the processed substrate S1 with the hand 92. At this time, the space between the upper and lower forks 85 and 86 of the transport mechanism 80 is widened in advance so that the upper and lower hands 91 and 92 of the load lock chamber 3 a are positioned between the upper and lower forks 85 and 86.

次に、搬送機構80の水平板84を上昇させながら、上フォーク85を下フォーク86に向けて移動させる。この操作により、上下フォーク85、86を上昇させながら両者間の間隔を狭めることができる。従って、上フォーク85から上ハンド91に未処理基板S3を載置すると共に、下フォーク86により下ハンド92から処理済み基板S1を受取ることができる。   Next, the upper fork 85 is moved toward the lower fork 86 while raising the horizontal plate 84 of the transport mechanism 80. By this operation, the distance between the upper and lower forks 85 and 86 can be narrowed while being raised. Accordingly, the unprocessed substrate S3 can be placed on the upper hand 91 from the upper fork 85, and the processed substrate S1 can be received from the lower hand 92 by the lower fork 86.

図16は図12乃至図15を参照して述べた実施の形態に係る真空処理装置におけるLCD基板Sの搬送シーケンスを順に示す説明図である。ここでは、処理室2、6で同一のエッチング処理を、処理室4でアッシング処理を行うことを想定している。図16においては混同を避けるため、(a)のみに処理装置の各室の参照符号を付してある。(b)〜(s)中の数字は、LCD基板Sである基板S1〜S8の係数のみを取上げて示すものである。   FIG. 16 is an explanatory view for sequentially showing the transfer sequence of the LCD substrate S in the vacuum processing apparatus according to the embodiment described with reference to FIGS. Here, it is assumed that the same etching process is performed in the processing chambers 2 and 6 and the ashing process is performed in the processing chamber 4. In FIG. 16, in order to avoid confusion, reference numerals for the respective chambers of the processing apparatus are attached only to (a). The numbers in (b) to (s) indicate only the coefficients of the substrates S1 to S8 which are the LCD substrates S.

先ず、下ロードロック室3bに基板S1、上ロードロック室3aに基板S2を導入する(図16(b)、(c))。次に、基板S1を下ロードロック室3bから搬送室5を経由し(図16(d))、処理室2にロードし、基板S1のエッチングを開始する。また、基板S1を処理室2にロードするのと並行して下ロードロック室3bに基板S3を搬入する(図16(e))。そして、基板S1の処理中、基板S2を上ロードロック室3aから搬送室5を経由し(図16(f))、処理室6にロードし、基板S2のエッチングを開始する。また、基板S2を処理室6にロードするのと並行して上ロードロック室3aに基板S4を搬入する(図16(g))。   First, the substrate S1 is introduced into the lower load lock chamber 3b, and the substrate S2 is introduced into the upper load lock chamber 3a (FIGS. 16B and 16C). Next, the substrate S1 is loaded from the lower load lock chamber 3b through the transfer chamber 5 (FIG. 16D) to the processing chamber 2, and etching of the substrate S1 is started. In parallel with loading the substrate S1 into the processing chamber 2, the substrate S3 is loaded into the lower load lock chamber 3b (FIG. 16E). Then, during the processing of the substrate S1, the substrate S2 is loaded from the upper load lock chamber 3a through the transfer chamber 5 (FIG. 16 (f)) into the processing chamber 6, and etching of the substrate S2 is started. In parallel with loading the substrate S2 into the processing chamber 6, the substrate S4 is loaded into the upper load lock chamber 3a (FIG. 16G).

次に、基板S1、S2の処理中、基板S3を下ロードロック室3bから搬送室5に移動する(図16(h))。更に、基板S2の処理中、エッチング処理済みの基板S1と基板S3とを搬送機構60xの一進出動作で交換し、基板S1を搬送室5にアンロードすると共に基板S3を処理室2にロードする。また、これと並行して、下ロードロック室3bに基板S5を搬入する(図16(i))。   Next, during the processing of the substrates S1 and S2, the substrate S3 is moved from the lower load lock chamber 3b to the transfer chamber 5 (FIG. 16 (h)). Further, during the processing of the substrate S2, the etched substrate S1 and the substrate S3 are exchanged by a single advance operation of the transfer mechanism 60x, the substrate S1 is unloaded into the transfer chamber 5, and the substrate S3 is loaded into the process chamber 2. . In parallel with this, the substrate S5 is carried into the lower load lock chamber 3b (FIG. 16 (i)).

次に、基板S2、S3の処理中、基板S1を搬送室5から処理室4にロードし、基板S1のアッシングを開始する(図16(j))。更に、基板S2、S3、S1の処理中、基板S4を上ロードロック室3aから搬送室5に移動する(図16(k))。そして、基板S3、S1の処理中、エッチング処理済みの基板S2と基板S4とを搬送機構60xの一進出動作で交換し、基板S2を搬送室5にアンロードすると共に基板S4を処理室6にロードする。また、これと並行して、上ロードロック室3aに基板S6を搬入する(図16(l))。   Next, during the processing of the substrates S2 and S3, the substrate S1 is loaded from the transfer chamber 5 into the processing chamber 4, and ashing of the substrate S1 is started (FIG. 16 (j)). Further, during the processing of the substrates S2, S3, and S1, the substrate S4 is moved from the upper load lock chamber 3a to the transfer chamber 5 (FIG. 16 (k)). Then, during the processing of the substrates S3 and S1, the etched substrate S2 and the substrate S4 are exchanged by a single advance operation of the transport mechanism 60x, the substrate S2 is unloaded into the transport chamber 5, and the substrate S4 is moved into the processing chamber 6. Load it. In parallel with this, the substrate S6 is carried into the upper load lock chamber 3a (FIG. 16 (l)).

次に、基板S3、S4の処理中、アッシング処理済みの基板S1と基板S2とを搬送機構60xの一進出動作で交換し、基板S1を搬送室5にアンロードすると共に基板S2を処理室4にロードする(図16(m))。更に、基板S3、S4、S2の処理中、処理完了基板S1と基板S5とを搬送機構60xの一進出動作で交換し、基板S1を下ロードロック室3bに戻すと共に基板S5を搬送室5に移動する(図16(n))。そして、基板S4、S2の処理中、エッチング処理済みの基板S3と基板S5とを搬送機構60xの一進出動作で交換し、基板S3を搬送室5にアンロードすると共に基板S5を処理室2にロードする。また、これと並行して、処理完了基板S1と基板S7とを外部雰囲気側の搬送機構80の一進出動作で交換し、基板S1を搬出すると共に基板S7を下ロードロック室3bに搬入する(図16(o))。   Next, during the processing of the substrates S3 and S4, the ashed substrate S1 and the substrate S2 are exchanged by a single advance operation of the transfer mechanism 60x, the substrate S1 is unloaded into the transfer chamber 5, and the substrate S2 is transferred to the processing chamber 4 (FIG. 16 (m)). Further, during the processing of the substrates S3, S4, and S2, the processed substrate S1 and the substrate S5 are exchanged by a single advance operation of the transfer mechanism 60x, the substrate S1 is returned to the lower load lock chamber 3b, and the substrate S5 is transferred to the transfer chamber 5. Move (FIG. 16 (n)). Then, during the processing of the substrates S4 and S2, the etched substrate S3 and the substrate S5 are exchanged by a single advance operation of the transfer mechanism 60x, the substrate S3 is unloaded into the transfer chamber 5, and the substrate S5 is moved into the process chamber 2. Load it. In parallel with this, the processing completion substrate S1 and the substrate S7 are exchanged by an advance operation of the transfer mechanism 80 on the external atmosphere side, the substrate S1 is unloaded, and the substrate S7 is loaded into the lower load lock chamber 3b ( FIG. 16 (o)).

以下、同様な操作を繰返すことにより(図16(p)〜(s))、基板S1〜S8を、それらの係数の小さい順に処理を完了して、真空処理装置から搬出することができる。   Thereafter, by repeating the same operation (FIGS. 16 (p) to (s)), the substrates S1 to S8 can be processed from the smallest of those coefficients and then unloaded from the vacuum processing apparatus.

以上のような処理においては、処理室及びロードロック室における基板の交換の高効率化により、従来にない極めて高いスループットを実現することができる。   In the processing as described above, an extremely high throughput unprecedented can be realized by increasing the efficiency of substrate replacement in the processing chamber and the load lock chamber.

なお、本発明は、上記実施の形態に限定されず、本発明の要旨の範囲内で種々変形が可能である。特に、処理装置の各特徴部分を各実施の形態に別けて述べたが、それらの特徴部分は、任意に組み合わせ可能である。例えば、図1図示の処理装置に、図12を参照して述べた搬送機構80や、図15を参照して述べたロードロック室3a、3bを用いることができる。また、図12図示の処理装置に、図3を参照して述べた搬送機構60や図4を参照して述べたロードロック室3を用いることができる。   In addition, this invention is not limited to the said embodiment, A various deformation | transformation is possible within the range of the summary of this invention. In particular, the respective characteristic parts of the processing apparatus have been described separately for each embodiment, but these characteristic parts can be arbitrarily combined. For example, the transfer mechanism 80 described with reference to FIG. 12 and the load lock chambers 3a and 3b described with reference to FIG. 15 can be used in the processing apparatus shown in FIG. In addition, the transport mechanism 60 described with reference to FIG. 3 and the load lock chamber 3 described with reference to FIG. 4 can be used in the processing apparatus shown in FIG.

更に、例えば、本発明は、単一の処理室を有する処理装置にも有効に適用することができ、真空処理に限らず常圧または陽圧の処理装置にも適用することができる。また、エッチング、アッシング装置に限らず、成膜装置等、他の種々の処理装置に適用することができる。更にまた、被搬送基板はLCD基板に限らず、半導体基板等、他の基板であってもよい。   Furthermore, for example, the present invention can be effectively applied to a processing apparatus having a single processing chamber, and can be applied not only to vacuum processing but also to a normal pressure or positive pressure processing apparatus. Further, the present invention can be applied not only to an etching and ashing apparatus but also to various other processing apparatuses such as a film forming apparatus. Furthermore, the substrate to be transported is not limited to the LCD substrate, but may be another substrate such as a semiconductor substrate.

本発明によれば、基板処理におけるスループットを向上させることが可能な半導体処理装置を提供することができる。   According to the present invention, it is possible to provide a semiconductor processing apparatus capable of improving throughput in substrate processing.

本発明の実施の形態に係る真空処理装置の概観を示す斜視図。The perspective view which shows the general view of the vacuum processing apparatus which concerns on embodiment of this invention. 図1図示の装置の内部を示す概略横断平面図。FIG. 2 is a schematic cross-sectional plan view showing the inside of the apparatus shown in FIG. 1. 図1図示の装置の搬送室内に配設された搬送機構及びバッファ枠体を示す斜視図。The perspective view which shows the conveyance mechanism and buffer frame which were arrange | positioned in the conveyance chamber of the apparatus shown in FIG. 図1図示の装置のロードロック室内に配設されたバッファラック及びポジショナを示す斜視図。The perspective view which shows the buffer rack and positioner which were arrange | positioned in the load lock room of the apparatus of FIG. 図1図示の装置の処理室における基板の交換操作を説明するための図。The figure for demonstrating the replacement | exchange operation | movement of the board | substrate in the processing chamber of the apparatus shown in FIG. 図1図示の装置の処理室における基板の交換操作を説明するための図。The figure for demonstrating the replacement | exchange operation | movement of the board | substrate in the processing chamber of the apparatus shown in FIG. 図1図示の装置の処理室における基板の交換操作を説明するための図。The figure for demonstrating the replacement | exchange operation | movement of the board | substrate in the processing chamber of the apparatus shown in FIG. 図1図示の装置の処理室における基板の交換操作を説明するための図。The figure for demonstrating the replacement | exchange operation | movement of the board | substrate in the processing chamber of the apparatus shown in FIG. 図1図示の装置の処理室において未処理基板を支持する支持部材の動作を示す図。The figure which shows operation | movement of the supporting member which supports a non-processed substrate in the processing chamber of the apparatus of FIG. 図1図示の装置の処理室において未処理基板を支持する支持部材の変形例を示す図。The figure which shows the modification of the supporting member which supports a non-processed substrate in the processing chamber of the apparatus of FIG. 図10図示の支持部材の動作を示す図。The figure which shows operation | movement of the supporting member of FIG. 本発明の別の実施の形態に係る真空処理装置の概観を示す斜視図。The perspective view which shows the general view of the vacuum processing apparatus which concerns on another embodiment of this invention. 図12図示の装置の内部を示す概略横断平面図。FIG. 13 is a schematic cross-sectional plan view showing the inside of the apparatus shown in FIG. 12. 図12図示の装置の内部を示す概略側面図。FIG. 13 is a schematic side view showing the inside of the apparatus shown in FIG. 12. 図12図示の装置のロードロック室の内部を示す概略斜視図。FIG. 13 is a schematic perspective view showing the inside of a load lock chamber of the apparatus shown in FIG. 12. 図12図示の装置における基板の搬送シーケンスを順に示す説明図。FIGS. 13A and 13B are explanatory diagrams sequentially illustrating a substrate transfer sequence in the apparatus illustrated in FIG. 12; FIGS.

符号の説明Explanation of symbols

2、4、6……処理室;3、3a、3b……ロードロック室;5……搬送室;10……載置台;11……支持ピン(第2の支持部材);12、12x……支持部材(第1の支持部材);30……バッファラック;42……LCD基板カセット;50……搬送機構;60、60x……搬送機構;62……アーム;66……キャッチャ;66a、66b……フォーク;80……搬送機構;85、86……フォーク;91、92……ハンド;S……LCD基板。   2, 4, 6 ... processing chamber; 3, 3a, 3b ... load lock chamber; 5 ... transport chamber; 10 ... mounting table; 11 ... support pin (second support member); ... support member (first support member); 30 ... buffer rack; 42 ... LCD substrate cassette; 50 ... transport mechanism; 60, 60x ... transport mechanism; 62 ... arm; 66b ... fork; 80 ... transport mechanism; 85, 86 ... fork; 91, 92 ... hand; S ... LCD substrate.

Claims (8)

矩形のガラス製LCD基板に対して半導体処理を施すための装置であって、
第1の側の面に取り付けられた第1のゲートを介して前記基板を搬出入可能で且つ減圧雰囲気に設定可能な第1のロードロック室と、
前記第1の側の面に取り付けられた第2のゲートを介して前記基板を搬出入可能で且つ第1のロードロック室とは個別に減圧雰囲気に設定可能であると共に、前記第1のロードロック室に対して上下に重なるように配設された第2のロードロック室と、
前記第1の側と反対側の前記第1及び第2のロードロック室の第2の側の面に取り付けられた前記基板が通過可能な第3及び第4のゲートを介して前記第1及び第2のロードロック室に夫々接続され且つ減圧雰囲気に設定可能な搬送室と、
前記搬送室にゲートを介して接続され且つ減圧雰囲気で前記半導体処理を行うための処理室と、
前記第1及び第2のロードロック室と前記処理室との間で前記基板を搬送するために前記搬送室内に配設された搬送機構と、
を具備し、
前記第1及び第2のロードロック室の少なくとも一方は、前記基板の1つを夫々支持可能で且つ上下に重なる第1及び第2の支持レベルと、前記第1及び第2の支持レベルに支持された前記基板に対して位置合わせを行う位置合わせ機構と、を有することを特徴とする半導体処理装置。
An apparatus for performing semiconductor processing on a rectangular glass LCD substrate,
A first load lock chamber capable of loading and unloading the substrate through a first gate attached to a first side surface and set in a reduced pressure atmosphere;
The substrate can be carried in and out via a second gate attached to the first side surface, and can be set in a reduced pressure atmosphere separately from the first load lock chamber, and the first load can be set. A second load lock chamber disposed so as to overlap vertically with respect to the lock chamber;
The first and second gates pass through the third and fourth gates through which the substrate attached to the second side surfaces of the first and second load lock chambers opposite to the first side can pass. A transfer chamber connected to each of the second load lock chambers and capable of being set to a reduced pressure atmosphere;
A processing chamber connected to the transfer chamber via a gate and performing the semiconductor processing in a reduced pressure atmosphere;
A transfer mechanism disposed in the transfer chamber for transferring the substrate between the first and second load lock chambers and the processing chamber;
Comprising
At least one of the first and second load lock chambers can support one of the substrates, and is supported by the first and second support levels that overlap each other and the first and second support levels. An alignment mechanism for aligning the substrate .
前記第1及び第2の支持レベルを有する前記第1及び第2のロードロック室の前記少なくとも一方は、前記第1及び第2の支持レベルを貫通して上下に移動可能で且つ前記基板を支持可能な複数の支持ピンを更に具備することを特徴とする請求項1に半導体処理装置。   The at least one of the first and second load lock chambers having the first and second support levels is movable up and down through the first and second support levels and supports the substrate. The semiconductor processing apparatus according to claim 1, further comprising a plurality of possible support pins. 前記第1及び第2の支持レベルの夫々は、前記基板を支持する開閉可能な一対のフィンガにより規定され、前記フィンガは閉状態において前記基板を支持し、開状態において前記基板が前記一対のフィンガ間を上下に通過することを許容することを特徴とする請求項1または2に記載の半導体処理装置。   Each of the first and second support levels is defined by a pair of openable and closable fingers that support the substrate, the fingers supporting the substrate in a closed state, and the substrate in the open state. The semiconductor processing apparatus according to claim 1, wherein the semiconductor processing apparatus is allowed to pass vertically between the two. 前記搬送機構が上下動可能であることを特徴とする請求項1乃至3のいずれかに記載の半導体処理装置。   The semiconductor processing apparatus according to claim 1, wherein the transport mechanism is movable up and down. 前記第1及び第2の支持レベルは、前記基板を支持する状態と支持しない状態との間で状態を切り替え可能であることを特徴とする請求項1乃至4のいずれかに記載の半導体処理装置。   5. The semiconductor processing apparatus according to claim 1, wherein the first and second support levels are switchable between a state in which the substrate is supported and a state in which the substrate is not supported. 6. . 前記第1及び第2の支持レベル間の間隔は、前記搬送機構に配設された前記基板の1つを夫々支持可能な上下段支持レベルの間隔よりも大きく設定されることを特徴とする請求項1乃至5のいずれかに記載の半導体処理装置。   An interval between the first and second support levels is set to be larger than an interval between upper and lower support levels capable of supporting one of the substrates disposed in the transport mechanism. Item 6. The semiconductor processing apparatus according to any one of Items 1 to 5. 前記位置合わせ機構は、前記基板を対角線方向に挟み込む態様で位置合わせを行うことを特徴とする請求項1乃至6のいずれかに記載の半導体処理装置。  The semiconductor processing apparatus according to claim 1, wherein the alignment mechanism performs alignment in a manner of sandwiching the substrate in a diagonal direction. 前記第1及び第2のロードロック室の前記少なくとも一方と前記搬送機構とは、前記搬送機構の一進出動作で、処理済み基板と未処理基板との交換操作ができるように構成されることを特徴とする請求項1乃至7のいずれかに記載の半導体処理装置。  The at least one of the first and second load lock chambers and the transport mechanism are configured so that a processed substrate and an unprocessed substrate can be exchanged by one advance operation of the transport mechanism. 8. The semiconductor processing apparatus according to claim 1, wherein the semiconductor processing apparatus is characterized in that:
JP2006105310A 1995-12-12 2006-04-06 Semiconductor processing equipment Expired - Lifetime JP4227623B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2006105310A JP4227623B2 (en) 1995-12-12 2006-04-06 Semiconductor processing equipment

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP32309495 1995-12-12
JP2006105310A JP4227623B2 (en) 1995-12-12 2006-04-06 Semiconductor processing equipment

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2004062576A Division JP3816929B2 (en) 1995-12-12 2004-03-05 Semiconductor processing equipment

Publications (2)

Publication Number Publication Date
JP2006216982A JP2006216982A (en) 2006-08-17
JP4227623B2 true JP4227623B2 (en) 2009-02-18

Family

ID=36979870

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006105310A Expired - Lifetime JP4227623B2 (en) 1995-12-12 2006-04-06 Semiconductor processing equipment

Country Status (1)

Country Link
JP (1) JP4227623B2 (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10541157B2 (en) 2007-05-18 2020-01-21 Brooks Automation, Inc. Load lock fast pump vent
JP5795162B2 (en) 2007-05-18 2015-10-14 ブルックス オートメーション インコーポレイテッド Loadlock fast exhaust and ventilation
JP5141166B2 (en) * 2007-09-28 2013-02-13 東京エレクトロン株式会社 Semiconductor device manufacturing method, semiconductor manufacturing apparatus, and storage medium
JP5037551B2 (en) * 2009-03-24 2012-09-26 東京エレクトロン株式会社 Substrate replacement mechanism and substrate replacement method
JP5549441B2 (en) * 2010-01-14 2014-07-16 東京エレクトロン株式会社 Holder mechanism, load lock device, processing device, and transport mechanism
US9929029B2 (en) * 2015-10-15 2018-03-27 Applied Materials, Inc. Substrate carrier system
JP6994312B2 (en) * 2017-07-05 2022-01-14 オリンパス株式会社 Board support structure for electronic devices

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09104982A (en) * 1995-08-05 1997-04-22 Kokusai Electric Co Ltd Substrate treating device
JP3816929B2 (en) * 1995-12-12 2006-08-30 東京エレクトロン株式会社 Semiconductor processing equipment

Also Published As

Publication number Publication date
JP2006216982A (en) 2006-08-17

Similar Documents

Publication Publication Date Title
JP3650495B2 (en) Semiconductor processing apparatus, substrate replacement mechanism and substrate replacement method thereof
US5989346A (en) Semiconductor processing apparatus
JP4642619B2 (en) Substrate processing system and method
JP4227623B2 (en) Semiconductor processing equipment
JP4416323B2 (en) Substrate processing apparatus, substrate processing system, and substrate processing method
JP3139155B2 (en) Vacuum processing equipment
US8181769B2 (en) Workpiece transfer mechanism, workpiece transfer method and workpiece processing system
JP3030160B2 (en) Vacuum processing equipment
KR101321612B1 (en) Substrate position alignment mechanism, vacuum prechamber and substrate processing system having same
KR20010025065A (en) In-situ substrate transfer shuttle
JP2000299367A (en) Processing apparatus and transfer method of article to be processed
JP3350234B2 (en) Object buffer device, processing device using the same, and method of transporting the same
JPH06314729A (en) Vacuum processing apparatus
TW514972B (en) Vacuum processing apparatus
JP3816929B2 (en) Semiconductor processing equipment
JP3554534B2 (en) Substrate support mechanism and substrate exchange method for semiconductor processing apparatus, and semiconductor processing apparatus and substrate transfer apparatus
JP3570827B2 (en) Processing equipment
JPH09205127A (en) Carrying of substrate, substrate carrier device and treatment system
JP2002237507A (en) Processing system, and method for conveying element to be processed of the processing system
JP3662154B2 (en) Substrate processing system
JPH06252245A (en) Vacuum processing equipment
CN113169107A (en) Load lock chamber
JPH03241853A (en) Processing device
JP3242145B2 (en) Substrate transfer device
JPH1012695A (en) Processing device

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080708

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080828

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20081125

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20081128

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111205

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111205

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141205

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term