JPH09104991A - 等方性シリコンエッチング方法 - Google Patents

等方性シリコンエッチング方法

Info

Publication number
JPH09104991A
JPH09104991A JP8102931A JP10293196A JPH09104991A JP H09104991 A JPH09104991 A JP H09104991A JP 8102931 A JP8102931 A JP 8102931A JP 10293196 A JP10293196 A JP 10293196A JP H09104991 A JPH09104991 A JP H09104991A
Authority
JP
Japan
Prior art keywords
silicon
mask oxide
plasma
oxide
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP8102931A
Other languages
English (en)
Inventor
Elke Eckstein
エックシュタイン エルケ
Birgit Hoffman
ホフマン ビルギト
Edward W Kiewra
ダブリュ キーウラ エドワード
Waldemar Walter Kocon
ウォルター ココン ヴァルデマール
Marc Jay Weiss
ジェイ ワイス マーク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Siemens AG
International Business Machines Corp
Original Assignee
Siemens AG
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Siemens AG, International Business Machines Corp filed Critical Siemens AG
Publication of JPH09104991A publication Critical patent/JPH09104991A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/963Removing process residues from vertical substrate surfaces

Abstract

(57)【要約】 【目的】 高密度半導体装置作成上タングステンCD及
びCGスタッドの作製において使用される一時的(テン
ポラリ)ハードマスクを除去するための方法であって、
ここで、実質的に当該の技術分野の欠点及び制約により
生じる問題点を1つ以上回避すること。 【構成】 等方性シリコンエッチング方法において、ウ
ェーハにタングステンスタッドを施し、該タングステン
スタッドはこれに接触(コンタクト)するマスク酸化物
及びシリコンを有し、後面ヘリウム冷却をウェーハに施
し、アルゴンCF4/CHF3を3:1の比でマスク酸
化物をプラズマ除去(剥離)し、後面ヘリウム冷却部を
取り外し、除去し、そして、エッチャントでシリコンを
プラズマ除去(剥離)すること。

Description

【発明の詳細な説明】
【0001】
【発明が属する分野】本発明は一般的には半導体装置の
作製方法に関し、もっと詳しくは高密度半導体装置、た
とえば64MbyteDRAMチップにおいてタングス
テンスタッド形成に際して使用されるハードマスク酸化
物及び一時的(テンポラリ)(犠牲的)シリコンマンド
レルを除去するための等方性エッチング方法に関する。
【0002】
【従来の技術】ボーダレス(境界のない)拡散及びボー
ダレスコンタクト部構造は回路集積密度を増大させる有
効なアプローチである。例えば完全にボーダレス拡散コ
ンタクトはDROMアレイにてゲート導体及びデバイス
絶縁領域とオーバーラップすることが許容される。それ
により生成されるDRAMセル面積は完全充填拡散コン
タクト(これは例えば著述者D.Kenney et
al.,”A Buried−Plate Trenc
h Cell for a 64−MB DRAM”,
1992 Symposium on VLSI Te
chnology,Seattle,WA.に記載され
ているようなもの)に比して、ほぼ40%だけ低減され
得る。更にボーダレスゲート導体コンタクトも、亦、絶
縁領域とオーバーラップすることを許容される。
【0003】従来の作製方法(プロセス)はコンタクト
ヴィア(ホール)(vias)作製のための一時的(犠
牲的)なマンドレル構造を要する。当該のマンドレルは
化学機械的ポリシング(研磨)(CMP)により例えば
次のようなもののレベルへ広範に(グローバルに)平坦
化され得る、即ち、ゲートギャップ誘電体にポリシリコ
ン拡散層(これはマスク酸化物に対するエッチストップ
として用いられる)をプラス(付加)したもののレベル
に平坦化され得る。
【0004】当該層上にはハードマスクが酸化物層が形
成される。それらポリシリコン層は酸化物ハードマスク
及びフッ素フリー(のない)化学的手法を使用して異方
的にエッチングされ、以て、ゲート−ギャップ誘導体の
エッチングレートに対するポリシリコンのエッチングレ
ートの高い選択性(>50:1)が得られ、それにより
マンドレルにおける高アスペクト比のヴィア(ホール)
が得られる。それらの高アスペクト比のヴィア(ホー
ル)(vias)で化学的蒸着デポジション(CVD)
されたタングステン(W)で充填され、そして、タング
ステンはハードマスク酸化物層のところまでポリシング
(研磨)して、減摩されて、拡散部に対するコンタクト
部(CD)及びゲートに対するコンタクト部(CG)ス
タッドを形成する。
【0005】CD及びCGスタッドが一度形成されると
ハードマスク酸化物及び、一時的(テンポラリ)マンド
レル層を除去し、そして、絶縁体と置換することが必要
である。従来の技術ではフッ素プロセス過程を使用して
いるが少なくとも2つの問題が起きる。第1にフッ素プ
ロセス過程はポリシリコンの除去に有効であるが、タン
グステンも全く容易にエッチングされる。第2の問題は
重合度の高いフッ素化学技術は反応性イオンエッチング
(RIE)チェンバの“動きを悪く(邪魔を)する”傾
向があり、頻繁のクリーニング間隔を要する。
【0006】それらのフッ素エッチングプロセスの欠陥
に鑑みて、Cl/HBr(塩素/臭化水素)プロセス
がポリシリコンをエッチングするために使用された。然
しそれらのCl2/HB2プロセスも欠点を有する。第
一にCl/HBrプロセスは等方性でなく、従って、
当該プロセスはゲートスタックのサイドウォール(側
壁)上に、スタッド巻のリークを生じさせるのに十分な
残留ポリシリコンを残す。第2にClも、回路開放状
態を生じさせ得るタングステンスタッドの高さを低減す
る。
【0007】ホトレジストに対して選択性を以てシリコ
ン及びポリシリコンをエッチングするための単一の種エ
ッテャントとしてHBrを使用する等方性プロセスも使
用されて来たが、それらのプロセスはタングステンに対
して選択性を有しない。亦、当該プロセスも等方性であ
るのでタングステンスタッドのベースにおけるポリシリ
コンストリンガを除去しない。
【0008】従ってタングステンスタッド又は誘電体ゲ
ートキャップを過度に除去することなくサイドウォール
(側壁)上に残るシリコンを異方的にエッチングする方
法の必要性が存する。
【0009】
【発明が解決しようとする課題】本発明の目的ないし課
題とするところは高密度半導体装置作成上タングステン
CD(Contact to Diffusion:拡
散に対するコンタクト部)及びCG(Contact
to Gate:ゲートに対するコンタクト部)スタッ
ドの作製において使用される一時的(テンポラリ)ハー
ドマスクを除去するための方法であって、ここで、実質
的に当該の技術分野の欠点及び制約により生じる問題点
を1つ以上回避するものでる。
【0010】亦、本発明のさらなる目的とするところは
タングステンスタッドの損失を低減し、そして、マンド
レル拡張部厚さの減少を行わせ得ることにある。CGエ
ッチングの際のCG孔の拡開度の低減は好ましい、それ
というのは当該の孔の拡開によってはCDとCG間のシ
ョートを増大させるからである。
【0011】
【課題を解決するための手段】本発明によれば等方性シ
リコンエッチング方法は次のようなステップを有する、
即ち、(1)ウェーハにタングステンスタッドを施し、
該タングステンスタッドはこれに接触(コンタクト)す
るマスク酸化物及びシリコンを有し、(2)後面ヘリウ
ム冷却をウェーハに施し、(3)CF/CHF及び
アルゴンを約3:1の比で用いてマスク酸化物をプラズ
マ除去(剥離)し、(4)後面ヘリウム冷却部を取り外
し、除去し(5)エッチャントでシリコンをプラズマ除
去(剥離)するというステップを有するのである。
【0012】本発明の独立形式の請求項による他の側面
によれば、等方性シリコンエッチング方法において下記
ステップを有し、即ち、(1)ウェーハにタングステン
スタッドを施し、該タングステンスタッドはこれに接触
(コンタクト)するマスク酸化物及びシリコンを有し、
(2)後面ヘリウム冷却を、約12Torr圧力でウェ
ーハに施し、(3)終端点(エンドポイント)検出(手
法)の使用下でCF/CHF及びアルゴンを約3:
1の比で用いてマスク酸化物をプラズマ除去(剥離)
し、(4)終端点(エンドポイント)検出時間の約28
%の期間中マスク酸化物をオーバーエッチングし、
(5)後面ヘリウム冷却部を取り外し、除去し(6)エ
ッチャントでシリコンをプラズマ除去(剥離)するとい
うステップを有するのである。
【0013】上記除去プロセスはゲートスタック及びタ
ングステンスタッドの形成に続いて行われ、そして、ゲ
ートキャップ誘電体及びタングステン双方に対して選択
性を以てエッチングすることを要する。ゲートキャップ
誘電体は非ドープ状態のポリシリコン、ドープした(状
態の)ポリシリコン又は単結晶シリコンであり得る。開
示された等方性プロセスはタングステン及びゲートキャ
ップ誘電体に関してシリコンをエッチングするために反
応する単一の種として臭化水素(HBr)を使用する。
【0014】残留するシリコンストリンガはHBrエッ
チング中ウェーハの後面の通常のヘリウム(He)冷却
を終結させることによりサブストレート温度を増大させ
て除去される。亦NF3及びSF6での等方性エッチン
グは残留シリコンストリンガを除去するために使用され
るがタングステンスタッドの高さはフッ素化学プロセス
の結果失われる。
【0015】本発明の有利な実施例の以降の詳細な説明
から、他の目的、アスペクト比及び利点が図に関連して
一層明らかになる。
【0016】
【実施例】図1ないし図4を参照に本発明の実施例を説
明する。
【0017】図1には全体を参照番号で示す半導体装置
の横断面を示し、上記半導体装置シリコンエッチングプ
ロセス前の一時的シリコンマンドレル及びハードマスク
酸化物により取り囲まれたCDスタッドがゲートスタッ
クを有する。
【0018】本発明のシリコンエッチング方法を“ポリ
シリコン”層13及び15の除去に関連して説明する
が、層13及び15は非ドーピング状態のポリシリコン
のみならず、ポリシリコン又は単一のシリコンから形成
され得る。本発明のプロセスパラメータは以降更に述べ
る如く層13,15において利用されるシリコンの形式
(タイプ、種類)に無関係に同じである。
【0019】図1の構造を説明する。半導体装置はサブ
ストレート(基板)10を有し、該サブストレート(基
板)上にはゲート導体11が形成されている。ゲート導
体11は窒化シリコン(珪素)又は2酸化シリコン(珪
素)によりカバーされ、そして、この構造上にはマンド
レルポリシリコン層13が形成されている。この層13
は化学ー機械的ポリシリコン(研磨)(CMP)により
ゲートキャップ誘電体のレベルにまで、平坦化されてい
る。当該のマンドレルポリシリコン層13は拡張(延
長)されポリシリコン層15が形成されている。ハード
マスク酸化物層19は拡張(延長)層15上に形成さ
れ、ポリシリコン層13、15内に形成される開口部を
形成する。
【0020】ポリシリコン層はマスク及びフッ素フリー
の(のない)エッチング化学技術を用いてエッチングさ
れ、ポリシリコンマンドレル内に高いアスベスト比のヴ
ィア(ホール)が得られる。それらのヴィア(ホール)
はCVDタングステンデポジションを用いて充填され、
それに続いてタングステンCMFが施されて、CDスタ
ッド12が形成される。ハードマスク酸化物18及び一
時的ポリシリコン充填物(これはマンドレル層13及び
延長層15を含む)はゲート酸化物キャップに対して選
択的にボーダレスのコンタクト部をエッチングするため
に必要である。上記目的を達成後ハードマスク酸化物及
びポリシリコン充填物は絶縁体により置換されねばなら
ない。
【0021】後続するマンドレル除去(剥離)プロセス
の目的はタングステンスタッド及びゲートキャップ誘電
体に対する腐食作用を最小化しながらスタッドの周り及
びゲートスタック間のポリシリコン充填物及びマンドレ
ル酸化物のすべてを除去することである。反応性イオン
エッチング(RIE)は先ずハードマスク層18を除去
(剥離)するために使用される(図2参照)。それによ
りタングステンスタッド12に対して最小の腐食を以て
のマスク酸化物除去が行われる。主に炭素又はフッ素か
ら成る重合層又はフィルム17マスク酸化物(除去)R
IEの際形成される。当該のポリマー(重合体)膜は、
タングステンがエッチングされるのを防ぐのに役立ち、
斯くて、マスク酸化物(除去)RIE中それの高さが維
持される。マスク酸化物(エッチング)RIE中の重合
体(ポリマー)デポジションが要求される、それという
のはそうしないと自由なフッ素がタングステンを腐食す
ることとなるからである。
【0022】等方性エッチングはマンドレルポリシリコ
ン除去(剥離)プロセスに対して要求される、それとい
うのは異方性エッチングが使用されると仮定すれば、ス
タッド12の頂部上の重合体(ポリマー)キャップ17
がポリシリコンをマスクすることとなるからである。
【0023】図3はHBr化学手法を用いてのマンドレ
ルポリシリコン層13を除去(剥離)する従来の方法を
示す。具合の悪いことには当該の方法を使用する場合、
いくつかのマンドレルポリシリコン残留ストリンガ19
は当該のエッチングステップに続いてゲート絶縁体16
のベースに付着した侭である。それらのストリンガ19
によってはスタッド相互間のリークが生ぜしめられ、従
って、除去されねばならない。
【0024】従来は等方性NF/SFRIEを用い
てマンドレルポリシリコンストリンガ19は除去され
た。当該のクリーンアップ中CDスタッドの高さの減失
は図4に示すようにタングステンに対するフッ素の腐食
作用の故に生ぜしめられた。このことは受容し難い結果
的状態である。それというのは作製プロセスにおける金
属の次の層がスタッドに接触する必要があるからであ
る。そのように直接接触しなければスタッドが過度に低
い場合には回路開放状態が生ずるからである。
【0025】図5は本発明の方法の過程のフローチャー
トを示し、当該の方法過程によっては残留するポリシリ
コンストリンガ19を除去するという問題を克服し、而
もCDスタッドの高さの減少を最小限にとどめるもので
ある。
【0026】第1にウェーハ、例えば、図1中の半導体
装置内エッチングの用意ができており、ステップ20が
用意される(図5参照)。ステップ20ではハードマス
ク酸化物18は酸化物エッチングを用いてほぼ240m
Torr圧力、1200w電力、そして、1.015c
mの電極ギャップによりエッチングされる。ハードマス
ク酸化物はシリコン酸化物(S)であり得、該酸
化物は多くの手法でデポジションされ得、例えば、テト
ラエチルオルトシリケート(オルト珪酸塩)(tetr
aethylorthosilicate)(TEO
S)の“クラッキング(分解)”Sの蒸発により
デポジションされ得る。TEOSとは別のプリカーソル
(プレデポジション)での他の化学的蒸発デポジショ
ン、例えばS及びNOもマスク酸化物を形成す
るためにも使用され得る。
【0027】マスク酸化物エッチングは200sccm
のAr、60sccmのCF及び20sccmのCF
の近似的組合せを使用する。ヘリウム(He)クラン
プ圧力は当該ステップ中12Torrに保たれる。酸化
物エッチングは従来の終端点検出を用いてストップさ
れ、ここで、上記の終端点検出をでは光学的スペクトロ
メータを用いて所望の波長の強さを測定する。
【0028】ステップ30では完全なハードマスク酸化
物除去を確保するためウェーハは、オーバーエッチング
され得る。このステップではウェーハは、先行ステップ
20におけると同じ環境、条件下に晒される、若しく
は、露出される(ステップ20における初期酸化物エッ
チングの時間の約28%の期間中)。
【0029】次の3つのステップ40、50及び60は
本発明によるポリシリコンエッチングプロセスを示す。
すべての3つのステップ中、ヘリウムクランプ圧はゼロ
(0)Torrにセッティングされる。当該の後面(バ
ックサイド)冷却部を除去する(取り外す)ことによ
り、ステップ40ないし60に対するウェーハ温度は2
60°cのオーダに達する。亦、すべての3つのステッ
プ中ポリシリコンでは約300sccmでHBr化学手
法を用いてプラズマ除去(剥離)される(その間電力は
約575Wで一定に保持される)。
【0030】ステップ40では拡張されたポリシリコン
層15がエッチングされる。ウェーハは650mTor
rの圧力にさらされ、そして電極ギャップは先行ステッ
プにおける1.015から1.3cmに拡げられる。
【0031】次のポリシリコンエッチングステップ50
では圧力は350mTorrに低下され、そして電極ギ
ャップは0.8mに低減される。当該エッチングステッ
プのマンドレルポリシリコン層13と拡張されたポリシ
リコン層15との間の如何なるインターフェースをも
“ブレークスルー”するために実施される。
【0032】最終ポリシリコンエッチングステップ60
0はマンドレルポリシリコン層13がエッチングされ
る。当該ステップに対して、圧力は650mTorrに
戻され、そして、電極ギャップは再び1.3cmまでに
拡げられる。これは3つのポリシリコンエッチングステ
ップのうち最も長く、約125sec持続する。図6は
ステップ6の完了後の生じるゲートスタック及びCDス
タッドの横断面図である。
【0033】本発明の方法はポリシリコンストリンガを
除去するのに有効であり、次のような場合にも有効であ
る、即ち上記のプロセスパラメータ、ターゲット目標値
(例えば電力、圧力、ガス流速(レート)、時間及び電
極ギャップ間隔)が約+/−20%変化する場合にも有
効である。
【0034】ステップ40ないし60にてClの不使
用により、そして、後面冷却部の除去(取り外し)によ
り(ゼロ(0)Torrにてヘリウムクランプ圧力)、
ポリシリコンのエッチング選択性のタングステンのエッ
チング選択性に対する割合は6:1から15:1へ増大
する。亦、ポリシリコンストリンガをサイドウォール
(側壁)から除去する際、タングステンスタッド及びゲ
ートキャップ誘電体の双方に対するポリシリコンの高い
選択性が維持される。
【0035】酸化物エッチングステップ(20及び3
0)及びシリコンエッチングステップ(40〜60)は
同じチェンバは別個のチェンバ内にて実施され得る。酸
化物エッチングで電極ギャップの変化を行い、次いで、
同一チェンバ内でシリコンエッチングステップを行い、
又は当該機能を実施するため2つの別個のチェンバを使
用し得る。
【0036】然し、別個のチェンバを使用するのに利点
が得られる。第1にステップ20及び30にて使用され
るフッ素(F)を、ステップ40〜60にて使用される
HBr化学手法ステップから分離する。亦、シリコンエ
ッチングプロセス(即ちシリコンをタングステン及び誘
導体に対して選択的にエッチングするプロセスにおいて
は別個のチェンバ使用の際、一層良好な成果が得られ
る。
【0037】有利な実施例に関して本発明を説明した
が、本発明は規定された請求項の範囲及び思想の枠内で
変化実施し得ることは当業者には認識され得るのであ
る。
【0038】
【発明の効果】本発明によれば、高密度半導体装置作成
上タングステンCD(Contactto Diffu
sion,拡散に対するコンタクト部)及びCG(Co
ntact to Gate:ゲートに対するコンタク
ト部)スタッドの作製において使用される一時的(テン
ポラリ)ハードマスクを除去するための方法であって、
ここで、実質的に当該の技術分野の欠点及び制約により
生じる問題点を1つ以上回避すること、及びタングステ
ンスタッドの損失を低減し、そして、マンドレル拡張部
厚さの減少を行わせ得ることという効果が得られる。
【図面の簡単な説明】
【図1】マンドレルエッチング前のゲートスタック及び
タングステンCDスタッドの横断面図である。
【図2】ハードマスク酸化物除去後図1におけるようゲ
ートスタック及びタングステンCDスタッドの横断面図
である。
【図3】マンドレルポリシリコンエッチングの後のゲー
トスタック及びタングステンCDスタッドの横断面図で
ある。
【図4】NF1/SF6によるクリーンアップエッチン
グ後のゲートスタック及びタングステンCDスタッドの
横断面図である。
【図5】本発明の方法の各ステップのフローチャートを
示す図である。
【図6】本発明のよればポリシリコンがHBr化学手法
除去(剥離)(除去)され、冷却が遮断された後のゲー
トスタック及びCDスタッドの横断面を示す図である。
【符号の説明】
10 半導体装置 11 ゲート導体 12 CDスタッド 13 ポリシリコン層 14 サブストレート(基板) 15 ポリシリコン層 16 ゲートキャップ誘電体 17 重合体(ポリマー) 18 ハードマスク酸化物層
フロントページの続き (72)発明者 エルケ エックシュタイン フランス国 パリ リュ カセト 20 (72)発明者 ビルギト ホフマン ドイツ連邦共和国 ペントリング アーホ ルンシュトラーセ 7 (72)発明者 エドワード ダブリュ キーウラ スイス国 キルヒベルク シュッツェンマ ットシュトラーセ 27−ベー (72)発明者 ヴァルデマール ウォルター ココン アメリカ合衆国 ニュー ヨーク ワッピ ンガーズ フォールズ ヴァリー ロード 25 (72)発明者 マーク ジェイ ワイス アメリカ合衆国 ニュー ヨーク ニュー ヨーク ヨーク アヴェニュー 1675 アパートメント 28デー

Claims (20)

    【特許請求の範囲】
  1. 【請求項1】 等方性シリコンエッチング方法において
    下記ステップを有しウェーハにタングステンスタッドを
    施し、該タングステンスタッドはこれに接触(コンタク
    ト)するマスク酸化物及びシリコンを有し、 後面ヘリウム冷却をウェーハに施し、 CF/CHF及びアルゴンを約3:1の比で用いて
    マスク酸化物をプラズマ除去(剥離)し、 後面ヘリウム冷却部を取り外し、除去しエッチャントで
    シリコンをプラズマ除去(剥離)することを特徴とする
    等方性シリコンエッチング方法。
  2. 【請求項2】 シリコンを非ドープ状態のポリシリコ
    ン、ドープ(した)状態のポリシリコン、単結晶シリコ
    ンから成る集合体(群)の中から選ぶことを特徴とする
    請求項1記載の方法。
  3. 【請求項3】 シリコンをプラズマエッチング除去(剥
    離)するステップはエッチャントとして、HBrを使用
    する請求項1記載の方法。
  4. 【請求項4】 当該方法プロセスはタングステンに対し
    て著しく高い選択性を以て施される請求項3記載の方
    法。
  5. 【請求項5】 当該方法プロセスは2酸化珪素、又は窒
    化珪素に対して著しく高い選択性を以て施される請求項
    4記載の方法。
  6. 【請求項6】 前記マスク酸化物はシリコン酸化物(S
    )であるようにした請求項1記載の方法。
  7. 【請求項7】 マスク酸化物を生成するステップは多く
    の手段、手法のうちから選択され得、前記の多くの手
    法、手段は下記のものから成る。即ちテトラエチルオル
    トシリケート(オルト珪酸塩)(tetraethyl
    orthosilicate)(TEOS)のクラッキ
    ング(分解)、Sからのスパッタリング及びシリ
    コン酸化物(S)蒸発、蒸着から成る請求項6記
    載の方法。
  8. 【請求項8】 S及びNOの化学蒸着デポジシ
    ョンによりマスク酸化物を形成するステップを有する請
    求項6記載の方法。
  9. 【請求項9】 マスク酸化物をプラズマ除去(剥離)す
    るステップは次のような適用条件の近似的組み合わせを
    使用し、、即ち、200sccmにてAr、60scc
    mにてSF、20sccmにてCHFの適当な組合
    せを200mTorr圧力、1200w電力及び1.0
    15cmの電極ギャップの当該の近似的組み合わせを使
    用するようにした請求項1記載の方法。
  10. 【請求項10】 シリコン層をプラズマ除去(剥離)除
    去するステップは、次のような適用条件の近似的組み合
    わせを使用し、即ち、HBrを300sccmにて、6
    50mTorr圧力、575w電力及び1.3cmの電
    極ギャップを以て、約20秒(sec)間使用し、次い
    で、350mTorr圧力、575w電力及び0.8c
    mの電極ギャップを以て、約20秒(sec)間使用
    し、更に、次いで、650mTorr圧力、575w電
    力及び1.3cmの電極ギャップを以て、約125秒
    (sec)間使用するという適用条件の近似的組み合わ
    せを使用するようにした請求項3記載の方法。
  11. 【請求項11】 等方性シリコンエッチング方法におい
    て下記ステップを有しウェーハにタングステンスタッド
    を施し、該タングステンスタッドはこれに接触(コンタ
    クト)するマスク酸化物及びシリコンを有し、 後面ヘリウム冷却を、 約12Torr圧力でウェーハ
    に施し、 終端点(エンドポイント)検出(手法)の使用下でアル
    ゴン及びCF/CHFを約3:1の比でを用いてマ
    スク酸化物をプラズマ除去(剥離)し、 終端点(エンドポイント)検出時間の約28%の期間中
    マスク酸化物をオーバーエッチングし、 後面ヘリウム冷却部を取り外し、除去しエッチャントで
    シリコンをプラズマ除去(剥離)することを特徴とする
    等方性シリコンエッチング方法。
  12. 【請求項12】 シリコンを非ドープ状態のポリシリコ
    ン、ドープ状態のポリシリコン、単結晶シリコンから成
    る集合体(群)の中から選ぶことを特徴とする請求項1
    1記載の方法。
  13. 【請求項13】 シリコンをプラズマエッチング除去
    (剥離)するステップはエッチャントとして、300s
    ccmにて、HBrを使用する請求項11記載の方法。
  14. 【請求項14】 当該方法プロセスはタングステンに対
    して著しく高い選択性を以て施される請求項13記載の
    方法。
  15. 【請求項15】 当該方法プロセスは2酸化珪素、又は
    窒化珪素に対して著しく高い選択性を以て施される請求
    項13記載の方法。
  16. 【請求項16】 前記マスク酸化物はシリコン酸化物
    (S)であるようにした請求項11記載の方法。
  17. 【請求項17】 マスク酸化物を生成するステップは多
    くの手段、手法のうちから選択され得、前記の多くの手
    法、手段は下記のものから成る。即ちテトラエチルオル
    トシリケート(オルト珪酸塩)(tetraethyl
    orthosilicate)(TEOS)のクラッキ
    ング(分解)、Sからのスパッタリング及びシリ
    コン酸化物(S)蒸発、蒸着から成る請求項16
    記載の方法。
  18. 【請求項18】 S及びNOの化学蒸着デポジ
    ションによりマスク酸化物を形成するステップを有する
    請求項16記載の方法。
  19. 【請求項19】 マスク酸化物をプラズマ除去(剥離)
    するステップは次のような適用条件の近似的組み合わせ
    を使用し、即ち、200sccmにてAr、60scc
    mにてSF、20sccmにてCHFの適当な組合
    せを200mTorr圧力、1200w電力及び1.0
    15cmの電極ギャップの当該の近似的組み合わせを使
    用するようにした請求項11記載の方法。
  20. 【請求項20】 シリコン層をプラズマ除去(剥離)除
    去するステップは、次のような適用条件の近似的組み合
    わせを使用し、即ち、HBrを300sccmにて、6
    50mTorr圧力、575w電力及び1.3cmの電
    極ギャップを以て、約20秒(sec)間使用し、次い
    で、350mTorr圧力、575w電力及び0.8c
    mの電極ギャップを以て、約20秒(sec)間使用
    し、更に、次いで、650mTorr圧力、575w電
    力及び1.3cmの電極ギャップを以て、約125秒
    (sec)間使用するという当該の適用条件の近似的組
    み合わせを使用するようにした請求項13記載の方法。
JP8102931A 1995-04-27 1996-04-24 等方性シリコンエッチング方法 Pending JPH09104991A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/430,011 US5670018A (en) 1995-04-27 1995-04-27 Isotropic silicon etch process that is highly selective to tungsten
US08/430011 1995-04-27

Publications (1)

Publication Number Publication Date
JPH09104991A true JPH09104991A (ja) 1997-04-22

Family

ID=23705701

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8102931A Pending JPH09104991A (ja) 1995-04-27 1996-04-24 等方性シリコンエッチング方法

Country Status (7)

Country Link
US (1) US5670018A (ja)
EP (1) EP0740334B1 (ja)
JP (1) JPH09104991A (ja)
KR (1) KR100432984B1 (ja)
AT (1) ATE234508T1 (ja)
DE (1) DE69626562T2 (ja)
TW (1) TW299469B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008306067A (ja) * 2007-06-08 2008-12-18 Elpida Memory Inc コンタクトプラグの形成方法および半導体装置の製造方法
JP2014075567A (ja) * 2012-09-13 2014-04-24 Tokyo Electron Ltd 被処理基体を処理する方法、及びプラズマ処理装置
JP2018093064A (ja) * 2016-12-02 2018-06-14 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3612158B2 (ja) * 1996-11-18 2005-01-19 スピードファム株式会社 プラズマエッチング方法及びその装置
US6001688A (en) * 1997-12-08 1999-12-14 Advanced Micro Devices, Inc. Method of eliminating poly stringer in a memory device
KR100451496B1 (ko) * 1998-12-26 2004-12-08 주식회사 하이닉스반도체 반도체소자의금속막식각방법
US6230402B1 (en) * 1999-02-17 2001-05-15 Scitex Digital Printing, Inc. Electrical contact termination for a flexible circuit
US6362033B1 (en) * 1999-12-14 2002-03-26 Infineon Technologies Ag Self-aligned LDD formation with one-step implantation for transistor formation
US6383931B1 (en) * 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
US6514378B1 (en) 2000-03-31 2003-02-04 Lam Research Corporation Method for improving uniformity and reducing etch rate variation of etching polysilicon
US6576507B1 (en) 2000-11-14 2003-06-10 International Business Machines Corporation Selectively removable filler layer for BiCMOS process
DE10114778A1 (de) * 2001-03-26 2002-10-17 Infineon Technologies Ag Verfahren zur Herstellung eines MOSFETs mit sehr kleiner Kanallänge
US6551942B2 (en) 2001-06-15 2003-04-22 International Business Machines Corporation Methods for etching tungsten stack structures
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
KR100945226B1 (ko) * 2002-12-30 2010-03-03 주식회사 하이닉스반도체 등방성 건식식각을 이용한 고선택적 폴리실리콘 식각방법
US7402207B1 (en) 2004-05-05 2008-07-22 Advanced Micro Devices, Inc. Method and apparatus for controlling the thickness of a selective epitaxial growth layer
US7241700B1 (en) 2004-10-20 2007-07-10 Advanced Micro Devices, Inc. Methods for post offset spacer clean for improved selective epitaxy silicon growth
US7402485B1 (en) 2004-10-20 2008-07-22 Advanced Micro Devices, Inc. Method of forming a semiconductor device
US7456062B1 (en) 2004-10-20 2008-11-25 Advanced Micro Devices, Inc. Method of forming a semiconductor device
US20060252191A1 (en) * 2005-05-03 2006-11-09 Advanced Micro Devices, Inc. Methodology for deposition of doped SEG for raised source/drain regions
US20060281271A1 (en) * 2005-06-13 2006-12-14 Advanced Micro Devices, Inc. Method of forming a semiconductor device having an epitaxial layer and device thereof
US7553732B1 (en) 2005-06-13 2009-06-30 Advanced Micro Devices, Inc. Integration scheme for constrained SEG growth on poly during raised S/D processing
US7572705B1 (en) 2005-09-21 2009-08-11 Advanced Micro Devices, Inc. Semiconductor device and method of manufacturing a semiconductor device
DE102007045734B3 (de) * 2007-09-25 2008-11-13 Qimonda Ag Verfahren zur Herstellung eines Integrierten Schaltkreises und damit hergestellter Integrierter Schaltkreis

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0030798B1 (en) * 1979-12-17 1983-12-28 Hughes Aircraft Company Low temperature process for depositing oxide layers by photochemical vapor deposition
US5007982A (en) * 1988-07-11 1991-04-16 North American Philips Corporation Reactive ion etching of silicon with hydrogen bromide
US4998157A (en) * 1988-08-06 1991-03-05 Seiko Epson Corporation Ohmic contact to silicon substrate
WO1991010261A1 (en) * 1990-01-04 1991-07-11 International Business Machines Corporation Semiconductor interconnect structure utilizing a polyimide insulator
US5094712A (en) * 1990-10-09 1992-03-10 Micron Technology, Inc. One chamber in-situ etch process for oxide and conductive material
JPH05166761A (ja) * 1991-12-16 1993-07-02 Fujitsu Ltd 半導体装置の製造方法
US5242538A (en) * 1992-01-29 1993-09-07 Applied Materials, Inc. Reactive ion etch process including hydrogen radicals

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008306067A (ja) * 2007-06-08 2008-12-18 Elpida Memory Inc コンタクトプラグの形成方法および半導体装置の製造方法
JP2014075567A (ja) * 2012-09-13 2014-04-24 Tokyo Electron Ltd 被処理基体を処理する方法、及びプラズマ処理装置
JP2018093064A (ja) * 2016-12-02 2018-06-14 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置

Also Published As

Publication number Publication date
US5670018A (en) 1997-09-23
DE69626562T2 (de) 2004-02-19
EP0740334B1 (en) 2003-03-12
EP0740334A2 (en) 1996-10-30
ATE234508T1 (de) 2003-03-15
DE69626562D1 (de) 2003-04-17
KR100432984B1 (ko) 2004-07-31
KR960039182A (ko) 1996-11-21
EP0740334A3 (en) 1997-05-28
TW299469B (ja) 1997-03-01

Similar Documents

Publication Publication Date Title
JPH09104991A (ja) 等方性シリコンエッチング方法
US6630410B2 (en) Self-aligned PECVD etch mask
US5035768A (en) Novel etch back process for tungsten contact/via filling
US5746884A (en) Fluted via formation for superior metal step coverage
US4980018A (en) Plasma etching process for refractory metal vias
US6440863B1 (en) Plasma etch method for forming patterned oxygen containing plasma etchable layer
US5269880A (en) Tapering sidewalls of via holes
USRE38914E1 (en) Dual damascene patterned conductor layer formation method without etch stop layer
US5899749A (en) In situ etch process for insulating and conductive materials
US20050106888A1 (en) Method of in-situ damage removal - post O2 dry process
JPH0629253A (ja) 半導体基板上のデバイスの製造中に平行板反応器内で耐火性金属層をエッチングする方法
JP2002367960A (ja) 高アスペクト比の開口をエッチングする方法
JPH1056000A (ja) 酸化物と窒化物に対して選択的なエッチング・プロセス
JP2913918B2 (ja) 半導体装置の製造方法
US5994227A (en) Method of manufacturing semiconductor device
US6569776B2 (en) Method of removing silicon nitride film formed on a surface of a material with a process gas containing a higher-order fluorocarbon in combination with a lower-order fluorocarbon
JP3088178B2 (ja) ポリシリコン膜のエッチング方法
JP2994374B2 (ja) トレンチ内のカラ―酸化物の形成方法
US5994229A (en) Achievement of top rounding in shallow trench etch
US6114253A (en) Via patterning for poly(arylene ether) used as an inter-metal dielectric
US5167760A (en) Etchback process for tungsten contact/via filling
JP2822952B2 (ja) 半導体装置の製造方法
JP2687787B2 (ja) ドライエッチング方法
US7709343B2 (en) Use of a plasma source to form a layer during the formation of a semiconductor device
JPH10116904A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060727

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060809

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20070112