JPH08320894A - Method and device for adjusting wiring delay time - Google Patents

Method and device for adjusting wiring delay time

Info

Publication number
JPH08320894A
JPH08320894A JP7126151A JP12615195A JPH08320894A JP H08320894 A JPH08320894 A JP H08320894A JP 7126151 A JP7126151 A JP 7126151A JP 12615195 A JP12615195 A JP 12615195A JP H08320894 A JPH08320894 A JP H08320894A
Authority
JP
Japan
Prior art keywords
wiring
delay time
delay
given
cell
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP7126151A
Other languages
Japanese (ja)
Inventor
Koichi Sato
功一 佐藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP7126151A priority Critical patent/JPH08320894A/en
Publication of JPH08320894A publication Critical patent/JPH08320894A/en
Pending legal-status Critical Current

Links

Abstract

PURPOSE: To satisfy a given delay time and reduce design man-hours and cost by providing a step wherein arrangement and wiring are performed so that restrictions of the delay time are satisfied, a step wherein the delay time is calculated, and a step wherein cell arrangement and wiring paths are recognized. CONSTITUTION: The circuit designing of VLSI, etc., consists of a step 11 wherein the restrictions of the delay time of wires between terminals of standard cells are set, a step 12 wherein the cell arrangement and wiring paths of function blocks composed of the standard cells are outputted, the step 13 wherein the delay time of the wires between the terminals of the standard cells is calculated, and the step 15 wherein the delay time of the wires is calculated according to the given restrictions. In a data input step 11, data required for arrangement wiring and delay calculation and the restrictions of the delay time given to a path between the terminals or a path passing plural cells are inputted. Then the arrangement and wiring are performed in an arrangement and wiring step 12 with a general timing driven layout.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は、半導体VLSI等の回
路設計の配線遅延時間の調整に関するものである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to adjustment of wiring delay time in circuit design of semiconductor VLSI and the like.

【0002】[0002]

【従来の技術】近年LSIのタイミング設計は、プロセ
スの微細化、高集積化、高速化に伴いますます重要にな
っており、あるセルの出力端子とあるセルの入力端子間
に端子間の遅延時間の制約や複数のセルの入出力端子を
結ぶパスの遅延時間の制約を与え、与えられた遅延時間
の制約以内に配置配線を行なうタイミングドリブンレイ
アウトや、クロックの信号が複数のフリップフロップセ
ルに到達するのに必要な最短時間と最長時間の差である
クロックスキュー値を最小にするため、クロックの配線
に、遅延時間を調整するバッファセルをトゥリー状に挿
入するクロックトゥリーシンセシスが一般的になってい
る。
2. Description of the Related Art In recent years, LSI timing design has become more and more important as process miniaturization, higher integration, and higher processing speed. Delay between terminals between an output terminal of a cell and an input terminal of a cell. A time-driven layout in which time constraints and delay time constraints of paths connecting input / output terminals of multiple cells are applied, and placement and routing are performed within the given delay time constraints, and clock signals reach multiple flip-flop cells. In order to minimize the clock skew value, which is the difference between the shortest time and the longest time required for clocking, clock tree synthesis is commonly used in which the buffer cells for adjusting the delay time are inserted in a tree pattern in the clock wiring. There is.

【0003】[0003]

【発明が解決しようとする課題】しかしながら、タイミ
ングドリブンレイアウトでは、制約として与えられた遅
延時間を越えないように配置配線を行なうのが一般的で
あり、与えられた遅延時間を越えて配置配線を行なうも
のは一般的ではない。そのため、スキャン配線のように
与えられた遅延時間を越えて配置配線を行なわなければ
ならない場合には対応できない。そのため、人手等で配
線の長さを調整したり、抵抗の大きな配線に変更する
等、設計工数が大きくなり、また、ブロックの面積も大
きくなり問題である。
However, in the timing driven layout, it is general that the placement and routing are performed so that the delay time given as a constraint is not exceeded, and the placement and routing is done beyond the given delay time. What you do is not common. Therefore, it is not possible to deal with the case where the placement and routing must be performed over a given delay time as in the scan routing. Therefore, there is a problem that the design man-hours are increased and the area of the block is also increased, such as manually adjusting the length of the wiring or changing to a wiring having a large resistance.

【0004】また、クロックトゥリーシンセシスは、ク
ロック配線のスキューを最小にするようにバッファセル
とフリップフロップの配置配線を行なうが、フリップフ
ロップセルの数、クロックトゥリーの段数やバッファセ
ルの駆動能力等の問題からスキュー値が設計制約より大
きくなる場合がある。
In the clock tree synthesis, the buffer cells and flip-flops are arranged and wired so as to minimize the skew of the clock wiring. Therefore, the skew value may be larger than the design constraint.

【0005】この場合は、最悪クロックの回路構成等を
再検討する必要があり設計期間が長くなくなり、設計工
数やコストの点で問題である。
In this case, it is necessary to reexamine the circuit configuration of the worst clock, the design period is not long, and there is a problem in design man-hours and cost.

【0006】本発明は上記問題点を鑑み、可能な限りブ
ロックの面積に影響を与えず遅延時間を調整すること
で、与えられた遅延時間を満たし、設計工数やコストの
削減を行なう。
In view of the above problems, the present invention adjusts the delay time without affecting the area of the block as much as possible, thereby satisfying the given delay time and reducing the design man-hours and costs.

【0007】[0007]

【課題を解決するための手段】VLSI等の回路設計
で、スタンダードセルの端子間の配線の遅延時間の制約
を与えるステップと、スタンダードセルで構成される機
能ブロックのセル配置と配線経路を出力するステップ
と、スタンダードセルの端子間の配線の遅延時間を計算
するステップと、配線の遅延時間を与えられた制約に合
わせて調整するステップにより構成される配線遅延時間
の調整方法である。
In a circuit design of VLSI or the like, a step of giving a restriction on a delay time of wiring between terminals of a standard cell, a cell layout of a functional block constituted by the standard cell and a wiring route are output. The wiring delay time adjusting method includes a step, a step of calculating a wiring delay time between terminals of a standard cell, and a step of adjusting the wiring delay time according to a given constraint.

【0008】また、VLSI等の回路設計で、スタンダ
ードセルの端子間の配線の遅延時間の制約を与える装置
と、スタンダードセルで構成される機能ブロックのセル
配置と配線経路を出力する装置と、スタンダードセルの
端子間の配線の遅延時間を計算する装置と、配線の遅延
時間を与えられた制約に合わせて調整する装置により構
成される配線遅延時間の調整装置である。
Further, in a circuit design of VLSI or the like, a device for restricting a delay time of wiring between terminals of a standard cell, a device for outputting a cell layout and a wiring route of a functional block composed of standard cells, and a standard device. A wiring delay time adjusting device configured by a device that calculates a delay time of a wiring between terminals of a cell and a device that adjusts the delay time of the wiring according to a given constraint.

【0009】[0009]

【作用】VLSIのチップの面積増加に影響を与えず配
線の遅延時間を調整することで、与えられた遅延時間制
約を満たし、設計工数やコストの削減を行なう。
By adjusting the delay time of the wiring without affecting the increase in the area of the VLSI chip, the given delay time constraint is satisfied and the design man-hours and costs are reduced.

【0010】[0010]

【実施例】以下に本発明の実施例を図面を参照しながら
説明を行なう。
Embodiments of the present invention will be described below with reference to the drawings.

【0011】(実施例1)図3に配線遅延時間を調整す
る遅延調整セルを示す。
(Embodiment 1) FIG. 3 shows a delay adjustment cell for adjusting a wiring delay time.

【0012】図3において21は縦方向の配線を通過さ
せるスペースを確保するためのスペーサーセルであり、
セルの幅はWとする。すべてのセルの高さは同じである
ものとし、すべてのセルの幅はWの倍数であるとする。
In FIG. 3, reference numeral 21 is a spacer cell for securing a space for passing a vertical wiring,
The width of the cell is W. All cells have the same height, and all cells have a width that is a multiple of W.

【0013】配線遅延時間を調整するために付加する配
線抵抗値の大きな(例えばポリシリコン)M0の配線層
の配線は、最小線幅をW/3とし最小間隔をW/3とす
る。これらの値はプロセスに依存するため、必ずしも前
記のような割合にはならないが、実際のプロセスよりも
Wに対して最小線幅と最小間隔の割合を大きくしている
ので、実際のプロセスよりもM0の配線層の配線を付加
するスペースが少なくなっている。
The wiring of the wiring layer of M0 having a large wiring resistance value (for example, polysilicon) added for adjusting the wiring delay time has a minimum line width of W / 3 and a minimum interval of W / 3. Since these values depend on the process, they do not necessarily have the above ratios, but since the ratio of the minimum line width and the minimum interval to W is larger than that of the actual process, it is less than that of the actual process. The space for adding the wiring of the wiring layer of M0 is reduced.

【0014】図3において22はスペーサーセルにM0
の配線層の配線を付け加えた遅延調整セルである。P1
とP2は他の配線と接続させるための端子である。
In FIG. 3, 22 is M0 in the spacer cell.
2 is a delay adjustment cell to which wiring of the wiring layer is added. P1
And P2 are terminals for connecting to other wiring.

【0015】図3において23は22と同様にスペーサ
ーセルの2倍の幅にM0の配線層の配線を付け加え22
の約2倍の遅延を持つ遅延調整セルである。
In FIG. 3, the reference numeral 23 is similar to the reference numeral 22, and the wiring of the wiring layer of M0 is added to the double width of the spacer cell.
It is a delay adjustment cell having a delay of about 2 times.

【0016】セルの幅を大きくすれば配線遅延も大きく
できるので、配線遅延値に合わせて必要な配線遅延値を
持つ遅延調整セルを用意すればよい。
Since the wiring delay can be increased by increasing the width of the cell, it is only necessary to prepare a delay adjustment cell having a necessary wiring delay value according to the wiring delay value.

【0017】図4〜図6でROW1はスタンダードセル
の列である。Cell1〜Cell3はスタンダードセ
ル。FEED1〜FEED3は、スペーサーセル21で
あり、FEED4は遅延調整セル23、FEED5は遅
延調整セル24である。
In FIGS. 4 to 6, ROW1 is a column of standard cells. Cell1 to Cell3 are standard cells. FEED1 to FEED3 are spacer cells 21, FEED4 is a delay adjustment cell 23, and FEED5 is a delay adjustment cell 24.

【0018】M01〜M05はポリシリコンのような高
抵抗値の第0配線層の配線、M11〜M13は、金属の
ような低抵抗値の第1配線層の配線、M21〜M24は
金属のような低抵抗値の第2配線層の配線である。VI
A011〜VIA013は第0配線層と第1配線層をつ
なぐコンタクト、VIA121〜VIA124は第1配
線層と第2配線層をつなぐコンタクトである。
M01 to M05 are wires of the 0th wiring layer having a high resistance value such as polysilicon, M11 to M13 are wires of the first wiring layer having a low resistance value such as metal, and M21 to M24 are like metal. The wiring of the second wiring layer having a low resistance value. VI
A011 to VIA013 are contacts connecting the 0th wiring layer and the first wiring layer, and VIA 1211 to VIA124 are contacts connecting the first wiring layer and the second wiring layer.

【0019】本実施例1の処理の流れを図1に示す。デ
ータ入力ステップ10で、配置配線と遅延計算に必要な
データと端子間または、複数のセルを通過するパスに与
えられた遅延時間の制約を入力する。次に、配置配線ス
テップ12で、一般的なタイミングドリブンレイアウト
による配置配線を行なう。結果として図4に示すような
結果が得られたとする。
The flow of processing in the first embodiment is shown in FIG. In the data input step 10, data required for placement and routing, delay calculation, and terminals, or constraints on delay time given to a path passing through a plurality of cells are input. Next, in the placement and routing step 12, placement and routing is performed by a general timing driven layout. As a result, it is assumed that the result shown in FIG. 4 is obtained.

【0020】次に図4に示すCell1の出力端子から
出た信号がCell3の入力端子間に到達する時間が、
ある定められた時間を越えなけれがならないという遅延
時間の制約が与えられている場合、遅延計算ステップ1
3の遅延を計算し与えらた制約を満たしているかを検証
する。
Next, the time required for the signal output from the output terminal of Cell1 shown in FIG.
If there is a delay time constraint that a given time must be exceeded, delay calculation step 1
The delay of 3 is calculated and it is verified whether the given constraint is satisfied.

【0021】制約を満たしていない場合は、Cell1
の出力端子からCell3の入力端子を結ぶ配線の遅延
時間をどの程度増加させればよいかを計算し、新しい制
約としてCell1の出力端子からCell3の入力端
子を結ぶ配線に与える。
If the constraint is not satisfied, Cell1
Of the wiring connecting the input terminal of Cell3 to the input terminal of Cell3 is calculated, and a new constraint is given to the wiring connecting the output terminal of Cell1 to the input terminal of Cell3.

【0022】次に、配線経路認識ステップ14でCel
l1の出力端子からCell3の入力端子を結ぶ配線間
に遅延調整セルに交換が可能なフィードスルーのセルを
探索する。図4の場合はCell1の出力端子からCe
ll3の入力端子を結ぶ配経路のCell1とCell
3の間に存在するFEED1〜FEED3のセルは全て
フィードスルーのセル21であるから遅延調整セルに交
換可能であることがわかる。
Next, at the wiring route recognition step 14, Cel is performed.
A feed through cell that can be replaced with a delay adjustment cell is searched for between the wiring connecting the output terminal of 11 and the input terminal of Cell3. In the case of FIG. 4, Ce is output from the output terminal of Cell1.
Cell1 and Cell of the distribution path connecting the input terminals of ll3
It can be seen that the cells of FEED1 to FEED3 existing between 3 are all feedthrough cells 21 and can be replaced with delay adjustment cells.

【0023】次に、配線遅延調整ステップ15で、遅延
計算ステップ13で与えられた遅延の増加時間と、配線
経路認識ステップ14で認識したフィードスルーのセル
の数と配置位置から、配線の経路を変更すると面積が大
きくなる可能性が高いので、可能な限り配線の経路を変
更しないように遅延調整セルの追加と配線経路の変更を
行なう。
Next, in the wiring delay adjusting step 15, the wiring route is determined from the increase time of the delay given in the delay calculating step 13, the number of feedthrough cells and the layout position recognized in the wiring route recognizing step 14. Since there is a high possibility that the area will become large if changed, the delay adjustment cell is added and the wiring route is changed so as not to change the wiring route as much as possible.

【0024】遅延計算ステップ13で与えられた遅延の
増加時間から、図4と図5に示すようにFEED1を遅
延調整セル22にFEED2とFEED3を遅延調整セ
ル23に交換する。次に、Cell1とFEED4を接
続させるために、VIA122をVIA011に交換
し、M22をM01に交換する。同様にして、FEED
4とFEED5を接続させるためM23をM02に、V
IA123をVIA012に、M12をM13に交換
し、VIA013,M03を追加する。同様にして、F
EED5とCell3を接続させるためM04,VIA
014,M13,VIA015を追加する。
From the delay increase time given in the delay calculation step 13, FEED1 is replaced with the delay adjustment cell 22 and FEED2 and FEED3 are replaced with the delay adjustment cell 23 as shown in FIGS. Next, in order to connect Cell1 and FEED4, VIA122 is replaced with VIA011 and M22 is replaced with M01. Similarly, FEED
4 to connect FEED5, set M23 to M02, V
IA123 is replaced with VIA012, M12 is replaced with M13, and VIA013 and M03 are added. Similarly, F
M04, VIA to connect EED5 and Cell3
014, M13, and VIA015 are added.

【0025】遅延調整セルを追加し配線経路の変更をし
た配線の遅延時間を計算し、制約を満たしていれば処理
を終了し、もし満たしていなければさらに、図6に示す
ようにM13を高抵抗の配線M05に変更し、VIA0
12とVIA013を削除する。
The delay time of the wiring in which the delay adjustment cell is added and the wiring route is changed is calculated, and if the constraint is satisfied, the processing is terminated. If the constraint is not satisfied, M13 is raised as shown in FIG. Change to resistance wiring M05, VIA0
12 and VIA 013 are deleted.

【0026】遅延調整セルを追加し配線経路の変更をし
た配線の遅延時間を計算し、制約を満たしていれば処理
を終了する。
The delay time of the wiring in which the delay adjustment cell is added and the wiring path is changed is calculated, and if the constraint is satisfied, the process is ended.

【0027】図6の結果で制約を満たしていなければ、
Cell1とCell3の間に更に遅延調整セルを追加
し同様の処理を行なう。しかし、余りに多くの遅延調整
セルが必要になるならブロックの面積に大きな影響をあ
たえるので、Cell1とCell3の距離が大きくな
るようにセルの配置から上記の処理をやり直す必要があ
る。
If the result of FIG. 6 does not satisfy the constraint,
A delay adjustment cell is further added between Cell1 and Cell3 and the same processing is performed. However, if too many delay adjustment cells are required, the block area will be greatly affected. Therefore, it is necessary to repeat the above processing from the cell arrangement so that the distance between Cell1 and Cell3 becomes large.

【0028】以上説明したように、本発明によれば、配
線経路の大幅な変更をしなくてもよいため、ブロックの
面積に影響を与えることなく、容易に配線の遅延時間を
調整するすることで、与えられた遅延時間の制約を満た
すことが可能である。
As described above, according to the present invention, since it is not necessary to make a large change in the wiring path, it is possible to easily adjust the wiring delay time without affecting the area of the block. Then, it is possible to satisfy the constraint of the given delay time.

【0029】なお、本発明はクロックスキューやスキャ
ン配線のタイミングの調整や低消費電力化のため、入力
端子に信号が入るタイミングを調整しグリッジを削減す
る等、配線の遅延値を若干大きくし、配線の遅延時の調
整が必要な処理に有効である。
In the present invention, in order to adjust the clock skew and the timing of the scan wiring and to reduce the power consumption, the timing of the signal input to the input terminal is adjusted to reduce the glitch, and the delay value of the wiring is slightly increased. This is effective for processing that requires adjustment when wiring is delayed.

【0030】(実施例2)図2に本実施例の装置の構成
と処理の流れを示す。
(Embodiment 2) FIG. 2 shows the configuration of the apparatus of this embodiment and the flow of processing.

【0031】データ入力装置101は、データ入力ステ
ップ11の処理を行なう。配置配線装置102は、配置
配線ステップ12の処理を行なう。
The data input device 101 performs the process of the data input step 11. The placement and routing apparatus 102 performs the process of placement and routing step 12.

【0032】遅延計算装置103は、遅延計算ステップ
13の処理を行なう。配線経路認識装置104は、配線
経路認識ステップ14の処理を行なう。
The delay calculation device 103 performs the process of the delay calculation step 13. The wiring route recognition device 104 performs the processing of the wiring route recognition step 14.

【0033】配線遅延調整装置105は、配線経路認識
ステップ15の処理を行なう。データ出力装置106
は、配置配線結果をファイルとしてハードディスクに書
き込み、ディスプレイ等に結果を表示する。
The wiring delay adjusting device 105 performs the processing of the wiring route recognition step 15. Data output device 106
Writes the layout and wiring result as a file in the hard disk and displays the result on a display or the like.

【0034】本実施例の場合も、上記実施例1と同様の
効果を持つ。
Also in the case of this embodiment, the same effect as that of the above-mentioned embodiment 1 is obtained.

【0035】[0035]

【発明の効果】本発明の配線遅延時間の調整方法によれ
ば、VLSIのチップの面積増加に影響を与えず配線の
遅延時間を調整することができる。よって、与えられた
遅延時間制約を満たし、設計工数やコストの削減を行な
うことが可能となる。
According to the wiring delay time adjusting method of the present invention, the wiring delay time can be adjusted without affecting the increase in the VLSI chip area. Therefore, it becomes possible to satisfy the given delay time constraint and reduce the design man-hours and costs.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の第1の実施例の処理の流れを示す図FIG. 1 is a diagram showing a processing flow of a first embodiment of the present invention.

【図2】本発明の第2の実施例の構成を示す図FIG. 2 is a diagram showing a configuration of a second exemplary embodiment of the present invention.

【図3】第1の実施例における遅延調整セルの構成を示
す図
FIG. 3 is a diagram showing a configuration of a delay adjustment cell in the first embodiment.

【図4】同実施例において、配置配線ステップにって配
置配線した結果を示す図
FIG. 4 is a diagram showing a result of placing and routing in a placing and routing step in the example.

【図5】同実施例において、遅延調整セルの追加と配線
経路を変更した結果を示す図
FIG. 5 is a view showing a result of adding a delay adjustment cell and changing a wiring route in the embodiment.

【図6】同実施例において、図5の処理の結果、配線の
遅延時間が制約条件を満たしていない場合の処理を説明
する図
FIG. 6 is a diagram for explaining the processing when the wiring delay time does not satisfy the constraint condition as a result of the processing of FIG. 5 in the embodiment.

【符号の説明】[Explanation of symbols]

11 データ入力ステップ 12 配置配線ステップ 13 遅延計算ステップ 14 配線経路認識ステップ 15 配線遅延調整ステップ 101 データ入力装置 102 配置配線装置 103 遅延計算装置 104 配線経路認識装置 105 配線遅延調整装置 106 データ出力装置 11 data input step 12 placement and routing step 13 delay calculation step 14 wiring route recognition step 15 wiring delay adjustment step 101 data input device 102 placement and routing device 103 delay calculation device 104 wiring route recognition device 105 wiring delay adjustment device 106 data output device

Claims (2)

【特許請求の範囲】[Claims] 【請求項1】スタンダードセル(セルと呼ぶ)で構成さ
れる機能ブロックを用いた回路設計において、 遅延時間の制約を満たすように配置配線するステップ
と、 遅延時間を計算するステップと、 セル配置と配線経路を認識するステップと、 配線の遅延時間を与えられた制約に合わせて調整するス
テップとを備えた配線遅延時間の調整方法。
1. In a circuit design using a functional block composed of standard cells (referred to as cells), a step of placing and routing so as to satisfy a delay time constraint, a step of calculating a delay time, and a cell placement A method of adjusting a wiring delay time, comprising a step of recognizing a wiring route and a step of adjusting a wiring delay time according to a given constraint.
【請求項2】スタンダードセルで構成される機能ブロッ
クを用いた回路設計において、 遅延時間制約を満たすように配置配線する装置と、 セル配置と配線経路を認識する装置と、 遅延時間を計算する装置と、 配線の遅延時間を与えられた制約に合わせて調整する装
置とを備えた配線遅延時間の調整装置。
2. A device for arranging and wiring so as to satisfy delay time constraint, a device for recognizing cell layout and wiring route, and a device for calculating delay time in a circuit design using a functional block composed of standard cells. And a device for adjusting a wiring delay time according to a given constraint.
JP7126151A 1995-05-25 1995-05-25 Method and device for adjusting wiring delay time Pending JPH08320894A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP7126151A JPH08320894A (en) 1995-05-25 1995-05-25 Method and device for adjusting wiring delay time

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP7126151A JPH08320894A (en) 1995-05-25 1995-05-25 Method and device for adjusting wiring delay time

Publications (1)

Publication Number Publication Date
JPH08320894A true JPH08320894A (en) 1996-12-03

Family

ID=14927948

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7126151A Pending JPH08320894A (en) 1995-05-25 1995-05-25 Method and device for adjusting wiring delay time

Country Status (1)

Country Link
JP (1) JPH08320894A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6640332B2 (en) 2000-05-23 2003-10-28 Hitachi, Ltd. Wiring pattern decision method considering electrical length and multi-layer wiring board

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6640332B2 (en) 2000-05-23 2003-10-28 Hitachi, Ltd. Wiring pattern decision method considering electrical length and multi-layer wiring board

Similar Documents

Publication Publication Date Title
JPH0749903A (en) Simulation method and layout method of integrated circuit
US6714058B2 (en) Reduced jitter clock generator circuit and method for applying properly phased clock signals to clocked devices
US5724557A (en) Method for designing a signal distribution network
JP3469006B2 (en) Semiconductor integrated circuit and design method thereof
JP3412745B2 (en) Clock supply device for semiconductor circuit and design method thereof
JPH118314A (en) Method and device for optimizing tree depth of clock signal wiring
JP3599017B2 (en) Adjustment method of clock propagation delay time
JPH08320894A (en) Method and device for adjusting wiring delay time
US5926398A (en) Semiconductor device layout method capable of arranging functional cells with data signal lines and control signal lines having a proper length and configuration
JPH09181187A (en) Designing method for clock interconnection of integrated circuit
JPH05233092A (en) Method and circuit for distributing clock signal
JPH0384951A (en) Layout design of integrated circuit
JP2003338546A (en) Method for designing semiconductor integrated circuit
JP3115743B2 (en) LSI automatic layout method
JPH08274260A (en) Clock skew reduction method
JP2000035832A (en) Semiconductor integrated circuit and its clock distributing method
JP2827988B2 (en) Layout design method of integrated circuit
JP2917604B2 (en) Layout design method for semiconductor integrated circuit
JP2004241699A (en) Design method of semiconductor integrated circuit device
JPH11232311A (en) Clock tree and synthesis method for the same
JP2850945B2 (en) Semiconductor integrated circuit and layout method thereof
JP2597666B2 (en) Manufacturing method of integrated circuit having automatic wiring process
JPH0758205A (en) Logic integrated circuit
JP2000172367A (en) Clock signal distribution method and clock distribution circuit
JPH0850604A (en) Layout design method for preventing clock skew