JPH11232311A - Clock tree and synthesis method for the same - Google Patents

Clock tree and synthesis method for the same

Info

Publication number
JPH11232311A
JPH11232311A JP10028173A JP2817398A JPH11232311A JP H11232311 A JPH11232311 A JP H11232311A JP 10028173 A JP10028173 A JP 10028173A JP 2817398 A JP2817398 A JP 2817398A JP H11232311 A JPH11232311 A JP H11232311A
Authority
JP
Japan
Prior art keywords
buffer
adjusting
driving
clock
clock tree
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP10028173A
Other languages
Japanese (ja)
Other versions
JP3217022B2 (en
Inventor
Yoshiaki Ozaki
佳昭 尾崎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC IC Microcomputer Systems Co Ltd
Original Assignee
NEC IC Microcomputer Systems Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC IC Microcomputer Systems Co Ltd filed Critical NEC IC Microcomputer Systems Co Ltd
Priority to JP02817398A priority Critical patent/JP3217022B2/en
Publication of JPH11232311A publication Critical patent/JPH11232311A/en
Application granted granted Critical
Publication of JP3217022B2 publication Critical patent/JP3217022B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To have a clock skew value of a route where a clock skew value may exceed a range of a predicated value set within a range of the predicted value by once an arrangement wiring. SOLUTION: A clock tree 10 has each of driving buffers set a buffer 41 of a reference driving capability and an adjustment buffer 71 for the driving capability different from the reference driving capability arranged nearby the buffer 41, and selects as a driving buffer either the buffer 41 or the adjustment buffer 71 so that a clock skew is within a specified range in accordance with a simulation result after synthetic of the clock tree.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明はクロックツリー及び
その合成方法に関し、特に大規模半導体集積回路(LS
I)に内蔵される論理回路用のクロックスキューの調整
機能を有するクロックツリー及びその合成方法に関す
る。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a clock tree and a method for synthesizing the same, and more particularly, to a large-scale semiconductor integrated circuit (LS).
The present invention relates to a clock tree having a function of adjusting clock skew for a logic circuit built in I) and a method of synthesizing the same.

【0002】[0002]

【従来の技術】クロックツリーシンセシス(クロックツ
リー合成)は、計算機支援設計(CAD)によるLSI
のレイアウト設計において、LSIチップ内のクロック
分配回路であるクロックツリーのクロックスキュー値を
小さくするために用いられている。
2. Description of the Related Art Clock tree synthesis (clock tree synthesis) is an LSI based on computer-aided design (CAD).
Is used to reduce the clock skew value of a clock tree which is a clock distribution circuit in an LSI chip.

【0003】従来のLSI内部の論理回路用のクロック
ツリーの設計では、クロックスキュー値を小さくするた
め、接続対象の負荷であるフリップフロップの個数と入
力容量を調査し、クロックツリーに接続される各負荷容
量が均等になるように負荷フリップフロップ群の各々の
フリップフロップの個数を配分し、これら配分された各
負荷フリップフロップ群を同一駆動能力のバッフアで分
岐させていた。これらの作業を人手で設計していた。
In the conventional design of a clock tree for a logic circuit inside an LSI, in order to reduce the clock skew value, the number and input capacity of flip-flops, which are loads to be connected, are investigated, and each connected to the clock tree. The number of each flip-flop of the load flip-flop group is distributed so that the load capacity becomes equal, and each of the distributed load flip-flop groups is branched by a buffer having the same driving capability. These tasks were designed manually.

【0004】しかし、近年、回路素子であるトランジス
タの高速化に伴い、これらの回路素子を用いる回路ブロ
ック内の遅延に比べ配線による遅延の割合が大きくな
り、上記のように、フリップフロップの個数と入力容量
が均等になるようにクロックツリーを設計しても、配置
配線後は配線遅延によりクロックスキュー値が大きくな
り、タイミングが満足できないことが多くなってきた。
また、回路規模も増加していることから、回路設計のや
り直しや配置配線データの修正によりクロックスキュー
値を調整することは困難であった。
However, in recent years, as the speed of transistors as circuit elements has increased, the ratio of delay due to wiring has increased as compared with the delay in a circuit block using these circuit elements. Even if the clock tree is designed so that the input capacitances become equal, the clock skew value becomes large due to wiring delay after placement and wiring, and the timing is often unsatisfactory.
In addition, since the circuit scale has been increased, it has been difficult to adjust the clock skew value by re-designing the circuit or correcting the arrangement and wiring data.

【0005】そこで、クロックツリー合成は、予めクロ
ックスキュー値を最小にするように論理回路の配置配線
設計を行うことにより、配置配線後にクロックスキュー
値が大きくなり回路設計のやり直しや配置配線データの
修正を無くするために考えられた。
Therefore, in the clock tree synthesis, the layout and wiring design of the logic circuit is designed in advance so as to minimize the clock skew value, so that the clock skew value increases after the layout and wiring, and the circuit design is redone and the layout and wiring data is corrected. Was thought to eliminate.

【0006】一般的な従来のクロックツリー合成方法で
は、回路設計時に使用するバッフアの駆動能力とフリッ
プフロップの個数とからクロックスキューの予測値を設
定している。回路設計時は、この予測値を用いタイミン
グ規格を満足できるよう設計を行う。
In a general conventional clock tree synthesizing method, a predicted value of a clock skew is set based on the driving capability of a buffer used in circuit design and the number of flip-flops. At the time of circuit design, a design is performed using the predicted value so as to satisfy the timing standard.

【0007】従来のクロックツリー合成対象のクロック
ツリー100をブロックで示す図8を参照すると、この
従来のクロックツリー100は、クロックCKが入力す
るクロック入力端子TC1に接続した第1段のバッフア
1と、バッフア1の出力の供給を受けそれぞれ複数の同
一駆動能力のバッフアを含む縦続接続された第2段〜第
n−2段(nは整数)のバッフア群から成るバッフア群
2と、バッフア群2の第n−2段のバッフア群の出力の
供給を受け同一駆動能力の複数のバッフア31,32,
・・・を含む第n−1段のバッフア群3と、バッフア群
3の出力の供給を受け同一駆動能力の複数のバッフア4
1,42,・・・を含む第n段すなわち最終段のバッフ
ア群4とを備え、バッフア群4の各々の出力するクロッ
クCKDの供給を受ける複数のフリップフロップ51,
52,53,・・・を含むフリップフロップ群5を駆動
する。
Referring to FIG. 8, which shows a block diagram of a conventional clock tree 100 to be synthesized with a clock tree, the conventional clock tree 100 includes a first-stage buffer 1 connected to a clock input terminal TC1 to which a clock CK is input. , A buffer group 2 composed of a cascade-connected second to (n-2) th (n is an integer) buffer groups each including a plurality of buffers having the same driving capability and receiving the output of the buffer 1; , A plurality of buffers 31, 32, 32,.
, And a plurality of buffers 4 having the same driving capability receiving the output of the buffer group 3
, Including the n-th or final stage buffer group 4 including 1, 42,..., And receiving a supply of the clock CKD output from each of the buffer groups 4.
The flip-flop group 5 including the flip-flops 52, 53,.

【0008】次に、図8、図8のクロックツリー合成の
処理をフローチャートで示す図9及びレイアウト結果を
レイアウト図で示す図10を参照して従来のクロックツ
リーの合成方法について説明すると、まず、クロックツ
リー100の合成処理を実施する。クロックツリー10
0を除く全ての回路ブロックを配置し、クロックツリー
100の各バッフアの負荷となるフリップフロップ群5
の各々のフリップフロップの個数、入力容量、配置位置
からクロックスキュー値が小さくなるようにクロックツ
リー100を合成する(ステップF1)。
Next, a conventional method for synthesizing a clock tree will be described with reference to FIG. 9 and FIG. 9 which is a flowchart showing the clock tree synthesizing process of FIG. 8 and FIG. 10 which is a layout diagram showing a layout result. The synthesis process of the clock tree 100 is performed. Clock tree 10
All the circuit blocks except 0 are arranged, and a flip-flop group 5 serving as a load of each buffer of the clock tree 100
The clock tree 100 is synthesized such that the clock skew value is reduced based on the number, input capacity, and arrangement position of each flip-flop (step F1).

【0009】次に、配置配線を行う。このとき、クロッ
クスキュー値を小さくするため、フリップフロップの個
数、フリップフロップの入力容量、配置位置から予測さ
れる容量を計算し、その容量が均等になるようにフリッ
プフロップを予め決められた複数のグループに分け、そ
れぞれグループの中心に同一駆動能力のバッフアを1個
配置する。次に、そのグループを決められた個数毎にひ
とまとまりのグループとし、ひとまとまりのグループ毎
に同一駆動能力のバッフアを1個配置する。この処理
を、グループが1個になるまで続ける。この後配線を行
う(ステップF2〜F4)。このようにして、クロック
スキューが小さくなるようにクロックツリー100を自
動で合成する。次に、クロックスキュー値を計算し(ス
テップF5)、クロックスキュー値が予測値の範囲内に
あるかを判定する(ステップF6)。この判定結果、ク
ロックスキュー値が予測値の範囲内であればクロックツ
リー100が完成したので処理を終了する。
Next, arrangement and wiring are performed. At this time, in order to reduce the clock skew value, the number of flip-flops, the input capacity of the flip-flops, and the capacity predicted from the arrangement position are calculated, and a plurality of flip-flops are determined in advance so that the capacities become equal. Each group is divided into groups, and one buffer having the same driving capability is arranged at the center of each group. Next, the groups are grouped into groups each having a predetermined number, and one buffer having the same driving capability is arranged in each group. This process is continued until the number of groups becomes one. Thereafter, wiring is performed (steps F2 to F4). In this way, the clock tree 100 is automatically synthesized so that the clock skew is reduced. Next, a clock skew value is calculated (step F5), and it is determined whether the clock skew value is within the range of the predicted value (step F6). If the result of this determination is that the clock skew value is within the range of the predicted value, the clock tree 100 is completed and the process ends.

【0010】ステップF6の判定結果、クロックスキュ
ー値が予測値の範囲を超えていれば、ステップF7に進
み、配線手修正で修正可能かの判定をする。この判定結
果、修正可能であれば、配線修正を実行し(ステップF
7)、ステップF5に戻り、再度ステップF5,F6を
実施する。
If the result of determination in step F6 is that the clock skew value exceeds the range of the predicted value, the process proceeds to step F7, where it is determined whether or not the correction can be made by manual wiring correction. If the result of this determination is that correction is possible, wiring correction is executed (step F).
7) Return to step F5 and execute steps F5 and F6 again.

【0011】ステップF7の判定結果、修正不可能であ
れば、ステップF9に進み、回路変更を実施し、その後
改めて、ステップF1から本処理を実施する。
If the result of determination in step F7 is that correction is not possible, the process proceeds to step F9, where a circuit change is performed, and thereafter, this processing is performed again from step F1.

【0012】しかし、この従来技術では、次のような問
題点があった。第1の問題点は、しばしばクロックスキ
ュー値が予測値を超えてしまうことであることである。
その理由は、クロックツリーの合成後にクロックスキュ
ー値が予測値の範囲を超えても、クロックスキュー値を
予想値の範囲内に修正するための手段・ステップを有し
ていないためである。近年の製造技術向上により微細化
が進み、遅延値の配線依存性が高くなってきている。そ
のため、バッフアをバランスよく配置するだけでは、配
線の遅延値がばらつき、クロックスキュー値が大きくな
ってしまうことも理由である。第2の問題点は、クロッ
クスキューが予測値の範囲を超えてしまった時は、クロ
ックツリーの配線や配置を、またクロックツリー以外の
ブロック配置や配線の修正、または全ての配置配線をや
り直して、予測値の範囲内に収めなければならないこと
である。最悪の場合は、予測値を見直し、回路の設計か
らやり直さなければならなくなる。そのため設計期間が
長くなってしまうことである。その理由は、配置配線が
全て完了しているため、新たなバッフアを挿入しようと
しても配置するスペースがなくなっているためである。
However, this conventional technique has the following problems. The first problem is that the clock skew value often exceeds the predicted value.
The reason is that, even if the clock skew value exceeds the range of the predicted value after the synthesis of the clock tree, there is no means / step for correcting the clock skew value within the range of the predicted value. Due to recent improvements in manufacturing technology, miniaturization has progressed, and the dependence of delay values on wiring has been increasing. For this reason, simply arranging the buffers in a well-balanced manner causes the delay values of the wirings to vary and the clock skew value to increase. The second problem is that when the clock skew exceeds the range of the predicted value, the wiring and arrangement of the clock tree, correction of the block arrangement and wiring other than the clock tree, or all the arrangement and wiring are performed again. Must be within the range of predicted values. In the worst case, the predicted value must be reviewed and the circuit design started again. Therefore, the design period becomes long. The reason for this is that since all the placement and wiring have been completed, there is no more space for placement even if a new buffer is to be inserted.

【0013】[0013]

【発明が解決しようとする課題】上述した従来のクロッ
クツリー及びその合成方法は、クロックツリーの合成後
にクロックスキュー値が予測値の範囲を超えても、クロ
ックスキュー値を予想値の範囲内に修正するための手段
や処理手順を有していないため、しばしばクロックスキ
ュー値が予測値を超えてしまうという欠点があった。
The above-mentioned conventional clock tree and its synthesizing method correct the clock skew value within the expected value range even if the clock skew value exceeds the expected value range after the clock tree synthesis. Since there is no means or processing procedure for performing this, there is a disadvantage that the clock skew value often exceeds the predicted value.

【0014】また、クロックスキューが予測値の範囲を
超えてしまった時は、クロックツリーや周辺回路の配線
及び配置の修正、または全ての配置配線をやり直しす必
要があり、最悪の場合は、予測値を見直し、回路の設計
からやり直す必要が生じるため設計期間が長くなってし
まうという欠点があった。
Further, when the clock skew exceeds the range of the predicted value, it is necessary to correct the wiring and arrangement of the clock tree and the peripheral circuits, or to redo all the arrangement and wiring. There is a drawback that the value of the value needs to be re-examined and the circuit design needs to be redone, thus lengthening the design period.

【0015】本発明の目的は、クロックスキュー値が予
測値の範囲を上回ったり下回ったりする可能性のある経
路のクロックスキュー値を、一度の配置配線により予測
値内に収めることができるクロックツリー及びその合成
方法を提供することにある。
SUMMARY OF THE INVENTION An object of the present invention is to provide a clock tree capable of containing a clock skew value of a path whose clock skew value may exceed or fall below a range of a predicted value within the predicted value by a single placement and wiring. An object of the present invention is to provide a synthesis method.

【0016】[0016]

【課題を解決するための手段】本発明のクロックツリー
は、大規模集積回路に内蔵する論理回路の動作用のクロ
ックを配分するため複数のバッフアから成る複数段のバ
ッフア群を縦続接続し複数の駆動用バッフアから成る最
終段のバッフア群が前記論理回路を駆動するクロックツ
リーにおいて、前記最終段のバッフア群を構成する前記
駆動用バッフアの各々が、予め定めた第1の駆動能力の
基準バッフアと、前記基準バッフアの近傍に配置した第
1の駆動能力と異なる第2の駆動能力の調整用バッフア
とを備え、クロックツリー合成後のシミュレーション結
果に応じてクロックスキューが所定範囲内となるよう前
記基準バッフア及び前記調整用バッフアのいずれか一方
を前記駆動用バッフアとして選択することを特徴とする
ものである。
SUMMARY OF THE INVENTION A clock tree according to the present invention comprises a plurality of buffer groups each comprising a plurality of buffers cascaded to distribute a clock for operating a logic circuit incorporated in a large-scale integrated circuit. In a clock tree in which a last-stage buffer group composed of a driving buffer drives the logic circuit, each of the driving buffers constituting the last-stage buffer group is a reference buffer having a predetermined first driving capability. A buffer for adjusting a second driving capability different from the first driving capability disposed in the vicinity of the reference buffer, wherein the reference skew is within a predetermined range according to a simulation result after the synthesis of the clock tree. One of the buffer and the adjusting buffer is selected as the driving buffer.

【0017】本発明のクロックツリー合成方法は、大規
模集積回路に内蔵する論理回路の動作用のクロックを配
分するため複数のバッフアから成る複数段のバッフア群
を縦続接続し複数の駆動用バッフアから成る最終段のバ
ッフア群が前記論理回路を駆動するクロックツリーを合
成するクロックツリー合成方法において、前記最終段の
バッフア群を構成する前記駆動用バッフアの各々が、予
め定めた第1の駆動能力の基準バッフアと、前記基準バ
ッフアの近傍に配置した第1の駆動能力と異なる第2の
駆動能力の調整用バッフアとを準備し、クロックツリー
合成後のシミュレーション結果に応じてクロックスキュ
ーが所定範囲内となるよう前記基準バッフア及び前記調
整用バッフアのいずれか一方を前記駆動用バッフアとし
て選択することを特徴とするものである。
According to the clock tree synthesizing method of the present invention, in order to distribute a clock for operating a logic circuit incorporated in a large-scale integrated circuit, a plurality of stages of buffer groups composed of a plurality of buffers are cascade-connected to form a plurality of driving buffers. In the clock tree synthesizing method in which the final-stage buffer group synthesizes a clock tree for driving the logic circuit, each of the driving buffers constituting the final-stage buffer group has a predetermined first driving capability. A reference buffer and a buffer for adjusting a second driving capability different from the first driving capability disposed near the reference buffer are prepared, and the clock skew is within a predetermined range according to a simulation result after the clock tree synthesis. So that one of the reference buffer and the adjustment buffer is selected as the driving buffer. It is an butterfly.

【0018】[0018]

【発明の実施の形態】次に、本発明の実施の形態のクロ
ックツリー合成対象のクロックツリー10を図8と共通
の構成要素には共通の参照文字/数字を付して同様にブ
ロックで示す図1を参照すると、この図に示す本実施の
形態のクロックツリー10は、従来と共通のクロックC
Kが入力するクロック入力端子TC1に接続した第1段
のバッフア1と、バッフア1の出力の供給を受けそれぞ
れ複数の同一駆動能力のバッフアを含む縦続接続された
第2段〜第n−2段(nは整数)のバッフア群から成る
バッフア群2と、バッフア群2の第n−2段のバッフア
群の出力の供給を受け同一駆動能力の複数のバッフア3
1,32,・・・を含む第n−1段のバッフア群3とに
加えて、バッフア群4の代わりにバッフア群3の出力の
供給を受け同一駆動能力(基準駆動能力)の複数のバッ
フア41,42,・・・に加えてこれらバッフア41,
42,・・・の各々毎に駆動能力がバッフア41,42
より高い高駆動能力の調整用バッフア61,62,・・
・と駆動能力がバッフア41,42より低い低駆動能力
の調整用バッフア71,72,・・・とを近傍に有する
第n段すなわち最終段のバッフア群6とを備え、従来と
共通の、バッフア群6の各々の出力するクロックCKD
の供給を受ける複数のフリップフロップ51,52,5
3,・・・を含むフリップフロップ群5を駆動する。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS Next, a clock tree 10 to be synthesized according to an embodiment of the present invention is shown in the same manner as FIG. Referring to FIG. 1, a clock tree 10 of the present embodiment shown in FIG.
A first-stage buffer 1 connected to a clock input terminal TC1 to which K is input, and a cascade-connected second to (n-2) th stages each including a plurality of buffers having the same driving capability, receiving the output of the buffer 1 (N is an integer) buffer group 2 and a plurality of buffers 3 having the same driving capability, receiving the outputs of the buffer group of the (n-2) th stage of the buffer group 2.
, A plurality of buffers having the same driving capability (reference driving capability) receiving the output of the buffer group 3 in place of the buffer group 4 in addition to the (n-1) -th stage buffer group 3 including 1, 32,. 41, 42,... And these buffers 41,
, The driving capacity of each of the buffers 41, 42
Adjustment buffers 61, 62,.
. And a buffer group 6 of the n-th stage, that is, the final stage, which has low driving capability adjusting buffers 71, 72,... Having low driving capability lower than the buffers 41, 42 in the vicinity. Clock CKD output from each of groups 6
Flip-flops 51, 52, 5 receiving the
The flip-flop group 5 including 3,... Is driven.

【0019】すなわちバッフア41の近傍には、高駆動
能力のバッフア61と低駆動能力の調整用バッフア71
とを配置し、バッフア42の近傍には、高駆動能力の調
整用バッフア62と低駆動能力の調整用バッフア72と
を配置する。以下バッフア43以降についても同様であ
る。
That is, in the vicinity of the buffer 41, a high driving ability buffer 61 and a low driving ability adjusting buffer 71 are provided.
In the vicinity of the buffer 42, an adjusting buffer 62 having a high driving ability and an adjusting buffer 72 having a low driving ability are arranged. The same applies to the buffer 43 and thereafter.

【0020】調整用バッフア61は、例えば基準駆動能
力のバッフア41の出力用トランジスタと同一サイズの
トランジスタをm個(mは整数)を並列駆動するか、あ
るいはバッフア41の出力用トランジスタのサイズのm
倍のサイズのトランジスタを用いて実現できる。
The adjusting buffer 61 drives, for example, m transistors (m is an integer) of the same size as the output transistor of the buffer 41 having the reference driving capability, or the size of the output transistor of the buffer 41 is m.
It can be realized by using a transistor of twice the size.

【0021】同様に、調整用バッフア71は、例えば基
準駆動能力のバッフア41の出力用トランジスタのサイ
ズの1/m倍のサイズのトランジスタを用いて実現でき
る。また、調整用バッフア71の出力用トランジスタを
m個並列駆動してバッフア41の出力用トランジスタを
構成しても良い。
Similarly, the adjusting buffer 71 can be realized by using a transistor having a size which is 1 / m times the size of the output transistor of the buffer 41 having the reference driving capability. Further, the output transistor of the buffer 41 may be configured by driving m output transistors of the adjustment buffer 71 in parallel.

【0022】公知のように、出力用のバッフアの負荷容
量を含む負荷が一定の場合は、バッフアの駆動能力の大
きさに対応して信号遅延が変化する。すなわち、バッフ
ア駆動能力が低い場合は信号遅延が大きくなり、駆動能
力が高い場合は信号遅延が小さくなる。
As is well known, when the load including the load capacity of the output buffer is constant, the signal delay changes in accordance with the magnitude of the driving capability of the buffer. That is, when the buffer driving capability is low, the signal delay increases, and when the driving capability is high, the signal delay decreases.

【0023】したがって、本実施の形態では以下に説明
するように、まず、クロックツリーを基準駆動能力のバ
ッフア41(代表例)を用いて合成した後、クロックス
キューを計算し、この計算結果、クロックスキューが予
測値より大きい場合は、バッフア41を高駆動能力のバ
ッフア61に変更し、予測値より小さい場合は、バッフ
ア41を低駆動能力のバッフア71に変更することによ
り、クロックスキューを所定の予測範囲内に収めるもの
である。
Therefore, in the present embodiment, as described below, first, a clock tree is synthesized using a buffer 41 (a typical example) having a reference driving capability, and then a clock skew is calculated. When the queue is larger than the predicted value, the buffer 41 is changed to the buffer 61 having a high driving capability, and when the queue is smaller than the predicted value, the buffer 41 is changed to the buffer 71 having a low driving capability, whereby the clock skew is changed to a predetermined value. It is within the range.

【0024】本実施の形態のクロックツリー合成の処理
を図9と共通の構成要素には共通の参照文字/数字を付
して同様にフローチャートで示す図2を参照すると、従
来と共通のステップF1〜F5に加えて、最終段のバッ
フア群6の基準駆動能力のバッフア41,42,・・・
の各々毎に基準駆動能力に対し高・低各駆動能力の調整
用バッフア61,62を設定する調整用バッフア設定ス
テップA1と、ステップA1で設定した調整用バッフア
61,71のいずれかを選択配置する調整用バッフア配
置ステップA2と、クロックスキューが予測値の範囲内
にあるかを判定するスキュー値判定ステップA3と、ス
キュー値の予測値の範囲外のフリップフロップ及びその
駆動用のバッフアを抽出する予測値範囲外抽出ステップ
A4と、その抽出個所を変更した場合のクロックスキュ
ー値を計算する変更計算ステップA5と、クロックスキ
ュー値が予測値の範囲内になるよう接続を変更し配線修
正する配線修正ステップA6と、ステップA2で配置し
た調整用バッフアで未使用のバッフアを削除する未使用
バッフア削除ステップA7とを有している。
The clock tree synthesizing process according to the present embodiment is denoted by the same reference characters / numbers as those of FIG. .. F5, the buffers 41, 42,.
The adjustment buffer setting step A1 for setting the adjustment buffers 61 and 62 for the high and low drive ability with respect to the reference drive ability for each of the above, and one of the adjustment buffers 61 and 71 set in the step A1 is selectively arranged. An adjusting buffer arranging step A2, a skew value judging step A3 for judging whether or not the clock skew is within a range of a predicted value, and extracting a flip-flop and a buffer for driving the flip-flop outside the range of the predicted skew value. Prediction value out-of-range extraction step A4, change calculation step A5 for calculating the clock skew value when the extraction location is changed, and wiring correction for changing the connection and correcting the wiring so that the clock skew value is within the prediction value range Step A6 and an unused buffer deletion step of deleting unused buffers in the adjustment buffer arranged in step A2. And a flop A7.

【0025】次に、図1、図2及びレイアウト結果をレ
イアウト図で示す図3を参照して本実施の形態のクロッ
クツリーの合成方法について説明すると、まず、従来と
同様に、クロックツリー10の合成処理を実施する。ク
ロックツリー10を除く全てのブロックを配置し、クロ
ックツリー10の各バッフアの負荷となるフリップフロ
ップ群5の各々のフリップフロップの個数、入力容量、
配置位置からクロックスキュー値が小さくなるようにク
ロックツリー10を合成する(ステップF1)。
Next, the method of synthesizing the clock tree according to the present embodiment will be described with reference to FIGS. 1 and 2 and FIG. 3 showing a layout result in a layout diagram. Perform the combining process. All the blocks except the clock tree 10 are arranged, and the number of flip-flops of each flip-flop group 5 serving as a load of each buffer of the clock tree 10, input capacity,
The clock tree 10 is synthesized so that the clock skew value becomes smaller from the arrangement position (step F1).

【0026】次に、ステップF1で合成されたクロック
ツリー10について最終段のバッフア群6の全てのバッ
フア41,42,・・・の各々に対して、それぞれ高駆
動能力の調整用調整用バッフア61,62,・・・及び
低駆動能力の調整用バッフア71,72,・・・を設定
する(ステップA1)。
Next, with respect to all the buffers 41, 42,... Of the buffer group 6 in the final stage of the clock tree 10 synthesized in step F1, an adjustment buffer 61 for adjustment of high driving capability is provided. , 62,... And low drive capability adjustment buffers 71, 72,.

【0027】次に、従来と同様に、クロックツリー10
を配置する(ステップF2)。
Next, as in the prior art, the clock tree 10
Are arranged (step F2).

【0028】次に、ステップA1で設定した高駆動能力
の調整用調整用バッフア61,62,・・・及び低駆動
能力の調整用バッフア71,72,・・・を、最終段バ
ッフア群6内の全ての対応するバッフア41,42,・
・・に対してそれぞれの近傍に配置する(ステップA
2)。図3を参照すると、バッフア41に対して、調整
用バッフア61,71が近傍に配置されていることを示
す。
Next, the adjustment buffers 61, 62,... For adjusting the high driving ability and the adjustment buffers 71, 72,. All corresponding buffers 41, 42,.
.. are arranged near each other (step A
2). FIG. 3 shows that the adjustment buffers 61 and 71 are arranged near the buffer 41.

【0029】次に、クロックツリー10とフリップフロ
ップ群12のクロック入力用の配線をそれぞれ配線し
(ステップF3)、クロックスキュー値を計算する(ス
テップF5)。
Next, the clock tree 10 and the wiring for the clock input of the flip-flop group 12 are respectively wired (step F3), and the clock skew value is calculated (step F5).

【0030】計算結果から、フリップフロップ群5のク
ロックスキュー値が予測値の範囲外にあるフリップフロ
ップを抽出し、そのフリップフロップのクロック入力に
接続されている配線と前段に接続されているクロックツ
リー10の最終段バッフア群6のバッフアの1個及びそ
の近傍に配置されステップA2で設定した対応する高駆
動能力及び低駆動能力の2個1組の調整用バッフアを抽
出する(ステップA4)。
From the calculation result, a flip-flop in which the clock skew value of the flip-flop group 5 is out of the range of the predicted value is extracted, and the wiring connected to the clock input of the flip-flop and the clock tree connected to the previous stage are extracted. One set of two adjustment buffers of high driving ability and low driving ability set in step A2 and extracted in the vicinity of one of the buffers of the ten final-stage buffer group 6 and the vicinity thereof are extracted (step A4).

【0031】次に、ステップA4の抽出個所の接続を変
更可能な全ての組み合わせについて設定し、それぞれ変
更した場合のクロックスキュー値を全て計算する(ステ
ップA5)。
Next, the connection at the extraction point in step A4 is set for all the combinations that can be changed, and all the clock skew values when the connection is changed are calculated (step A5).

【0032】その結果からクロックスキュー値が予測値
の範囲内になる接続(予測値の範囲内になる接続の変更
が2通り以上ある時は、クロックスキューが一番小さく
なる接続)を選択し、接続変更のための配線修正を実施
する(ステップA6)。
From the result, a connection in which the clock skew value falls within the range of the predicted value is selected (when there are two or more types of connection changes that fall within the range of the predicted value, the connection in which the clock skew becomes the smallest) is selected. The wiring is modified to change the connection (step A6).

【0033】次に、未使用の調整用バッフアの入力を削
除する(ステップA7)。
Next, the input of the unused adjustment buffer is deleted (step A7).

【0034】最後にクロックツリー以外の接続を配線す
る(ステップF4)。
Finally, connections other than the clock tree are wired (step F4).

【0035】次に、調整用バッフアの使用対応の接続変
更案データを回路で示す図4,図5を併せて参照しなが
ら具体例を用いて本発明の動作を詳細に説明すると、こ
こでは、例として、フリップフロップ群5のフリップフ
ロップ53のクロックスキュー値が予測値を超えている
ものとする。
Next, the operation of the present invention will be described in detail using a specific example with reference to FIGS. 4 and 5, which show connection change plan data corresponding to the use of the adjusting buffer. As an example, it is assumed that the clock skew value of the flip-flop 53 of the flip-flop group 5 exceeds the predicted value.

【0036】ステップA4で、バッフア41、調整用バ
ッフア61,71及び配線8を抽出する。ステップA5
では、予め設定され図4(A),(B),(C),図5
(A),(B),(C)に示す全ての接続変更案データ
を参照し、それぞれの変更案を実施した場合のクロック
スキュー値を、バッフア41,61,71の配置位置及
び配線済みの配線8の配線容量を用いてそれぞれ計算す
る。本例では接続変更案データD1には、図4(A),
(B),(C),図5(A),(B),(C)の6例を
設定している。
In step A4, the buffer 41, the adjusting buffers 61 and 71, and the wiring 8 are extracted. Step A5
4A, 4B, and 5C, and FIG.
With reference to all the connection change plan data shown in (A), (B), and (C), the clock skew value when each change plan is implemented is determined based on the arrangement positions of the buffers 41, 61, and 71 and the wired state. Each calculation is performed using the wiring capacitance of the wiring 8. In this example, the connection change plan data D1 includes FIG.
Six examples of (B), (C), and FIGS. 5 (A), (B), and (C) are set.

【0037】ステップA6では、計算の結果より、上記
接続変更案データの中のクロックスキュー値が予測値の
範囲内になり、1番クロックスキュー値が小さくなった
図4(A)の接続、すなわち、調整用バッフア61を用
いる接続を選択し、バッフア41,61及びフリップフ
ロップ53のクロック入力の接続を変更する。このため
変更した個所だけ配線修正する。
In step A6, based on the calculation result, the clock skew value in the connection change plan data is within the range of the predicted value, and the connection in FIG. , The connection using the adjustment buffer 61 is selected, and the connection between the buffers 41 and 61 and the clock input of the flip-flop 53 is changed. Therefore, the wiring is corrected only at the changed location.

【0038】ステップA7では、ステップA2で配置さ
れた調整用バッフア61,71の内、未使用の調整用バ
ッフア71の入力を削除する。次に、本発明の第2の実
施の形態クロックツリー合成対象のクロックツリー10
Aを図1と共通の構成要素には共通の参照文字/数字を
付して同様にブロックで示す図6を参照すると、この図
に示す本実施の形態の前述の第1の実施の形態との相違
点は、最終段のバッフア群6の代わりの最終段のバッフ
ア群6Aが、基準駆動能力のバッフア41,42,・・
・の各々毎にバッフア41,42と駆動能力が異なる調
整用バッフア1種類のみ、この例では低駆動能力の調整
用バッフア71,72,・・・のみを近傍に有すること
である。すなわち、高駆動能力の調整用バッフア61,
62,・・・を有しないことである。
In step A7, the input of the unused adjustment buffer 71 among the adjustment buffers 61 and 71 arranged in step A2 is deleted. Next, according to the second embodiment of the present invention, the clock tree 10 to be synthesized with the clock tree 10 will be described.
FIG. 6, in which A is denoted by common reference characters / numerals for the same components as in FIG. 1 and is similarly shown in a block diagram, is referred to as FIG. 6. The difference is that the last-stage buffer group 6A instead of the last-stage buffer group 6 has buffers 41, 42,.
.. Has only one type of adjusting buffer having a different driving capability from the buffers 41 and 42 for each of the buffers. In this example, only the adjusting buffers 71, 72,. That is, the adjusting buffer 61 having a high driving capability,
62,...

【0039】本実施の形態の動作は、図2の第1の実施
の形態と同様である。本実施の形態では、クロックスキ
ューの調整用バッフアが1種類であるため、クロックツ
リーの配置時間(ステップA2)と、抽出個所の接続を
変更可能な全ての組み合わせついて設定し、それぞれ変
更した場合のクロックスキュー値を全て計算する(ステ
ップA5)の処理時間が少なくて済む。
The operation of this embodiment is the same as that of the first embodiment shown in FIG. In this embodiment, since there is only one type of buffer for adjusting clock skew, the arrangement time of the clock tree (step A2) and all combinations that can change the connection of the extraction location are set and changed. The processing time for calculating all the clock skew values (step A5) can be reduced.

【0040】このように、本発明では駆動能力が同じま
たは異なるクロックスキュー調整用バッフアを最終段バ
ッフア1個に対して予め1個以上有することでも実現で
きる。
As described above, the present invention can also be realized by providing one or more clock skew adjustment buffers having the same or different driving capabilities in advance for one final-stage buffer.

【0041】次に、本発明の第3の実施の形態クロック
ツリーの合成方法を図2と共通の構成要素には共通の参
照文字/数字を付して同様にフローチャートで示す図7
を参照すると、この図に示す本実施の形態の前述の第1
の実施の形態との相違点は、変更可能な全ての組み合わ
せについてクロックスキュー値を計算する変更計算ステ
ップA5の代わりに、予め設定した数だけクロックスキ
ュー値を計算する変更計算ステップB5を有し、クロッ
クスキューを予測値内に収めようとすることである。
Next, a third embodiment of the present invention will be described with reference to the flowchart of FIG.
Referring to FIG. 1, the first embodiment of the present embodiment shown in FIG.
The difference from the embodiment is that a change calculation step B5 for calculating a clock skew value by a preset number instead of the change calculation step A5 for calculating the clock skew value for all changeable combinations, An attempt is made to keep the clock skew within the predicted value.

【0042】本実施の形態では、予め接続変更案データ
D1に変更案を数種(ここでは図4(A),(B),
(C)の3種とする)設定し、その変更案に沿ってクロ
ックスキュー値を計算する。
In the present embodiment, several types of change proposals (here, FIGS. 4 (A), (B),
(C), and the clock skew value is calculated according to the proposed change.

【0043】3種の変更案の計算結果からクロックスキ
ュー値が1番小さくなるものを選択して接続を変更し配
線修正する。
From the calculation results of the three types of changes, the one with the smallest clock skew value is selected, the connection is changed, and the wiring is corrected.

【0044】そのためクロックスキュー値を予測値の範
囲内にするための処理時間が少なく済むという新たな効
果を有する。
Therefore, there is a new effect that the processing time for setting the clock skew value within the range of the predicted value is reduced.

【0045】このように、本発明では、クロックスキュ
ー値が予測値の範囲外になったときの修正方法を予め数
種類設定しておくことでも実現できる。
As described above, the present invention can also be realized by setting several kinds of correction methods in advance when the clock skew value is out of the range of the predicted value.

【0046】[0046]

【発明の効果】以上説明したように、本発明のクロック
ツリー及びその合成方法は、駆動用バッフアの各々が、
基準バッフアと、この基準バッフアの近傍に配置した異
なる駆動能力の調整用バッフアとを備え、クロックツリ
ー合成後のシミュレーション結果に応じてクロックスキ
ューが所定範囲内となるよう上記基準バッフア及び上記
調整用バッフアのいずれか一方を駆動用バッフアとして
選択することにより、クロックスキューを予測値の範囲
内に一度で収めることができるので、クロックスキュー
が予測値の範囲を超えたことによる修正が不要となると
いう効果がある。
As described above, according to the clock tree and the method of synthesizing the same according to the present invention, each of the driving buffers includes:
A reference buffer; and a buffer for adjusting different driving capacities arranged in the vicinity of the reference buffer, wherein the reference buffer and the adjustment buffer are arranged such that a clock skew is within a predetermined range according to a simulation result after clock tree synthesis. By selecting one of the two as the driving buffer, the clock skew can be kept within the range of the predicted value at one time, so that there is no need for correction due to the clock skew exceeding the range of the predicted value. There is.

【0047】また、クロックスキューが予測値の範囲を
超えていても、容易にクロックスキューを調整できると
いう効果がある。
Further, there is an effect that even if the clock skew exceeds the range of the predicted value, the clock skew can be easily adjusted.

【0048】さらに、クロックスキューの調整のための
配線修正時点ではクロックツリー以外の配線がないた
め、修正が容易であるという効果がある。
Furthermore, since there is no wiring other than the clock tree at the time of wiring correction for adjusting the clock skew, there is an effect that the correction is easy.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明のクロックツリーの第1の実施の形態を
示すブロック図である。
FIG. 1 is a block diagram showing a first embodiment of a clock tree of the present invention.

【図2】本実施の形態のクロックツリーの合成方法にお
ける処理の一例を示すフローチャートである。
FIG. 2 is a flowchart illustrating an example of a process in a clock tree synthesizing method according to the embodiment;

【図3】本実施の形態のクロックツリーの合成方法によ
る合成結果のクロックツリーの一例を示すレイアウト図
である。
FIG. 3 is a layout diagram illustrating an example of a clock tree obtained as a result of synthesis by the clock tree synthesis method according to the present embodiment;

【図4】本実施の形態のクロックツリーの合成方法にお
ける調整用バッフアの具体的な使用方法対応の第1,2
及び第3の接続変更案データを示す回路図である。接続
変更案データを示す回路図である。
FIG. 4 is a diagram illustrating a first and a second corresponding to a specific usage method of the adjusting buffer in the clock tree synthesizing method according to the present embodiment.
FIG. 13 is a circuit diagram showing third connection change proposal data. It is a circuit diagram which shows connection change proposal data.

【図5】本実施の形態のクロックツリーの合成方法にお
ける調整用バッフアの具体的な使用方法対応の第4,5
及び第6の接続変更案データを示す回路図である。
FIG. 5 is a diagram illustrating a fourth example of the adjustment buffer used in the method of synthesizing the clock tree according to the embodiment;
FIG. 16 is a circuit diagram showing a sixth connection change proposal data.

【図6】本発明のクロックツリーの第2の実施の形態を
示すブロック図である。
FIG. 6 is a block diagram illustrating a clock tree according to a second embodiment of the present invention;

【図7】本発明の第3の実施の形態のクロックツリーの
合成方法における処理の一例を示すフローチャートであ
る。
FIG. 7 is a flowchart illustrating an example of a process in a clock tree synthesizing method according to a third embodiment of the present invention.

【図8】従来のクロックツリーの一例を示すブロック図
である。
FIG. 8 is a block diagram illustrating an example of a conventional clock tree.

【図9】従来のクロックツリーの合成方法における処理
の一例を示すフローチャートである。
FIG. 9 is a flowchart illustrating an example of processing in a conventional clock tree synthesis method.

【図10】従来のクロックツリーの合成方法による合成
結果のクロックツリーの一例を示すレイアウト図であ
る。
FIG. 10 is a layout diagram illustrating an example of a clock tree obtained as a result of synthesis according to a conventional clock tree synthesis method.

【符号の説明】[Explanation of symbols]

1,31,32,41,42 バッフア 2,3,4,6,6A バッフア群 5 フリップフロップ群 8 配線 51,52,53 フリップフロップ 61,71 調整用バッフア 100,10,10A クロックツリー 1, 31, 32, 41, 42 Buffer 2, 3, 4, 6, 6A Buffer group 5 Flip-flop group 8 Wiring 51, 52, 53 Flip-flop 61, 71 Adjustment buffer 100, 10, 10A Clock tree

Claims (10)

【特許請求の範囲】[Claims] 【請求項1】 大規模集積回路に内蔵する論理回路の動
作用のクロックを配分するため複数のバッフアから成る
複数段のバッフア群を縦続接続し複数の駆動用バッフア
から成る最終段のバッフア群が前記論理回路を駆動する
クロックツリーにおいて、 前記最終段のバッフア群を構成する前記駆動用バッフア
の各々が、予め定めた第1の駆動能力の基準バッフア
と、 前記基準バッフアの近傍に配置した第1の駆動能力と異
なる第2の駆動能力の調整用バッフアとを備え、 クロックツリー合成後のシミュレーション結果に応じて
クロックスキューが所定範囲内となるよう前記基準バッ
フア及び前記調整用バッフアのいずれか一方を前記駆動
用バッフアとして選択することを特徴とするクロックツ
リー。
1. A cascade connection of a plurality of buffer groups of a plurality of buffers for distributing an operation clock of a logic circuit built in a large-scale integrated circuit, and a final-stage buffer group of a plurality of driving buffers. In the clock tree for driving the logic circuit, each of the driving buffers constituting the last-stage buffer group includes a reference buffer having a predetermined first drive capability and a first buffer arranged near the reference buffer. And a buffer for adjusting a second driving ability different from the driving ability of the reference tree, wherein one of the reference buffer and the adjusting buffer is adjusted so that a clock skew is within a predetermined range according to a simulation result after clock tree synthesis. A clock tree selected as the driving buffer.
【請求項2】 前記調整用バッフアが、前記基準バッフ
アより駆動能力が高い高駆動能力の第1の調整用バッフ
アであることを特徴とする請求項1記載のクロックツリ
ー。
2. The clock tree according to claim 1, wherein said adjusting buffer is a first adjusting buffer having a higher driving ability than said reference buffer.
【請求項3】 前記調整用バッフアが、前記基準バッフ
アより駆動能力が低い低駆動能力の第2の調整用バッフ
アであることを特徴とする請求項1記載のクロックツリ
ー。
3. The clock tree according to claim 1, wherein the adjusting buffer is a second adjusting buffer having a lower driving ability and a lower driving ability than the reference buffer.
【請求項4】 前記基準バッフアより駆動能力が高い高
駆動能力の第1の調整用バッフアと、 前記基準バッフアより駆動能力が低い低駆動能力の第2
の調整用バッフアとを備えることを特徴とする請求項1
記載のクロックツリー。
4. A first adjusting buffer having a high driving capability higher than the reference buffer and a second adjusting buffer having a low driving capability lower than the reference buffer.
2. An adjusting buffer according to claim 1, further comprising:
Clock tree described.
【請求項5】 大規模集積回路に内蔵する論理回路の動
作用のクロックを配分するため複数のバッフアから成る
複数段のバッフア群を縦続接続し複数の駆動用バッフア
から成る最終段のバッフア群が前記論理回路を駆動する
クロックツリーを合成するクロックツリー合成方法にお
いて、 前記最終段のバッフア群を構成する前記駆動用バッフア
の各々が、予め定めた第1の駆動能力の基準バッフア
と、 前記基準バッフアの近傍に配置した第1の駆動能力と異
なる第2の駆動能力の調整用バッフアとを準備し、 クロックツリー合成後のシミュレーション結果に応じて
クロックスキューが所定範囲内となるよう前記基準バッ
フア及び前記調整用バッフアのいずれか一方を前記駆動
用バッフアとして選択することを特徴とするクロックツ
リー合成方法。
5. A cascade connection of a plurality of buffer groups of a plurality of buffers for distributing an operation clock of a logic circuit built in a large-scale integrated circuit, and a final-stage buffer group of a plurality of driving buffers is provided. In a clock tree synthesizing method for synthesizing a clock tree for driving the logic circuit, each of the driving buffers constituting the last-stage buffer group includes a reference buffer having a predetermined first driving capability, and the reference buffer. And a buffer for adjusting a second driving capability different from the first driving capability, which is arranged near the reference buffer, and the reference buffer and the buffer are adjusted so that the clock skew is within a predetermined range according to the simulation result after the synthesis of the clock tree. A method for synthesizing a clock tree, wherein one of the adjusting buffers is selected as the driving buffer. .
【請求項6】 前記調整用バッフアが、前記基準バッフ
アより駆動能力が高い高駆動能力の第1の調整用バッフ
アであることを特徴とする請求項5記載のクロックツリ
ー合成方法。
6. The clock tree synthesizing method according to claim 5, wherein the adjusting buffer is a first adjusting buffer having a higher driving ability than the reference buffer.
【請求項7】 前記調整用バッフアが、前記基準バッフ
アより駆動能力が低い低駆動能力の第2の調整用バッフ
アであることを特徴とする請求項5記載のクロックツリ
ー合成方法。
7. The clock tree synthesizing method according to claim 5, wherein said adjusting buffer is a second adjusting buffer having a low driving ability lower in driving ability than said reference buffer.
【請求項8】 前記基準バッフアより駆動能力が高い高
駆動能力の第1の調整用バッフアと、 前記基準バッフアより駆動能力が低い低駆動能力の第2
の調整用バッフアとを備えることを特徴とする請求項5
記載のクロックツリー合成方法。
8. A first adjustment buffer having a high driving ability higher than the reference buffer and a second adjusting buffer having a lower driving ability lower than the reference buffer.
6. An adjusting buffer according to claim 1, further comprising:
The described clock tree synthesis method.
【請求項9】 前記最終段のバッフア群の前記基準バッ
フアの各々毎に前記調整用バッフアを設定する調整用バ
ッフア設定ステップと、 前記調整用バッフア設定ステップで設定した前記調整用
バッフアを配置する調整用バッフア配置ステップと、 クロックスキューが予測値の範囲内にあるかを判定する
スキュー値判定ステップと、 前記クロックスキューの予測値の範囲外の駆動対象の論
理回路及びその駆動用バッフアを抽出する予測値範囲外
抽出ステップと、 前記予測値範囲外抽出ステップで抽出した抽出個所の前
記駆動用バッフアを前記調整用バッフアに変更した場合
のクロックスキューを計算する変更計算ステップと、 前記クロックスキューが前記予測値の範囲内になるよう
接続を変更し配線修正する配線修正ステップと、 前記調整用バッフア配置ステップで配置した前記調整用
バッフアで未使用のバッフアを削除する未使用バッフア
削除ステップとを有することを特徴とする請求項5記載
のクロックツリー合成方法。
9. An adjusting buffer setting step of setting the adjusting buffer for each of the reference buffers of the last-stage buffer group, and an adjusting step of arranging the adjusting buffer set in the adjusting buffer setting step. Buffer arranging step; skew value judging step of judging whether clock skew is within a range of a predicted value; and prediction for extracting a logic circuit to be driven and a buffer for driving the circuit outside the range of the predicted value of the clock skew. A value-out-of-value extraction step; a change calculation step of calculating a clock skew when the driving buffer at the extraction location extracted in the prediction value-out extraction step is changed to the adjustment buffer; and A wiring correction step of changing the connection and correcting the wiring so as to fall within the value range; 6. The clock tree synthesizing method according to claim 5, further comprising: an unused buffer deleting step of deleting an unused buffer in the adjustment buffer arranged in the buffer arranging step.
【請求項10】 前記変更計算ステップが、予め設定し
た数の事例だけクロックスキューを計算することを特徴
とする請求項9記載のクロックツリー合成方法。
10. The clock tree synthesizing method according to claim 9, wherein said change calculation step calculates the clock skew for a predetermined number of cases.
JP02817398A 1998-02-10 1998-02-10 Clock tree synthesis method Expired - Fee Related JP3217022B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP02817398A JP3217022B2 (en) 1998-02-10 1998-02-10 Clock tree synthesis method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP02817398A JP3217022B2 (en) 1998-02-10 1998-02-10 Clock tree synthesis method

Publications (2)

Publication Number Publication Date
JPH11232311A true JPH11232311A (en) 1999-08-27
JP3217022B2 JP3217022B2 (en) 2001-10-09

Family

ID=12241352

Family Applications (1)

Application Number Title Priority Date Filing Date
JP02817398A Expired - Fee Related JP3217022B2 (en) 1998-02-10 1998-02-10 Clock tree synthesis method

Country Status (1)

Country Link
JP (1) JP3217022B2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6832328B2 (en) 2000-07-28 2004-12-14 Renesas Technology Corp. Placement and routing method for optimizing clock skew in clock distribution circuit
JP2006066914A (en) 2004-08-24 2006-03-09 Agere Systems Inc Integrated circuit comprising signal skew adjustment cell chosen from among cell library
US7571406B2 (en) 2005-08-04 2009-08-04 Freescale Semiconductor, Inc. Clock tree adjustable buffer
KR20150130285A (en) * 2013-03-15 2015-11-23 시놉시스, 인크. Automatic clock tree synthesis exceptions generation

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6832328B2 (en) 2000-07-28 2004-12-14 Renesas Technology Corp. Placement and routing method for optimizing clock skew in clock distribution circuit
JP2006066914A (en) 2004-08-24 2006-03-09 Agere Systems Inc Integrated circuit comprising signal skew adjustment cell chosen from among cell library
US7571406B2 (en) 2005-08-04 2009-08-04 Freescale Semiconductor, Inc. Clock tree adjustable buffer
US7917875B1 (en) 2005-08-04 2011-03-29 Freescale Semiconductor, Inc. Clock tree adjustable buffer
KR20150130285A (en) * 2013-03-15 2015-11-23 시놉시스, 인크. Automatic clock tree synthesis exceptions generation

Also Published As

Publication number Publication date
JP3217022B2 (en) 2001-10-09

Similar Documents

Publication Publication Date Title
KR100249251B1 (en) Logic circuit optimization apparatus and its method
US20090189641A1 (en) Integrated circuit device and layout design method therefor
JPH05159080A (en) Logical integrated circuit
JP2001357090A (en) Method and device for synthesizing logic
US6260181B1 (en) Integrated circuit and the design method thereof
US7382170B2 (en) Programmable delay circuit having reduced insertion delay
JP3217022B2 (en) Clock tree synthesis method
JP3178371B2 (en) Design method of semiconductor integrated circuit
JP2008153327A (en) Method of designing semiconductor integrated circuit and semiconductor integrated circuit
JP3412745B2 (en) Clock supply device for semiconductor circuit and design method thereof
US8006210B2 (en) Logic transformation and gate placement to avoid routing congestion
JP2003173361A (en) Layout design method and device for semiconductor integrated circuit
JP2701779B2 (en) Clock skew reduction method
US8060850B2 (en) Method for designing semiconductor integrated circuit
US6476639B2 (en) Semiconductor integrated circuit device capable of producing output thereof without being influenced by other input
JP2000035832A (en) Semiconductor integrated circuit and its clock distributing method
JPH0384951A (en) Layout design of integrated circuit
JP2005116793A (en) Semiconductor integrated circuit and its clock wiring method
US6292043B1 (en) Semiconductor integrated circuit device
JP2002041591A (en) Method for arranging and wiring clock distributing circuit, production method for clock distributing circuit, production method for semiconductor device, clock distributing circuit and semiconductor device
US7519926B2 (en) Semiconductor device and method for designing the same
JP2004241699A (en) Design method of semiconductor integrated circuit device
JP2000294737A (en) Semiconductor integrated circuit and its manufacture
JPH09146655A (en) Clock distributing method
JP2000307411A (en) Simultaneous operation control circuit and its control method

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20010410

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20010710

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20070803

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080803

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080803

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090803

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090803

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100803

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100803

Year of fee payment: 9

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100803

Year of fee payment: 9

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110803

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110803

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120803

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120803

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130803

Year of fee payment: 12

LAPS Cancellation because of no payment of annual fees