JP3178371B2 - Design method of semiconductor integrated circuit - Google Patents

Design method of semiconductor integrated circuit

Info

Publication number
JP3178371B2
JP3178371B2 JP11563597A JP11563597A JP3178371B2 JP 3178371 B2 JP3178371 B2 JP 3178371B2 JP 11563597 A JP11563597 A JP 11563597A JP 11563597 A JP11563597 A JP 11563597A JP 3178371 B2 JP3178371 B2 JP 3178371B2
Authority
JP
Japan
Prior art keywords
circuit
buffer
clock
gate
clustering
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP11563597A
Other languages
Japanese (ja)
Other versions
JPH10308450A (en
Inventor
真太郎 竹中
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP11563597A priority Critical patent/JP3178371B2/en
Publication of JPH10308450A publication Critical patent/JPH10308450A/en
Application granted granted Critical
Publication of JP3178371B2 publication Critical patent/JP3178371B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、低電力な半導体集
積回路の設計方法に関し、特に、ゲーテッドクロック回
路の配置配線手法に関する。
The present invention relates to a method for designing a low-power semiconductor integrated circuit, and more particularly to a method for arranging and wiring a gated clock circuit.

【0002】[0002]

【従来の技術】半導体集積回路は、大規模化に伴い、ク
ロック同期回路として設計されることが一般的になって
いる。また近年、LSIチップの低電力化の要求が高く
なり、クロック信号で消費される電力を低減するクロッ
ク同期回路(ゲーテッドクロック回路)が用いられる。
その一例が、「1994年、アイ・イー・イー・イー・
インターナショナル・ソリッド−ステート・サーキット
・カンファレンス・ダイジェスト・オブ・テクニカル・
ペーパーズ、pp.201−203(1994IEEE
International Solid−Stat
e Circuits Conference,DIG
EST OF TECHNICAL PAPERS,p
p.201−203)」に記載されている。
2. Description of the Related Art A semiconductor integrated circuit is generally designed as a clock synchronous circuit with an increase in scale. In recent years, there has been an increasing demand for low power LSI chips, and clock synchronous circuits (gated clock circuits) that reduce the power consumed by clock signals have been used.
An example of this is “IEE
International Solid-State Circuit Conference Digest of Technical
Papers, pp. 201-203 (1994 IEEE)
International Solid-Stat
e Circuits Conference, DIG
EST OF TECHNICAL PAPERS, p
p. 201-203) ".

【0003】図5は、従来技術の構成を示す概念図であ
り、図5に示すように、クロックライン58a,58b
が多入力ゲート52a,52bに接続され、多入力ゲー
ト52a,52bの出力には各機能毎にまとめられたク
ロックツリー回路60A,60Bが接続される。クロッ
クツリー回路60A,60Bはクロック信号を増幅する
バッファ53,54、フリップフロップ55a,55b
がツリー状に構成されている。また多入力ゲートの入力
端子にはクロックイネーブルライン56a,56bによ
ってセレクタ回路57が接続されている。セレクタ回路
57からクロック制御信号を多入力ゲート52a,52
bに出力することによって、クロックツリー60A,6
0Bへのクロック信号の供給を制御する。
FIG. 5 is a conceptual diagram showing the configuration of the prior art. As shown in FIG. 5, clock lines 58a and 58b
Are connected to the multi-input gates 52a and 52b, and the outputs of the multi-input gates 52a and 52b are connected to clock tree circuits 60A and 60B for each function. The clock tree circuits 60A and 60B include buffers 53 and 54 for amplifying a clock signal, and flip-flops 55a and 55b.
Are arranged in a tree shape. A selector circuit 57 is connected to input terminals of the multi-input gate by clock enable lines 56a and 56b. The clock control signal is supplied from the selector circuit 57 to the multi-input gates 52a, 52a.
b, the clock tree 60A, 6
Control the supply of the clock signal to OB.

【0004】[0004]

【発明が解決しようとする課題】従来のゲーテッドクロ
ック回路の問題点は、クロック信号が供給されるフリッ
プフロップ(FF)間の遅延時間差(スキュー)が大き
くなることである。これについて、図5および図6を参
照しながら説明する。
A problem of the conventional gated clock circuit is that a delay time difference (skew) between flip-flops (FF) to which a clock signal is supplied becomes large. This will be described with reference to FIGS.

【0005】図5において、機能Aの回路に含まれるF
F55aの数が機能Bの回路に含まれるFF55bの数
に比して大きい場合、クロックツリー60Aがチップを
占める面積もクロックツリー60Bがチップを占める面
積に比して大きくなる。したがって、多入力ゲート52
aからFF55aまでの配線長が長くなり、その配線遅
延は多入力ゲート52bからFF55bまでの配線遅延
に比べ大きくなる。また、クロックツリー60Bの各バ
ッファが駆動する負荷に対しクロックツリー60Aの各
バッファが駆動する負荷は大きくなりゲート遅延も大き
くなる。
In FIG. 5, F included in the circuit of function A
When the number of F55a is larger than the number of FF55b included in the function B circuit, the area occupied by the clock tree 60A occupies a larger chip than the area occupied by the clock tree 60B. Therefore, the multi-input gate 52
The wiring length from a to the FF 55a becomes longer, and the wiring delay becomes larger than the wiring delay from the multi-input gate 52b to the FF 55b. Further, the load driven by each buffer of the clock tree 60A is larger than the load driven by each buffer of the clock tree 60B, and the gate delay is also increased.

【0006】このように、バッファ51からFF55a
までの遅延とバッファ51からFF55bまでの遅延の
差は大きくなってしまう。一般に、この遅延差はクロッ
クライン58bの配線長を58aより長くする冗長配線
によって吸収する。
As described above, the buffer 51 to the FF 55a
And the delay between the buffer 51 and the FF 55b becomes large. Generally, this delay difference is absorbed by the redundant wiring that makes the wiring length of the clock line 58b longer than 58a.

【0007】しかし、前記冗長配線の配線抵抗および配
線容量によって多入力ゲート52bに入力されるクロッ
ク波形が鈍り、論理閾値電圧が変動した場合にスキュー
が生じる。例えば、図6に示すように、多入力ゲート5
2aの入力端子のクロック波形70aの波形鈍りが全く
なく、多入力ゲート52bの入力端子のクロック波形7
0bが3.0nsecの波形鈍りがあった場合、論理閾
値電圧VtからVt′へ10%変動するとクロック波形
70bはクロック波形70aに比べ“L”から“H”に
遷移するのに0.3nsec遅延が生じ、スキューが大
きくなる。
However, the clock waveform input to the multi-input gate 52b becomes dull due to the wiring resistance and wiring capacitance of the redundant wiring, and skew occurs when the logical threshold voltage fluctuates. For example, as shown in FIG.
The clock waveform 70a of the input terminal of the multi-input gate 52b is completely free from the dullness of the clock waveform 70a of the input terminal 2a.
When 0b has a waveform dulling of 3.0 nsec, when the logical threshold voltage Vt changes by 10% from Vt ', the clock waveform 70b is delayed by 0.3 nsec from "L" to "H" as compared with the clock waveform 70a. And the skew increases.

【0008】本発明は、上記従来の問題点に鑑みなされ
たもので、クロック信号が供給されるフリップフロップ
間の遅延時間差(スキュー)をなくすことが可能な半導
体集積回路の設計方法を提供することを目的とする
The present invention has been made in view of the above-mentioned conventional problems.
Flip-flop supplied with a clock signal
Semiconductor that can eliminate the delay time difference (skew) between
An object of the present invention is to provide a method for designing a body integrated circuit .

【0009】[0009]

【課題を解決するための手段】本発明は、上記目的を達
成するため、ルートバッファと、前記ルートバッファか
ら順に分岐した複数段のバッファと、最終段多入力ゲー
トとの組み合わせからなるクロックツリー構造を持った
ゲーテッドクロック回路とを有する半導体集積回路の設
計方法において、 前記ゲーテッドクロック回路のバッフ
ァおよび前記多入力ゲート以外のセルの配置後に、仮バ
ッファと仮ゲート、仮ゲートに接続された各機能の回路
に含まれるフリップフロップからなる仮クロックツリー
から前記ゲーテッドクロック回路の専用ネットリストを
生成する工程を含み、 前記ネットリスト生成工程におい
ては、前記仮クロックツリーの仮ネットリストから、挿
入するバッファの段階および個数、最終段多入力ゲート
の個数を決定する工程と、 前記仮ネットリストから各機
能の回路に含まれる前記フリップフロップ毎にクラスタ
リングを行う機能クラスタリング工程と、 前記ゲーテッ
ドクロック回路のバッファおよび多入力ゲート以外のセ
ルをフロアプラン後配置し、前記機能クラスタリング工
程で生成したクラスタ内で配置位置が近傍の前記フリッ
プフロップ間でクラスタリングし、前記最終段多入力ゲ
ートが駆動する前記フリップフロップを決定する位置ク
ラスタリング工程と、 前記位置クラスタリング工程で作
成されたクラスタを配置が近傍のクラスタ間で更にクラ
スタリングし、前段のバッファが駆動する回路を決定す
る工程と、 同様にしてルートバッファまでの各段のバッ
ファが駆動する回路を決定する工程と、を含むことを特
徴としている
The present invention achieves the above object.
The root buffer and the root buffer
Multi-stage buffer that branches in order from the
With a clock tree structure consisting of a combination of
Of a semiconductor integrated circuit having a gated clock circuit
In total process, buffer of the gated clock circuit
Temporary cell after placing cells other than the
Buffer, temporary gate, circuit of each function connected to temporary gate
Clock tree consisting of flip-flops contained in
From the dedicated netlist for the gated clock circuit
Generating a netlist.
From the temporary netlist of the temporary clock tree.
Buffer stages to be input and number, final stage multi-input gate
Determining the number of devices, and
Cluster for each flip-flop included in the circuit
A function clustering step of performing ringing;
Clock circuit buffers and multiple input gates.
After the floor plan, and
In the cluster generated in the previous step,
Clustering between flip-flops, and
A position clock that determines the flip-flop driven by the port
Rastering process and location clustering process
Clusters that have been created can be further clustered between neighboring clusters.
To determine the circuit to be driven by the preceding buffer.
In the same way as in the
Determining the circuit to be driven by the fan.
It is a sign .

【0010】[0010]

【0011】[0011]

【0012】[0012]

【0013】[0013]

【0014】[0014]

【0015】[0015]

【0016】[0016]

【0017】[作用]本発明によれば、ルートバッファ
と該ルートバッファから順に分岐した複数段のバッファ
と、最終段多入力ゲート(NORゲート)の組合せから
なるクロックツリー構造を持つゲーテッドクロック回路
において、その接続関係を全セルの配置後に生成するこ
とにより、また、クロックラインに接続されるFFを機
能毎にクラスタリングした後、さらに配置が近傍のFF
間でクラスタリングすることによって、各バッファ、多
入力ゲートが駆動する負荷を一定にすることができ、こ
のように設計することによって、スキューをなくすこと
ができる。
According to the present invention, there is provided a gated clock circuit having a clock tree structure comprising a combination of a root buffer, a plurality of buffers sequentially branched from the root buffer, and a final-stage multi-input gate (NOR gate). By generating the connection relationship after arranging all the cells, and after clustering the FFs connected to the clock line for each function, the FFs whose arrangement is further close
By performing clustering among them, the load driven by each buffer and the multi-input gate can be made constant, and skew can be eliminated by such a design.

【0018】また、本発明によれば、多入力ゲートを最
終段に挿入することによって、クロックツリー構造のゲ
ーテッドクロック回路となり、冗長配線が不要になり、
波形鈍りによるスキューの発生をなくすことができる。
Further, according to the present invention, by inserting a multi-input gate at the last stage, a gated clock circuit having a clock tree structure is obtained, and redundant wiring is not required.
The occurrence of skew due to waveform dulling can be eliminated.

【0019】[0019]

【0020】[0020]

【0021】[0021]

【発明の実施の形態】次に本発明の実施形態について図
面を参照して詳細に説明する。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS Next, embodiments of the present invention will be described in detail with reference to the drawings.

【0022】図1は、本発明の実施形態の構成を示す回
路図であり、ルートバッファ11、1段目バッファ1
2、2段目バッファ13、リーフセルであるFFへのク
ロック信号の供給を制御する多入力ゲート20a,20
b、FF30a,FF30bがツリー状に接続されてゲ
ーテッドクロック回路を構成している。
FIG. 1 is a circuit diagram showing a configuration of an embodiment of the present invention.
2nd and 2nd stage buffer 13, multiple input gates 20a and 20 for controlling supply of clock signal to FF which is a leaf cell
b, FF30a, FF30b are connected in a tree shape to form a gated clock circuit.

【0023】多入力ゲート20aは機能Aの回路に含ま
れるFF30aに接続され、多入力ゲート20bは機能
Bの回路に含まれるFF30bに接続されている。多入
力ゲート20a,20bのクロックラインに接続されて
いない入力端子にはクロックイネーブルライン22aお
よび22bがそれぞれ接続されており、クロックイネー
ブルラインはセレクタ回路21に接続されている。セレ
クタ回路21ではクロック制御信号を生成する。多入力
ゲート20aは機能AのFFへのクロックの供給を制御
し、多入力ゲート20bは機能BのFFへのクロックの
供給を制御している。
The multi-input gate 20a is connected to the FF 30a included in the function A circuit, and the multi-input gate 20b is connected to the FF 30b included in the function B circuit. Clock enable lines 22a and 22b are connected to input terminals of the multiple input gates 20a and 20b that are not connected to the clock lines, respectively. The clock enable lines are connected to the selector circuit 21. The selector circuit 21 generates a clock control signal. The multi-input gate 20a controls the supply of the clock to the function A FF, and the multi-input gate 20b controls the supply of the clock to the function B FF.

【0024】次に、図1の回路の設計方法について、図
2および図3、図4を参照して説明する。
Next, a method of designing the circuit shown in FIG. 1 will be described with reference to FIGS. 2, 3 and 4.

【0025】図3にセルの配置工程前のクロックツリー
の回路図が示されている。仮バッファ1(ツリー構造と
される前の段階のものなので「仮」と言うことにする)
の出力端子が仮ゲート2aおよび仮ゲート2bの入力端
子に接続されている。そして仮バッファ2aの出力端子
は機能Aの回路に含まれるFF30aに、仮バッファ2
bの出力端子は機能Bの回路に含まれるFF30bのク
ロック入力端子に接続されている。仮ゲート2aおよび
仮ゲート2bのクロックラインに接続されていない入力
端子はセレクタ回路21に接続されている。
FIG. 3 shows a circuit diagram of the clock tree before the cell arranging step. Temporary buffer 1 (Before the tree structure, it is called "temporary")
Are connected to the input terminals of the provisional gate 2a and the provisional gate 2b. The output terminal of the temporary buffer 2a is connected to the FF 30a included in the function A circuit.
The output terminal b is connected to the clock input terminal of the FF 30b included in the function B circuit. Input terminals of the temporary gate 2a and the temporary gate 2b that are not connected to the clock line are connected to the selector circuit 21.

【0026】この回路を含むネットリスト(接続情報の
一覧表)より、クロックツリーに接続される全FFのリ
ストであるリストAを作成する(ステップ101)。リ
ストAのメンバはFF名、各FFの入力端子容量とす
る。
A list A, which is a list of all the FFs connected to the clock tree, is created from a net list (list of connection information) including this circuit (step 101). The members of the list A are FF names and input terminal capacitance of each FF.

【0027】次にチップのフロアプランを行う(ステッ
プ102)。各セルを機能毎にまとめて、各機能のセル
が配置できる範囲を指定する。図4(a)を参照する
と、例えば、LSIチップ200上に機能Aのセルを配
置する領域201Aを指定する。同様に他の各機能のセ
ルを配置する領域を指定する。
Next, floor planning of the chip is performed (step 102). Each cell is grouped for each function, and a range in which cells of each function can be arranged is specified. Referring to FIG. 4A, for example, an area 201 </ b> A where a cell of function A is arranged on the LSI chip 200 is specified. Similarly, an area for arranging cells of other functions is specified.

【0028】そして各セルの自動配置(コンピュータソ
フトウェアにより)を行う(ステップ103)。図4
(a)を参照すると、例えば、機能Aの回路に含まれる
FF30aはフロアプランで指定された領域201A内
に配置される。各機能のセルがまとまって配置されるた
め、各機能内の各セル間の配線は一般的に短くなる。
Then, the cells are automatically arranged (by computer software) (step 103). FIG.
Referring to (a), for example, the FF 30a included in the circuit of the function A is arranged in the area 201A specified by the floor plan. Since cells of each function are arranged collectively, wiring between cells in each function is generally short.

【0029】ステップ101で作成したリストAから、
挿入するバッファの段数、各段のバッファ、多入力ゲー
トの個数を決定する(例えば、データベースのデータと
比較して各数を振り分けて、コンピュータ内の情報とし
て入力)(ステップ104および105)。下階層のバ
ッファあるいはNORの個数は上階層のバッファの整数
倍とする。例えば1段目バッファ4個、2段目バッファ
16個、最終段多入力ゲート64個とする。段数、各段
の個数が決定後、各段のバッファ、多入力ゲートが駆動
する負荷容量を算出する。
From the list A created in step 101,
The number of stages of buffers to be inserted, the number of buffers in each stage, and the number of multi-input gates are determined (for example, each number is sorted by comparing with data in a database and input as information in a computer) (steps 104 and 105). The number of lower-layer buffers or NORs is an integer multiple of the number of upper-layer buffers. For example, there are four first stage buffers, 16 second stage buffers, and 64 final stage multi-input gates. After the number of stages and the number of each stage are determined, the load capacitance driven by the buffer and the multi-input gate of each stage is calculated.

【0030】続いてFFのクラスタリング(clust
ering:群分け)を行う(ステップ106および1
07)。図3を参照すると、例えば、機能Aの回路に含
まれるFF30aは全て多入力ゲート2aに接続されて
いる。同様に機能Bの回路に含まれるFF30bは全て
多入力ゲート2bに接続されている。そこで、リストA
のFFを多入力ゲート2aに接続されているFFと多入
力ゲート2bに接続されているFFに分割し、リストB
を作成する(ステップ106)。リストBのメンバは機
能名、各機能内のFF名、各FFの入力端子容量および
配置座標とする。
Subsequently, FF clustering (cluster)
ering: grouping) (steps 106 and 1)
07). Referring to FIG. 3, for example, all the FFs 30a included in the function A circuit are connected to the multi-input gate 2a. Similarly, all the FFs 30b included in the function B circuit are connected to the multi-input gate 2b. So list A
Is divided into an FF connected to the multi-input gate 2a and an FF connected to the multi-input gate 2b.
Is created (step 106). The members of the list B are a function name, an FF name in each function, an input terminal capacity of each FF, and arrangement coordinates.

【0031】リストBの各クラスタ(群)のFFについ
て、配置位置が近傍であるFF間でクラスタリングし、
リストCを作成する(ステップ107)。各クラスタの
FFの入力端子容量の合計は多入力ゲートが駆動する負
荷容量と一値するようにクラスタリングを行う。リスト
Cのメンバはクラスタ名、機能名、各クラスタ内のFF
名、各クラスタ内FFの入力端子容量の合計およびクラ
スタの重心座標とする。
For each FF of each cluster (group) in the list B, clustering is performed between FFs whose arrangement positions are in the vicinity,
A list C is created (step 107). Clustering is performed such that the total of the input terminal capacitances of the FFs of each cluster is equal to the load capacitance driven by the multi-input gate. The members of list C are cluster names, function names, and FFs in each cluster.
The name, the sum of the input terminal capacitances of the FFs in each cluster, and the barycenter coordinates of the cluster.

【0032】図4(b)を参照すると、たとえば機能A
の回路に含まれるFFを配置位置が近傍のFF間でクラ
スタリングし、クラスタ3aを作成している。リストC
は最終段の各多入力ゲートが駆動するFFのリストであ
り、各クラスタ名が挿入する各多入力ゲートの名称とな
る。
Referring to FIG. 4B, for example, the function A
The FFs included in the circuit are clustered between FFs whose arrangement positions are close to each other to create a cluster 3a. List C
Is a list of FFs driven by each multi-input gate in the last stage, and each cluster name is the name of each multi-input gate to be inserted.

【0033】さらに、リストCのクラスタで、配置位置
が近傍のクラスタを結合し新たなクラスタを作り、リス
トDを作成する(ステップ108および109)。リス
トDのクラスタ数は前段のバッファ数と同一とし、各ク
ラスタは負荷容量を均一になるようにクラスタリングを
行う。リストDのメンバは、クラスタ名、各クラスタ内
のリストCのクラスタ名、および各クラスタ内FFの入
力端子容量の合計およびクラスタの重心座標とする。リ
ストDのクラスタ名が挿入するバッファの名称となる。
Further, in the clusters in the list C, clusters whose arrangement positions are close to each other are combined to form a new cluster, and a list D is created (steps 108 and 109). The number of clusters in list D is the same as the number of buffers in the preceding stage, and each cluster performs clustering so that the load capacity becomes uniform. The members of the list D are the cluster name, the cluster name of the list C in each cluster, the sum of the input terminal capacitances of the FFs in each cluster, and the coordinates of the center of gravity of the cluster. The name of the buffer to be inserted is the cluster name in list D.

【0034】挿入するバッファが複数段の場合はステッ
プ108〜109を繰り返す。
If there are a plurality of buffers to be inserted, steps 108 to 109 are repeated.

【0035】ステップ101〜109で作成されたリス
トを基にバッファおよびNORを挿入したネットリスト
を作成する(ステップ110)。
Based on the lists created in steps 101 to 109, a netlist in which buffers and NORs are inserted is created (step 110).

【0036】次に多入力ゲートおよびバッファの配置を
行う(ステップ111〜114,121〜123)。最
終段から前段にむかって、各段毎に配置を行う。配置位
置は、バッファあるいは多入力ゲートの駆動するセル群
の重心とする。
Next, multiple input gates and buffers are arranged (steps 111 to 114, 121 to 123). From the last stage to the previous stage, arrangement is performed for each stage. The arrangement position is the center of gravity of the cell group driven by the buffer or the multi-input gate.

【0037】バッファあるいは多入力ゲートを挿入した
ことによってセルの配置に重なりが生じた場合、重なり
をほぐし、セルの移動が最小限となるよう再配置を行う
(ステップ121〜123)。
If cells are overlapped due to the insertion of a buffer or a multi-input gate, the overlaps are loosened and rearrangement is performed so as to minimize cell movement (steps 121 to 123).

【0038】全バッファ、多入力ゲートの配置工程の終
了後、バッファ出力端子と次段バッファあるいは多入力
ゲートの入力端子の配線を行う(ステップ115)。出
力端子から各入力端子の配線長が一律となるよう配線す
る。
After the process of arranging all buffers and multiple input gates is completed, wiring of the buffer output terminal and the input terminal of the next buffer or multiple input gate is performed (step 115). Wiring is performed so that the wiring length from the output terminal to each input terminal is uniform.

【0039】ステップ115の終了後、全セルの配線を
行う(ステップ116)。
After the end of step 115, wiring of all cells is performed (step 116).

【0040】[0040]

【発明の効果】本発明の効果は、ゲーテッドクロック回
路のスキューをなくすことができ、ルートバッファから
FFまでの遅延を小さくできることにある。この結果、
誤動作のおそれの少ない低電力な半導体集積回路が得ら
れるという効果を有する。
The effect of the present invention is that the skew of the gated clock circuit can be eliminated and the delay from the route buffer to the FF can be reduced. As a result,
This has an effect that a low-power semiconductor integrated circuit with less possibility of malfunction can be obtained.

【0041】その理由は、クロックスキューによるタイ
ミング違反のない回路が得られるためである。また、ク
ロック信号によって電力は7割以上がFFで消費されて
おり、FFへのクロックの供給を制御するだけで(動作
の不要なFFへのクロック供給をしないようにすること
により)電力消費を抑えることができるためである。
The reason is that a circuit free from timing violation due to clock skew can be obtained. Further, 70% or more of the power is consumed by the FF due to the clock signal, and the power consumption is reduced only by controlling the supply of the clock to the FF (by not supplying the clock to the FF that does not need to operate). This is because it can be suppressed.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の一実施形態の回路図である。FIG. 1 is a circuit diagram of one embodiment of the present invention.

【図2】本発明の設計方法を示すフローチャートであ
る。
FIG. 2 is a flowchart showing a design method of the present invention.

【図3】本発明のクロックツリーネット生成工程前の一
実施形態の回路図である。
FIG. 3 is a circuit diagram of an embodiment before a clock tree net generation step of the present invention.

【図4】本発明のクラスタリング工程での一実施形態の
平面図である。
FIG. 4 is a plan view of one embodiment in a clustering step of the present invention.

【図5】従来技術の構成を示す概念図である。FIG. 5 is a conceptual diagram showing a configuration of a conventional technique.

【図6】従来技術の動作を説明するための波形図であ
る。
FIG. 6 is a waveform chart for explaining the operation of the related art.

【符号の説明】[Explanation of symbols]

1 仮バッファ 2a〜2b 仮ゲート 3a〜3b クラスタ 11 ルートバッファ 12 1段目バッファ 13 2段目バッファ 20a〜20b 多入力ゲート 21 セレクタ回路 22a〜22b クロックイネーブルライン 30a〜30b フリップフロップ 200 LSIチップ 201A〜201B フロアプランで指定した領域 DESCRIPTION OF SYMBOLS 1 Temporary buffer 2a-2b Temporary gate 3a-3b Cluster 11 Root buffer 12 First stage buffer 13 Second stage buffer 20a-20b Multi-input gate 21 Selector circuit 22a-22b Clock enable line 30a-30b Flip-flop 200 LSI chip 201A- 201B Area specified in floor plan

Claims (2)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 ルートバッファと、前記ルートバッファ
から順に分岐した複数段のバッファと、最終段多入力ゲ
ートとの組み合わせからなるクロックツリー構造を持っ
たゲーテッドクロック回路を有する半導体集積回路の
設計方法において、 前記ゲーテッドクロック回路のバッファおよび前記多入
力ゲート以外のセルの配置後に、仮バッファと仮ゲー
ト、仮ゲートに接続された各機能の回路に含まれるフリ
ップフロップからなる仮クロックツリーから前記ゲーテ
ッドクロック回路の専用ネットリストを生成する工程を
含み、 前記ネットリスト生成工程においては、前記仮クロック
ツリーの仮ネットリストから、挿入するバッファの段階
および個数、最終段多入力ゲートの個数を決定する工程
と、 前記仮ネットリストから各機能の回路に含まれる前記
リップフロップ毎にクラスタリングを行う機能クラスタ
リング工程と、 前記ゲーテッドクロック回路のバッファおよび多入力ゲ
ート以外のセルをフロアプラン後配置し、前記機能クラ
スタリング工程で生成したクラスタ内で配置位置が近傍
の前記フリップフロップ間でクラスタリングし、前記最
終段多入力ゲートが駆動する前記フリップフロップを決
定する位置クラスタリング工程と、 前記位置クラスタリング工程で作成されたクラスタを配
置が近傍のクラスタ間で更にクラスタリングし、前段の
バッファが駆動する回路を決定する工程と、 同様にしてルートバッファまでの各段のバッファが駆動
する回路を決定する工程と、を含むことを特徴とする半
導体集積回路の設計方法。
And 1. A root buffer, the design method of the buffer of the plurality of stages are branched in order from the root buffer, a semiconductor integrated circuit having a gated clock circuit having a clock tree consisting of the final-stage multi-input gate In the above, after arranging the buffer of the gated clock circuit and the cell other than the multi-input gate, the provisional buffer, the provisional gate, and the provisional clock tree including the flip-flops included in the circuits of the respective functions connected to the provisional gate, the gated clock is used. the step of generating a dedicated net list of the circuit
In the net list generating step, a step of determining the number and the number of buffers to be inserted and the number of final stage multiple input gates from the temporary net list of the temporary clock tree; and a circuit of each function from the temporary net list. The file included in
A function clustering step of performing clustering for each flip-flop; and arranging cells other than the buffer and the multi-input gate of the gated clock circuit after floorplanning, and the flip-flop having an arrangement position in the vicinity of a cluster generated in the function clustering step. Clustering between clusters and determining the flip-flop driven by the final-stage multi-input gate; and further clustering the clusters created in the position clustering step between clusters whose arrangements are close to each other. A method for designing a semiconductor integrated circuit, comprising: a step of determining a circuit to be driven; and a step of similarly determining a circuit to be driven by each of the buffers up to the root buffer.
【請求項2】 前記ゲーテッドクロック回路のバッファ
及び多入力ゲートの配置は、前記最終段から各段ごと
に、前記ルートバッファまで順に、前記各多入力ゲート
あるいは各バッファが駆動する回路の重心座標に配置す
ることを特徴とする請求項1に記載の半導体集積回路の
設計方法。
2. The arrangement of the buffer and the multi-input gate of the gated clock circuit is determined in accordance with the barycentric coordinates of each of the multi-input gates or the circuits driven by each of the buffers in order from the last stage to the root buffer for each stage. 2. The method for designing a semiconductor integrated circuit according to claim 1, wherein said method is arranged.
JP11563597A 1997-05-06 1997-05-06 Design method of semiconductor integrated circuit Expired - Fee Related JP3178371B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP11563597A JP3178371B2 (en) 1997-05-06 1997-05-06 Design method of semiconductor integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP11563597A JP3178371B2 (en) 1997-05-06 1997-05-06 Design method of semiconductor integrated circuit

Publications (2)

Publication Number Publication Date
JPH10308450A JPH10308450A (en) 1998-11-17
JP3178371B2 true JP3178371B2 (en) 2001-06-18

Family

ID=14667532

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11563597A Expired - Fee Related JP3178371B2 (en) 1997-05-06 1997-05-06 Design method of semiconductor integrated circuit

Country Status (1)

Country Link
JP (1) JP3178371B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7791381B2 (en) 2008-02-04 2010-09-07 Panasonic Corporation Semiconductor integrated circuit

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001022816A (en) 1999-07-12 2001-01-26 Matsushita Electric Ind Co Ltd Layout method for semiconductor integrated circuit device
JP3901906B2 (en) 2000-02-22 2007-04-04 株式会社東芝 Semiconductor integrated circuit device
JP3672889B2 (en) * 2001-08-29 2005-07-20 Necエレクトロニクス株式会社 Semiconductor integrated circuit and layout method thereof
JP4575795B2 (en) * 2005-01-31 2010-11-04 パナソニック株式会社 Clock supply circuit, semiconductor system and design method thereof
JP4402641B2 (en) 2005-11-25 2010-01-20 キヤノン株式会社 Clock supply apparatus and control method thereof
JP4901702B2 (en) * 2007-11-27 2012-03-21 株式会社東芝 Circuit design method
JP2009152451A (en) * 2007-12-21 2009-07-09 Texas Instr Japan Ltd Integrated circuit device and its layout designing method
KR101770981B1 (en) * 2009-10-30 2017-08-24 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Logic circuit and semiconductor device
US20200011339A1 (en) * 2018-07-09 2020-01-09 Quanta Computer Inc. Device and method for fan speed control
JP7139551B2 (en) * 2019-07-05 2022-09-21 東芝情報システム株式会社 Semiconductor integrated circuit design equipment and its design program

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7791381B2 (en) 2008-02-04 2010-09-07 Panasonic Corporation Semiconductor integrated circuit

Also Published As

Publication number Publication date
JPH10308450A (en) 1998-11-17

Similar Documents

Publication Publication Date Title
US5923188A (en) Clock signal distribution circuit of tree structure with minimized skew
Donno et al. Clock-tree power optimization based on RTL clock-gating
US7480883B2 (en) Multiple voltage integrated circuit and design method therefor
KR100423594B1 (en) Concurrent logical and physical construction of voltage islands for mixed supply voltage designs
US5140184A (en) Clock feeding circuit and clock wiring system
US7107557B2 (en) Method for calculation of cell delay time and method for layout optimization of semiconductor integrated circuit
US6498515B2 (en) Semiconductor integrated circuit and method for designing the same
US7795943B2 (en) Integrated circuit device and layout design method therefor
US20010029599A1 (en) Method and apparatus for clock gated logic circuits to reduce electric power consumption
JP3178371B2 (en) Design method of semiconductor integrated circuit
JPH1173302A (en) Circuit and method for optimizing power consumption of register transfer level placing great importance on analysis and reduction of glitch, and recording medium
US7380231B2 (en) Wire spreading through geotopological layout
Choy et al. Incremental layout placement modification algorithms
EP0544164A1 (en) Semi custom-made integrated circuit having clock synchronous circuit improved in clock skew
US6269468B1 (en) Split I/O circuit for performance optimization of digital circuits
Lu et al. Clock tree synthesis with XOR gates for polarity assignment
Albrecht et al. On the skew-bounded minimum-buffer routing tree problem
Kutzschebauch et al. Layout driven decomposition with congestion consideration
JP2001267429A (en) Layout design device and method
Pedram et al. Power optimization in VLSI layout: a survey
US20040237055A1 (en) Logic circuit optimizing method, logic circuit optimizing device and logic circuit composing device
Hsu et al. Clock gating optimization with delay-matching
JP3804901B2 (en) Arrangement apparatus and method for arranging circuit elements
JP2000172367A (en) Clock signal distribution method and clock distribution circuit
Абазян H-TYPE CLOCK TREE COMBINED WITH COMPLEX BUFFER TREE

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees