JPH07296017A - 半導体集積回路の遅延時間算出方法及び計算機支援設計装置 - Google Patents

半導体集積回路の遅延時間算出方法及び計算機支援設計装置

Info

Publication number
JPH07296017A
JPH07296017A JP6088920A JP8892094A JPH07296017A JP H07296017 A JPH07296017 A JP H07296017A JP 6088920 A JP6088920 A JP 6088920A JP 8892094 A JP8892094 A JP 8892094A JP H07296017 A JPH07296017 A JP H07296017A
Authority
JP
Japan
Prior art keywords
delay time
logic cell
delay
calculated
parameters
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP6088920A
Other languages
English (en)
Inventor
Shinji Horie
真治 堀江
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu VLSI Ltd
Fujitsu Ltd
Original Assignee
Fujitsu VLSI Ltd
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu VLSI Ltd, Fujitsu Ltd filed Critical Fujitsu VLSI Ltd
Priority to JP6088920A priority Critical patent/JPH07296017A/ja
Publication of JPH07296017A publication Critical patent/JPH07296017A/ja
Withdrawn legal-status Critical Current

Links

Abstract

(57)【要約】 【目的】遅延時間方程式を算出する必要がなく、論理セ
ルの遅延時間を正確に算出する。 【構成】遅延定義ファイル3には論理セルの遅延時間を
決定するための入力信号のなまりによる遅延時間、負荷
容量及びそれらの値に対応する複数の遅延時間の情報が
定義されている。遅延時間算出装置12は、データベー
ス2から展開された論理セルの情報及びネットの情報を
読み出し、各ネットに負荷容量を割り付け、論理セルの
入力スリューを計算する。算出装置12は遅延時間を算
出すべき論理セルの入力スリュー及び出力端子の負荷容
量と、ファイル3に記憶された各論理セルの入力スリュ
ー、出力端子の負荷容量及びそれらに対応する遅延時間
に基づいて、補間法により各論理セルの遅延時間を算出
する。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、半導体集積回路(LS
I)の遅延時間算出方法及び計算機支援設計装置に係
り、詳しくは、LSIを構成する種々の論理セルの遅延
時間の算出に関する。
【0002】近年、LSIの設計ルールがサブミクロン
化するのに伴い、その動作速度が高速化されている。そ
のため、使用する論理セルのタイミング設計は最も考慮
されなければならない。さらに、LSIの動作が高速化
されてきていることにより、論理セルに入力される信号
のなまりによる遅延時間への影響を考慮する必要が生じ
ている。
【0003】従って、LSIを設計する際、論理セルの
遅延時間が種々の負荷によってどのように変化するかを
正確に算出できることが求められている。
【0004】
【従来の技術】従来から、LSIを構成する種々の論理
セルの遅延時間は計算機支援設計装置を使用して算出さ
れている。この方法では、論理セルの遅延時間を決定す
るための近似式及びこの近似式において遅延時間を決定
するためのパラメータを定義している。近似式及びパラ
メータは実際の論理セルの動作をシミュレーションする
回路シミュレータで算出される。この近似式として例え
ば、以下の式(1)〜(4)がある。
【0005】
【数1】
【0006】である。上記式(1)〜(4)において、
負荷容量Cが遅延時間を決定するためのパラメータであ
る。式(1)〜(4)を図示すると、図5に示す線図と
なる。すなわち、C ≦ Cdr2 の場合、式(1)の近似式
を用いて論理セルの遅延時間が算出される。Cdr2<C ≦
Cdr の場合、式(2)の近似式を用いて論理セルの遅延
時間が算出される。Cdr <C ≦2 ×Cdr の場合、式
(3)を用いて論理セルの遅延時間が算出される。さら
に、所定値2 ×Cdr <C ≦3 ×Cdr の場合、式(4)を
用いて論理セルの遅延時間が算出される。
【0007】
【発明が解決しようとする課題】ところが、従来は遅延
時間を計算するための近似式(1)〜(4)は、遅延時
間を決定するパラメータ、すなわち、負荷容量Cを用い
て決定されていた。そのため、近似式の決定の仕方によ
って、その計算領域によっては実際の遅延時間と算出し
た遅延時間の誤差が大きくなったり、正確に表現できな
い場合があった。
【0008】例えば、上記式(1)〜(4)において、
3 ×Cdr <C である場合や、C≪ Cdr2 である場合に
は、実際の半導体集積回路の遅延動作と異なることが生
じていた。
【0009】また、トランジスタやダイオード、抵抗、
キャパシタ、インダクタなどの回路素子からなる論理セ
ルの電圧や電流を計算するために回路シミュレータが使
用される。論理セルの遅延時間を予測するために、膨大
な時間を費やして様々の回路をシミュレーションするこ
とにより、その論理セルのパスの特性の条件を割り出
す。そして、上記式(1)〜(4)のような近似式を定
義し、数万ゲート規模の論理セルで構成される回路の遅
延計算に用いられていた。
【0010】また、最近では、LSIの設計ルールのサ
ブミクロン化が進んでいる。そのため、幾ら近似式を定
義し、その式を構成するパラメータの係数(例えば、前
記遅延係数KCL)を算出しても、元となる近似式の精
度が不足する。そのため、パラメータの係数の算出に時
間をかけても、正確さに欠けることが多くなってきた。
【0011】そもそも、上記式(1)〜(4)のいずれ
も許容誤差を含む要因があり、係数を算出し決定する際
にも誤差の要因が含まれるという問題が内在していた。
また、最近の0.5ミクロンテクノロジのLSIでは、
従来遅延時間を決定するためのパラメータである負荷容
量(キャパシタンス)だけでは、正確な遅延計算はでき
ないという問題もあった。
【0012】本発明は上記問題点を解決するためになさ
れたものであって、その目的は、論理セルの遅延時間を
決定するためのパラメータの値に応じた遅延時間方程式
を算出する必要がなく、遅延時間を正確に算出できる半
導体集積回路の遅延時間算出方法及び計算機支援設計装
置を提供することにある。
【0013】
【課題を解決するための手段】上記の目的を達成するた
め、請求項1の発明では、計算機支援設計装置を使用し
て、半導体集積回路を構成するとともに、少なくとも1
つの入力端子と少なくとも1つの出力端子とを備える種
々の論理セルに関して、その論理セルへの入力信号に基
づく出力信号の遅延時間を算出する。各論理セルの遅延
時間を決定するための複数のパラメータを定義する。各
論理セルに関して、複数のパラメータに任意の値を設定
したときの遅延時間を複数算出する。その算出した複数
の遅延時間及びそれらの遅延時間に対応するパラメータ
の値を計算機支援設計装置に記憶しておく。
【0014】各論理セルの複数のパラメータに設定され
た所定の値を計算機支援設計装置に入力する。その所定
値と各論理セルに関して記憶された複数のパラメータの
値及び複数の遅延時間に基づいて補間計算を行うことに
より各論理セルの遅延時間を算出する。
【0015】請求項2の発明では、複数のパラメータ
は、入力端子への入力信号のなまりによる遅延時間及び
出力端子に接続される負荷容量の2つのパラメータを少
なくとも含んでいる。
【0016】請求項3の発明では、予め算出される遅延
時間には、入力信号の立ち上がりへの変化に基づく遅延
時間と、入力信号の立ち下がりへの変化に基づく遅延時
間とがある。論理セルの遅延時間を算出するために入力
信号の立ち上がり又は立ち下がりへの変化の条件パラメ
ータがさらに定義され、条件パラメータ及びその条件パ
ラメータに対応する遅延時間に基づいて各論理セルの遅
延時間が算出される。
【0017】請求項4の計算機支援設計装置は、半導体
集積回路を構成する回路のデータを記憶する第1の記憶
部と、各回路の遅延時間を決定する複数のパラメータに
関して、各パラメータについて任意の値を設定した時の
遅延時間についての定義を記憶する第2の記憶部と、回
路データに基づき、各パラメータについて設定された遅
延時間を求め、複数の遅延時間より、回路の遅延時間を
算出する遅延時間算出部とを備える。
【0018】請求項5の発明では、パラメータは、配線
容量あるいは配線長である。
【0019】
【作用】請求項1の発明では、論理セルの遅延時間がそ
の論理セルについて予め算出された複数の遅延時間に基
づく補間により算出される。そのため、複数のパラメー
タが任意の値となる場合の論理セルの遅延時間の算出に
幅広く対応できるとともに、遅延時間が正確に算出され
る。
【0020】請求項2の発明では、論理セルの遅延時間
が複数のパラメータによって算出されるので、遅延時間
の精度が高くなり、実際の遅延時間に近い値の遅延時間
が算出される。
【0021】請求項3の発明では、入力信号の立ち上が
り又は立ち下がりへの変化をも考慮した遅延時間が算出
される。請求項4の発明では、遅延時間算出部によっ
て、第1の記憶部に記憶された回路データに基づき、第
2の記憶部に記憶された各回路の遅延時間を決定する複
数のパラメータに関して、各パラメータについて任意の
値を設定した時の遅延時間が求められるとともに、複数
の遅延時間より回路の遅延時間が算出される。
【0022】
【実施例】以下、本発明を具体化した一実施例を図1〜
図4に従って説明する。図1に本発明を実施するための
論理シミュレーションシステムが示されている。このシ
ステムは、遅延時間算出部としての遅延時間算出装置1
2、論理回路シミュレーション装置18、第1の記憶部
としての回路データファイル1、データベース2,6,
8、第2の記憶部としての遅延定義ファイル3、配線容
量ファイル4、配線長ファイル5及び試験信号ファイル
7を備えている。遅延時間算出装置12及び論理回路シ
ミュレーション装置18は計算機支援設計装置(CA
D)及び同支援設計装置上で起動されるプログラムから
なる。
【0023】データファイル1には前記計算機支援設計
装置によって設計された半導体集積回路に使用されてい
る論理セルの種類,機能等の情報、各論理セルの入出力
端子及びそれらの入出力端子を接続するネットの情報が
記憶されている。
【0024】データファイル1の情報のうち、ネットの
情報に基づいて回路情報の展開11が行われ、シミュレ
ーション装置18が認識できるように、すべての論理セ
ルが半導体チップ内に平面的に配置される。この展開さ
れた論理セルの情報はデータベース2に記憶される。
【0025】配線容量ファイル4には前記データベース
2に記憶された各ネットの配線容量のデータが記憶され
ている。配線長ファイル5には前記データベース2に記
憶された各ネットの実際の配線長のデータが記憶されて
いる。
【0026】遅延定義ファイル3には各論理セルの遅延
時間を算出するために、表1に示すように、論理セル毎
にマトリクス形式の定義情報が記憶されている。
【0027】
【表1】
【0028】この定義情報において、CELLはセル名
の定義であり、PATHは当該セルのパスの経路の定義
である。パスの経路は、入力信号が変化する入力端子名
と、その入力信号に基づいて出力信号が変化する出力端
子名とで定義される。
【0029】また、CONDITIONはパスが活性化
する条件の定義であり、この活性化条件は前記パスを活
性化させるための所定の端子の端子名とその端子の信号
の状態とによって、ブール代数方式で定義される。
【0030】さらに、POLALITYは活性化される
パスに入力される入力信号の極性の定義である。入力信
号の極性が出力信号の遅延時間に影響を与えるため、入
力信号の立ち上がり(POSITIVE)又は立ち下が
り(NEGATIVE)が定義される。
【0031】Tpd-rise,Tpd-fallは出力端子の出力信号
の立ち上がりと立ち下がりにおける遅延時間の定義であ
る。Tpdn(n,yは自然数であり、1≦n≦y)は、論
理セルの動作をシミュレーションした遅延時間である。
【0032】Cnは論理セルの出力端子に接続された負荷
容量(次段に接続される論理セルの入力端子の負荷容量
と配線容量との総和)の値であり、かつ、遅延時間Tpdn
を決定するための一つのパラメータである。
【0033】Tsin-rise,Tsin-fall は論理セルの動作
をシミュレーションした入力信号の立ち上がり又は立ち
下がりへの変化の入力信号のなまりによる遅延時間(以
下、入力スリューという)であり、かつ、遅延時間Tpdn
を決定するための一つのパラメータである。入力スリュ
ーには入力信号がLレベルからHレベルに完全に遷移す
るまでの遅延時間 Tsin-rise、あるいは、入力信号がH
レベルからLレベルに完全に遷移するまでの遅延時間Ts
in-fall がある。ただし、入力信号が完全にHレベル又
はLレベルになったことの認識は、論理セルを構成する
トランジスタのしきい値電圧の定義に依存する。
【0034】RCLnは単位長さ当たりの配線抵抗、単位長
さ当たりの配線容量及び配線長に基づいて計算される配
線遅延時間であり、かつ、遅延時間Tpdnを決定するため
の一つのパラメータである。
【0035】前記遅延時間Tpdn及び入力スリューTsinは
以下の式(5),(6)に基づいて算出される。
【0036】
【数2】
【0037】そして、遅延時間Tpdnとして、負荷容量C
n、入力スリューTsin、配線遅延RCLnのパラメータに任
意の値を設定したときの遅延時間が複数算出されてい
る。これら複数の遅延時間によって補間計算を行うこと
により、実際の論理セルの遅延時間を算出することがで
きる。
【0038】なお、図3は上記の入力スリューTsi の関
係を図示したものである。この回路は論理セル21〜2
3からなる。セル21の出力端子21bは配線24を介
してセル22の入力端子22aに接続されている。セル
22の出力端子22bは配線25を介してセル23の入
力端子23aに接続されている。セル22に着目する
と、そのセル22の入力端子22aの入力スリューは前
段のセル21の出力信号のなまりとなる。
【0039】さらに、配線遅延RCLnははレイアウトに依
存したネットワーク遅延計算モデルに基づいて計算され
る。配線遅延RCLnは以下の式(7)で定義される関数で
ある。
【0040】
【数3】
【0041】である。また、配線遅延の計算項は、一般
的な計算式を用いて示しており、式(7)の妥当性は問
題としない。例えば、論理セルを図4に示すクリア端子
付きのフリップフロップFDPとする。このフリップフ
ロップFDPはデータ端子DATA、クロック端子CL
OCK、出力端子DATAOUT,XDATAOUT、
及びクリア端子CLEARを備えている。このフリップ
フロップFDPにおいて、クロック端子CLOCKの信
号に基づいて出力端子DATAOUTの出力信号が変化
するとする。この場合には、パスとしてCLOCK(入
力端子)と、DATAOUT(出力端子)が定義され
る。フリップフロップFDPでは、クリア端子がアクテ
ィブでない場合、クロック端子CLOCKと出力端子D
ATAOUTとのパスが活性化する。そのため、パスが
活性化する条件には、CLEAR!=0が定義される。
【0042】従って、このフリップフロップFDPの遅
延時間を算出するための定義情報は表2に示すものとな
る。
【0043】
【表2】
【0044】そして、遅延時間算出装置12は、データ
ベース2、遅延定義ファイル3、配線容量ファイル4及
び配線長ファイル5の情報に基づいて、回路を構成する
各論理セルの実際の遅延時間を算出する。
【0045】まず、算出装置12はデータベース2から
展開された論理セルの情報及びネットの情報を読み出す
とともに、ファイル3から論理セルの入力端子の負荷容
量の情報を読み出す。また、算出装置12はファイル4
から各ネットの配線容量のデータを読み出す。算出装置
12はステップ13において、各ネットの配線容量と入
力端子の負荷容量との和を負荷容量として求め、各ネッ
トに対して負荷容量の割りつけを行う。
【0046】次に、算出装置12はステップ14におい
て、ファイル3から論理セルの固有の遅延時間TS0 及び
なまり係数KSCLの情報を読み出す。そして、算出装置1
2は前記ステップ13で割りつけた負荷容量、遅延時間
TS0 及びなまり係数KSCLを前記(6)に代入して、各出
力端子における出力信号のなまり、すなわち、次段に接
続される論理セルへの入力スリューを計算する。なお、
チップの外部の入力端子(双方向端子)に関しては、外
部から数値として入力スリューを与える。
【0047】次に、算出装置12は前記ステップ13で
各ネットに割りつけた負荷容量と、前記ステップ14で
求めた各論理セルの入力スリューとを入力する。また、
算出装置12はファイル3から各論理セルについて前記
表1に示されるような定義情報を読み出す。説明の便宜
上、表1の定義情報におけるすべての配線遅延RCLnのパ
ラメータを0と仮定する。すると、図2に示すように、
定義情報は遅延時間Tpd と負荷容量Cと入力スリューTs
i との三次元のグラフで表すことができる。表1で定義
された各Nodeは図2の遅延時間Tpd1, Tpd2, Tpd3, Tpd4
のように、グラフ上の点として定義される。点Tpd1, Tp
d2, Tpd3, Tpd4で曲面が構成され、実際の遅延時間はこ
の曲面の方程式で表される。
【0048】算出装置12はステップ15において、遅
延時間を算出すべき論理セルの入力スリュー及び出力端
子の負荷容量と、前記表1に示される各論理セルの入力
スリュー、出力端子の負荷容量及びそれらに対応する遅
延時間に基づいて、以下に示す補間法により各論理セル
の遅延時間を算出する。また、この遅延時間の算出は、
入力信号の立ち上がり又は立ち下がりへの変化、及び前
記パスの活性化条件を考慮して算出される。
【0049】本実施例において、論理セルの遅延時間の
算出はラグランジェ(Lagrange)の補間多項式を用いて計
算される。いま、負荷容量C のn個の値C1, C2, ・・
・,Cn における入力スリューtsi1,tsi2, ・・・, tsin
が与えられているとする。このとき、入力スリューTsi
の方程式を、負荷容量C の(n-1) 次多項式Tsi(C)とし、
値C1, C2, ・・・,Cn において与えられた関数値を、 Tsi(C1)=tsi1 , Tsi(C2)=tsi2 ,・・・, Tsi(Cn) =t
sin とする。すると、Tsi(C)は一般に次の形で表すことがで
きる。
【0050】
【数4】
【0051】同様にして、任意の容量C に関する入力ス
リューTsi(C)の値を決定して、そのTsi(C)の関数で表す
ことができる入力スリューを新たにZとして表す。そし
て、入力スリューZ のn個の値Z1, Z2, ・・・,Zn にお
ける遅延時間Tpd1, Tpd2, ・・・, Tpdnが与えられてい
るとする。このとき、遅延時間Tpd の方程式を、入力ス
リューZ の(n-1) 次多項式Tpd(Z)とし、値Z1, Z2, ・・
・,Zn において与えられた関数値を、 Tpd(Z1)=Tpd1 , Tpd(Z2)=Tpd2 ,・・・, Tpd(Zn) =T
pdn とする。すると、Tpd(Z)は一般に次の形で表すことがで
きる。
【0052】
【数5】
【0053】上記の式(8),(9)に基づいて、負荷
容量C 及び入力スリューTsi の任意の値での論理セルの
名目上の遅延時間nominal Tpd を算出することができ
る。そして、ステップ16において、算出装置12は前
記ステップ15で算出した名目上の遅延時間nominal Tp
d に、プロセス及び温度、電圧等の遅延影響係数DMAGを
掛け合わせて最小遅延時間Best Tpd、最大遅延時間Wors
t Tpd 及び代表的な遅延時間Typical Tpd を算出するこ
とにより、遅延時間を補正する。例えば、最小遅延時間
Best Tpd、最大遅延時間Worst Tpd 及び代表遅延時間Ty
pical Tpd に対する係数DMAGはそれぞれ「0.5」、
「2」及び「1」とする。
【0054】そして、算出装置12はデータベース2か
ら読み出した論理セルの情報及びネットの情報に、この
算出した最小遅延時間Best Tpd、最大遅延時間Worst Tp
d 及び代表遅延時間Typical Tpd を付加したデータベー
ス6を作成する。
【0055】論理回路シミュレーション装置18は、前
記データファイル1の論理セルの機能情報を読み込むと
ともに、前記データベース6から論理セルの情報及びネ
ットの情報を読み出す。また、シミュレーション装置1
8は試験信号ファイル7から試験信号の取り込み処理1
7を行い、データベース8を作成する。そして、シミュ
レーション装置18は、データベース8から読み込んだ
試験信号パターンに対して、そのパスが活性化される遅
延時間をデータベース6から読み込み、シミュレーショ
ンを行う。
【0056】このように、本実施例では、論理セルの遅
延時間の算出のための入力スリューの算出の自動化を容
易に行うことができ、かつ、遅延時間を補間方程式によ
り計算機で処理できるため、遅延時間を正確に算出する
ことができる。
【0057】また、本実施例では論理セルの遅延時間を
算出するために、従来のようにパラメータの値に応じた
遅延時間方程式の近似式を求める必要がない。また、本
実施例では、論理セルの遅延時間が入力スリューTsi 及
び出力端子に接続される負荷容量C の2つのパラメータ
によって算出されるので、遅延時間の精度が高くなり、
実際の遅延時間に近い値の遅延時間が算出される。
【0058】また、入力スリューTsi 及び負荷容量C の
すべての値に対して、一定誤差で論理セルの遅延時間を
算出することができる。また、本実施例では、入力信号
の立ち上がり又は立ち下がりへの変化をも考慮した遅延
時間を算出できるので、より実際の論理セルの遅延時間
に近い遅延時間を算出することができる。
【0059】また、遅延時間の計算定義情報を実際の回
路シミュレーションの結果から迅速かつ容易に作成でき
る。さらに、データベースのアクセスを高速化すれば、
論理セルの遅延時間をより高速に算出することができ
る。
【0060】なお、本実施例では遅延時間の補間を行う
ための方程式をラグランジェの補間方程式としたが、ガ
ウスの補間方程式又はニュートンの補間方程式としても
よい。
【0061】また、本実施例では、論理セルの遅延時間
を決定するために、論理セルの入力端子への入力スリュ
ーTsi と出力端子に接続される負荷容量C との2つのパ
ラメータを用いたが、3つ以上のパラメータを用いても
よい。
【0062】
【発明の効果】以上詳述したように、請求項1及び請求
項4の発明によれば、遅延時間方程式を算出する必要が
なく、論理セルの遅延時間を正確に算出できる。
【0063】請求項2の発明によれば、論理セルの遅延
時間の精度が高くなり、実際の遅延時間に近い値の遅延
時間を算出できる。請求項3の発明によれば、入力信号
の立ち上がり又は立ち下がりへの変化をも考慮した正確
な遅延時間を算出できる。
【図面の簡単な説明】
【図1】本発明を実施するための論理シミュレーション
システムを示すブロック図である。
【図2】一実施例における遅延時間と負荷容量と入力ス
リューとの関係を示す線図である。
【図3】一実施例における遅延時間の算出のための説明
図である。
【図4】クリア端子付きのフリップフロップを示す説明
図である。
【図5】従来の論理セルの遅延時間と負荷容量との関係
を示す線図である。
【符号の説明】
1 第1の記憶部としての回路データファイル 2,6,8 データベース 3 第2の記憶部としての遅延定義ファイル 4 配線容量ファイル 5 配線長ファイル 7 試験信号ファイル 12 遅延時間算出部としての遅延時間算出装置 18 論理回路シミュレーション装置 21〜23 論理セル C パラメータとしての負荷容量 Tpd 遅延時間 Tsi パラメータとしての入力スリュー

Claims (5)

    【特許請求の範囲】
  1. 【請求項1】 計算機支援設計装置を使用して、半導体
    集積回路を構成するとともに、少なくとも1つの入力端
    子と少なくとも1つの出力端子とを備える種々の論理セ
    ルに関して、各論理セルへの入力信号に対する出力信号
    の遅延時間を算出する方法であって、 前記各論理セルの遅延時間を決定するための複数のパラ
    メータを定義し、前記各論理セルに関して、前記複数の
    パラメータに任意の値を設定したときの遅延時間を複数
    算出し、その算出した複数の遅延時間及びそれらの遅延
    時間に対応するパラメータの値を前記計算機支援設計装
    置に記憶しておき、 前記各論理セルの複数のパラメータに設定された所定の
    値を前記計算機支援設計装置に入力し、その所定値と前
    記記憶された各論理セルの複数のパラメータの値及び複
    数の遅延時間に基づいて補間計算を行うことにより、各
    論理セルの遅延時間を算出することを特徴とする半導体
    集積回路の遅延時間算出方法。
  2. 【請求項2】 前記複数のパラメータは、前記入力端子
    への入力信号のなまりによる遅延時間及び前記出力端子
    に接続される負荷容量の2つのパラメータを少なくとも
    含む請求項1に記載の半導体集積回路の遅延時間算出方
    法。
  3. 【請求項3】 前記予め算出される遅延時間には、前記
    入力信号の立ち上がりへの変化に基づく遅延時間と、前
    記入力信号の立ち下がりへの変化に基づく遅延時間とが
    あり、 論理セルの遅延時間を算出するために入力信号の立ち上
    がり又は立ち下がりへの変化の条件パラメータがさらに
    定義され、条件パラメータ及びその条件パラメータに対
    応する遅延時間に基づいて前記各論理セルの遅延時間が
    算出されることを特徴とする請求項1又は2に記載の半
    導体集積回路の遅延時間算出方法。
  4. 【請求項4】 半導体集積回路を構成する回路のデータ
    を記憶する第1の記憶部(1)と、 各回路の遅延時間を決定する複数のパラメータに関し
    て、各パラメータについて任意の値を設定した時の遅延
    時間についての定義を記憶する第2の記憶部(3)と、 回路データに基づき、各パラメータについて設定された
    遅延時間を求め、複数の遅延時間より、回路の遅延時間
    を算出する遅延時間算出部(12)とを備えることを特
    徴とする計算機支援設計装置。
  5. 【請求項5】 前記パラメータは、配線容量あるいは配
    線長であることを特徴とする請求項4に記載の計算機支
    援設計装置。
JP6088920A 1994-04-26 1994-04-26 半導体集積回路の遅延時間算出方法及び計算機支援設計装置 Withdrawn JPH07296017A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP6088920A JPH07296017A (ja) 1994-04-26 1994-04-26 半導体集積回路の遅延時間算出方法及び計算機支援設計装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP6088920A JPH07296017A (ja) 1994-04-26 1994-04-26 半導体集積回路の遅延時間算出方法及び計算機支援設計装置

Publications (1)

Publication Number Publication Date
JPH07296017A true JPH07296017A (ja) 1995-11-10

Family

ID=13956360

Family Applications (1)

Application Number Title Priority Date Filing Date
JP6088920A Withdrawn JPH07296017A (ja) 1994-04-26 1994-04-26 半導体集積回路の遅延時間算出方法及び計算機支援設計装置

Country Status (1)

Country Link
JP (1) JPH07296017A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100513819B1 (ko) * 1997-04-10 2006-04-06 매그나칩 반도체 유한회사 Cmos 회로를 위한 삼각형 그리드 기반의 테이블 모델링 및 인터폴레이팅 방법
CN113985340A (zh) * 2021-10-11 2022-01-28 北京智芯微电子科技有限公司 电能计量芯片及其相位补偿方法和相位补偿装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100513819B1 (ko) * 1997-04-10 2006-04-06 매그나칩 반도체 유한회사 Cmos 회로를 위한 삼각형 그리드 기반의 테이블 모델링 및 인터폴레이팅 방법
CN113985340A (zh) * 2021-10-11 2022-01-28 北京智芯微电子科技有限公司 电能计量芯片及其相位补偿方法和相位补偿装置

Similar Documents

Publication Publication Date Title
US6026228A (en) Integrated circuit design method, database apparatus for designing integrated circuit and integrated circuit design support apparatus
US7117466B2 (en) System and method for correlated process pessimism removal for static timing analysis
CN107315848B (zh) 用于集成电路设计的方法及系统
JP2001256271A (ja) 不要輻射解析方法および装置
CN106326510B (zh) 验证时钟树延迟
JPH10207937A (ja) レイアウト・クリティカルなネット用のタイミング・エラー限界値をフィルタリングすることにより、マイクロエレクトロニクス回路のレイアウト後検証を実行する方法、装置およびコンピュータ・プログラム製品
US6480816B1 (en) Circuit simulation using dynamic partitioning and on-demand evaluation
JP3821612B2 (ja) 不要輻射解析方法
JP3005538B1 (ja) 機能ブロックのモデル作成によるlsi設計システム及びそのlsi設計方法
JPH10162040A (ja) 大規模集積回路装置の製造方法及び大規模集積回路装置
CN109753675B (zh) 逻辑门假信号建模的方法
Roy et al. Numerically convex forms and their application in gate sizing
US7036096B1 (en) Estimating capacitances using information including feature sizes extracted from a netlist
US20040073879A1 (en) Modeling devices in consideration of process fluctuations
US6931610B1 (en) Method for rapid estimation of wire delays and capacitances based on placement of cells
US6668356B2 (en) Method for designing circuits with sections having different supply voltages
JPH07296017A (ja) 半導体集積回路の遅延時間算出方法及び計算機支援設計装置
US11017138B2 (en) Timing analysis for parallel multi-state driver circuits
JP3296320B2 (ja) ゲート遅延計算装置、及びゲート遅延計算プログラムを記録した記録媒体
US6701496B1 (en) Synthesis with automated placement information feedback
JPH07287051A (ja) 論理シミュレータ用入力データ作成装置
CN116542218A (zh) 特征参数模型的确定方法、性能评估方法及相关设备
JPH1049555A (ja) 回路設計におけるタイミング解析方法
CN117952045A (zh) 用于静态时序分析的具有图案匹配的延迟计算
TWI260542B (en) Method for reducing standard delay format file size

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20010703