JPH07201704A - Manufacture of fine pattern and manufacture of semiconductor device - Google Patents

Manufacture of fine pattern and manufacture of semiconductor device

Info

Publication number
JPH07201704A
JPH07201704A JP33839593A JP33839593A JPH07201704A JP H07201704 A JPH07201704 A JP H07201704A JP 33839593 A JP33839593 A JP 33839593A JP 33839593 A JP33839593 A JP 33839593A JP H07201704 A JPH07201704 A JP H07201704A
Authority
JP
Japan
Prior art keywords
film
resist
antireflection
forming
high absorption
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP33839593A
Other languages
Japanese (ja)
Other versions
JP3339153B2 (en
Inventor
Toru Ogawa
透 小川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP33839593A priority Critical patent/JP3339153B2/en
Publication of JPH07201704A publication Critical patent/JPH07201704A/en
Application granted granted Critical
Publication of JP3339153B2 publication Critical patent/JP3339153B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

PURPOSE:To provide a fine pattern manufacturing method which stably forms an excellent fine resist pattern by one time exposure on a base substrate that has at least two areas in different optical conditions and provide a manufacturing method of a semiconductor device which uses such fine pattern manufacture. CONSTITUTION:A highly absorbing film 32 provided with high optical absorption against the wavelength of exposure beams to be used in the photolithography process is formed on a base substrate 25, a reflection preventing film 34 is formed on the highly absorbing film 32, and a resist film 28 is formed on the reflection preventing film 34. The resist film 34 is processed to the prescribed pattern by the photolithography.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は、微細パターンの製造方
法およびこの方法を用いた半導体装置の製造方法に関す
る。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of manufacturing a fine pattern and a method of manufacturing a semiconductor device using this method.

【0002】[0002]

【従来の技術】現在、半導体集積回路の研究開発におい
て、サブハーフミクロン領域のデザインルールデバイス
が研究開発されている。これらデバイス開発において用
いられるフォトリソグラフィー技術において、現在、最
先端のステッパー(縮小投影露光機)は、KrFエキシ
マレーザー光(248nm)を光源に用い、0.37〜
0.50程度の開口数(NA)のレンズを搭載している
(例えば、ニコンNSR1505EX1、キャノンFP
A4500)。これらステッパーを用いて、サブハーフ
ミクロン(0.5μm以下)領域のデザインルールデバ
イスの研究開発が研究されている。
2. Description of the Related Art Currently, in the research and development of semiconductor integrated circuits, design rule devices in the sub-half micron region are being researched and developed. In the photolithography technology used in the development of these devices, currently, the most advanced stepper (reduction projection exposure machine) uses KrF excimer laser light (248 nm) as a light source,
A lens with a numerical aperture (NA) of about 0.50 is mounted (for example, Nikon NSR1505EX1, Canon FP
A4500). Using these steppers, research and development of design rule devices in the sub-half micron (0.5 μm or less) region are being researched.

【0003】ステッパーは、単一波長の光を露光光源に
用いている。単一波長で露光を行う場合には、定在波効
果と呼ばれる現象が発生することが広く知られている。
定在波が発生する原因は、レジスト膜内で露光用光の光
干渉が起こることによる。すなわち、図1に示すよう
に、入射光Pと、レジストPRと基板Sとの界面からの
反射光Rとが、レジストRRの膜内で干渉を起こすこと
による。
A stepper uses light of a single wavelength as an exposure light source. It is widely known that a phenomenon called a standing wave effect occurs when exposure is performed with a single wavelength.
The cause of the standing wave is that optical interference of exposure light occurs in the resist film. That is, as shown in FIG. 1, the incident light P and the reflected light R from the interface between the resist PR and the substrate S cause interference in the film of the resist RR.

【0004】その結果として、図2に示すごとく、レジ
ストに吸収される光量(縦軸)が、レジスト膜厚(横
軸)に依存して変化する。なお本明細書中、レジストに
吸収される光量とは、表面反射や、基板でのでの吸収
や、レジストから出射した光の量などを除いた、レジス
ト自体に吸収される光の量をいう。かかる吸収光量が、
レジストを光反応させるエネルギーとなる。
As a result, as shown in FIG. 2, the amount of light absorbed by the resist (vertical axis) changes depending on the resist film thickness (horizontal axis). In the present specification, the amount of light absorbed by the resist means the amount of light absorbed by the resist itself, excluding surface reflection, absorption at the substrate, and amount of light emitted from the resist. This absorbed light quantity is
It becomes the energy for photoreacting the resist.

【0005】なお、図2は、シリコン基板の上にレジス
ト膜(XP8843)を成膜し、レジスト膜の膜厚によ
る吸収光量の変化を調べた結果である。露光用光として
は、λ=248nmのKrFを仮定した。また、吸収光
量変化の度合いは、図3と図4との比較からも理解され
るように、下地基板の種類により異なる。図2,3,4
において、レジストとしてはいずれもXP8843(シ
プレー社)を用いているが、下地は各々Si、A1−S
i、W−Siである。すなわち、下地(基板)の光学定
数(n,k)およびレジストの光学定数(n,k)によ
り定まる多重干渉を考慮した複素振幅反射率(R)によ
り、吸収光量の変化の度合いは定まる。((R)は実数
部と虚数部とをもつベクトル量である)。
FIG. 2 shows the results of investigating the change in absorbed light quantity depending on the film thickness of the resist film (XP8843) formed on the silicon substrate. KrF of λ = 248 nm was assumed as the exposure light. Further, the degree of change in the absorbed light amount differs depending on the type of the base substrate, as can be understood from the comparison between FIGS. 3 and 4. 2, 3, 4
In the above, as the resist, XP8843 (Chipley Co., Ltd.) is used.
i, W-Si. That is, the degree of change in the absorbed light amount is determined by the complex amplitude reflectance (R) in consideration of multiple interference determined by the optical constants (n, k) of the base (substrate) and the optical constants (n, k) of the resist. ((R) is a vector quantity having a real part and an imaginary part).

【0006】さらに、実デバイスにおいては、図5に略
示するように、基板S面には必ず凹凸が存在する。たと
えば、基板Sには、所定パターンのポリシリコン膜など
が形成されることがあり、ポリシリコン等の凸部Inが
存在する。このため、レジストPRを塗布した際、レジ
スト膜厚は、段差の上部と下部とで異なることになる。
つまり凸部In上のレジスト膜厚dPR2 は、それ以外の
レジスト膜厚dPR1 よりも小さくなる。
Further, in the actual device, as shown in FIG. 5, the surface of the substrate S always has unevenness. For example, a polysilicon film or the like having a predetermined pattern may be formed on the substrate S, and a convex portion In of polysilicon or the like is present. Therefore, when the resist PR is applied, the resist film thickness differs between the upper part and the lower part of the step.
That is, the resist film thickness d PR2 on the convex portion In becomes smaller than the other resist film thickness d PR1 .

【0007】定在波効果は、レジスト膜厚により異なる
ことは前記説明したとおりであり、このため、定在波効
果の影響を受けることにより、レジストに吸収される光
量の変化も、各々変わって来る。その結果、露光、現像
後に得られるレジストパターンの寸法が、段差の上部と
下部とで、異なってしまう。
As described above, the standing wave effect varies depending on the resist film thickness. Therefore, the influence of the standing wave effect also changes the amount of light absorbed by the resist. come. As a result, the size of the resist pattern obtained after exposure and development differs between the upper part and the lower part of the step.

【0008】上記定在波効果のパターン寸法に及ぼす影
響は、同一波長、同一開口数のステッパーを用いた場
合、パターンが細かければ細かいほど顕著化し、どの種
類のレジストについても、共通に見られる現象である。
半導体装置等のデバイス作製時のフォトリソグラフィー
工程におけるレジストパターンの寸法精度は、一般に±
5%である。トータルでは±5%よりも緩くても実用可
とは考えられるが、フォーカスその他の、他の要因によ
るバラツキも生ずることを考え合わせれば、レジスト露
光時におけるパターン精度は、この±5%以内に収める
ことが望まれる。この±5%の寸法精度を達成するため
には、定在波効果の低減が必須である。
When the stepper having the same wavelength and the same numerical aperture is used, the effect of the standing wave effect on the pattern size becomes more remarkable as the pattern becomes finer, and is common to all kinds of resists. It is a phenomenon.
The dimensional accuracy of the resist pattern in the photolithography process when manufacturing devices such as semiconductor devices is generally ±
5%. Although it is considered to be practical even if it is looser than ± 5% in total, the pattern accuracy at the time of resist exposure is kept within ± 5% in consideration of variations due to other factors such as focus. Is desired. In order to achieve the dimensional accuracy of ± 5%, it is essential to reduce the standing wave effect.

【0009】図6に、レジスト膜内での吸収光量の変動
(横軸)に対する、レジストパターンの寸法変動(縦
軸)を示す。図6から明らかなように、たとえば0.3
5μmルールのデバイスの作製を行うには、レジスト膜
の吸収光量の変動は、レンジ6%以下であることが要求
される。
FIG. 6 shows the dimensional variation of the resist pattern (vertical axis) with respect to the variation of the amount of absorbed light in the resist film (horizontal axis). As is clear from FIG. 6, for example, 0.3
In order to manufacture a device with a rule of 5 μm, the fluctuation of the amount of light absorbed by the resist film is required to be in the range of 6% or less.

【0010】さらに、実際の半導体デバイス作成時にお
いては、段差構造を有し、かつ図7に示すように、反射
率が異なる複数の領域A,Bが形成された下地基板上
に、一回の露光で、微細なレジストパターンが良好に安
定して形成できることが要求される。なお、図7におい
て、符号2は、シリコン基板、符号4はポリシリコン
膜、符号6はタングステンシリサイド膜、符号8は酸化
シリコン膜、符号10はレジスト膜、符号12はフォト
マスクを示す。図7に示す例では、酸化シリコン膜8を
フォトマスク12を用いてパターン加工する例を示し、
領域Aと領域Bとでは、下地基板からの反射率が相違す
る。
Further, when actually manufacturing a semiconductor device, one step is performed on a base substrate having a step structure and having a plurality of regions A and B having different reflectances as shown in FIG. It is required that a fine resist pattern can be favorably and stably formed by exposure. In FIG. 7, reference numeral 2 is a silicon substrate, reference numeral 4 is a polysilicon film, reference numeral 6 is a tungsten silicide film, reference numeral 8 is a silicon oxide film, reference numeral 10 is a resist film, and reference numeral 12 is a photomask. In the example shown in FIG. 7, an example of patterning the silicon oxide film 8 using the photomask 12 is shown.
The area A and the area B have different reflectances from the underlying substrate.

【0011】図8に示すように、下地基板からの反射率
が異なると、当然レジスト膜の吸収光量は異なる。この
ような状況においても、一回の露光で、微細なレジスト
パターンを良好に、しかも安定して形成するためには、
レジスト膜の吸収光量の変動は、たとえ下地基板からの
反射率が基板の膜面で異なる領域があるとしても、上記
レンジは6%以下であることが要求される。
As shown in FIG. 8, when the reflectance from the base substrate is different, the amount of light absorbed by the resist film is naturally different. Even in such a situation, in order to form a fine resist pattern satisfactorily and stably with one exposure,
The fluctuation of the absorbed light amount of the resist film is required to be 6% or less in the above range even if there is a region where the reflectance from the underlying substrate differs on the film surface of the substrate.

【0012】[0012]

【発明が解決しようとする課題】上述した要求に答える
べく、現在各方面で反射防止技術の検討が精力的に行わ
れている。本発明者は、レジスト膜の吸収光量の変動
を、例えばレンジ6%以下とする手段について、鋭意検
討した結果、タングステンシリサイドなどの高融点金属
シリサイド、Al−Siなどの金属、およびポリシリコ
ンなどのシリコン系材料の上に、SiC、SiOx 、S
x y z 、Siz y などの反射防止膜を成膜し、
その上からレジスト膜を成膜することで、定在波効果を
低減できることを見い出している。
In order to meet the above-mentioned demands, the antireflection technique is now being actively studied in various fields. The present inventor has made earnest studies on a means of reducing the fluctuation of the absorbed light amount of the resist film to, for example, a range of 6% or less. As a result, a refractory metal silicide such as tungsten silicide, a metal such as Al—Si, and polysilicon SiC, SiO x , S on top of silicon materials
An antireflection film such as i x O y N z or Si z N y is formed ,
It has been found that the standing wave effect can be reduced by forming a resist film on it.

【0013】しかしながら、これらの反射防止膜の材料
は、あくまで反射防止を施すべき基板材料に対して合わ
せ込まれて決定される。当然、実際のデバイス作成時に
おいては、一回の露光量域内で、段差構造を有し、かつ
反射率がマスクパターンの場所場所において異なるよう
な基板上に、マスクパターンを形成しなければならない
場合がある。この場合には、反射率が場所場所において
異なることに起因して、レジスト膜の吸収光量が、マス
クパターンの場所場所において異なり、結果として、レ
ジスト膜の吸収光量の変動をレンジ6%以下にさせるこ
とができない。
However, the materials of these antireflection films are determined in accordance with the substrate material to be antireflection. Of course, when actually manufacturing a device, it is necessary to form a mask pattern on a substrate that has a step structure and the reflectance differs depending on the location of the mask pattern within a single exposure amount range. There is. In this case, the amount of light absorbed by the resist film is different at the place of the mask pattern due to the difference in reflectance at the place and place, and as a result, the fluctuation of the amount of absorbed light at the resist film is kept within the range of 6% or less. I can't.

【0014】段差構造を有し、かつ反射率が場所場所で
異なる下地基板上に、良好なマスクパターンを形成する
ための従来技術としては、多層レジスト法、さらにはマ
スクを複数枚に分けて、すなわち複数回の露光でパター
ン形成を行う方法が知られている。
As a conventional technique for forming a good mask pattern on a base substrate having a step structure and having different reflectances at different places, a multi-layer resist method and further dividing a mask into a plurality of masks are available. That is, a method of forming a pattern by exposing a plurality of times is known.

【0015】ただし、多層レジスト法に関しては、プロ
セスの複雑さ故に、また、複数回の露光法に関しては、
位置合わせ精度に対する要求がきわめて厳しくなる故
に、半導体デバイスの製造プロセスなどで要求される、
微細パターンの形成方法にはなり得ない。
However, regarding the multilayer resist method, due to the complexity of the process, and regarding the multiple exposure method,
Since the requirement for alignment accuracy becomes extremely strict, it is required in the manufacturing process of semiconductor devices.
It cannot be a method for forming a fine pattern.

【0016】そこで、段差構造を有し、かつ反射率が異
なる複数の異なる高反射領域を有する下地基板上におい
ても、一回の露光で、微細なレジストパターンを、良好
に、しかも安定して形成することができる反射防止技術
の確立が望まれている。本発明は、上記実情に鑑みてな
され、相互に異なる光学条件を有する少なくとも二つの
領域を含む下地基板上に、一回の露光で、微細なレジス
トパターンを、良好に、しかも安定して形成することが
できる微細パターンの製造方法およびそれを用いた半導
体装置の製造方法を提供することを目的とする。
Therefore, even on a base substrate having a step structure and a plurality of different highly reflective regions having different reflectances, a fine resist pattern can be satisfactorily and stably formed by one exposure. It is desired to establish an antireflection technique that can be used. The present invention has been made in view of the above circumstances, and finely and stably forms a fine resist pattern in a single exposure on a base substrate including at least two regions having mutually different optical conditions. An object of the present invention is to provide a method of manufacturing a fine pattern that can be performed and a method of manufacturing a semiconductor device using the method.

【0017】[0017]

【課題を解決するための手段および作用】上記目的を達
成するために、本発明に係る微細パターンの製造方法
は、下地基板上に、フォトリソグラフィー工程に用いる
露光用光の波長に対して光吸収性の高い高吸収膜を形成
する工程と、この高吸収膜上に反射防止膜を形成する工
程と、この反射防止膜上にレジスト膜を形成する工程
と、このレジスト膜をフォトリソグラフィー法により所
定のパターンに加工する工程とを含む。
In order to achieve the above-mentioned object, the method for producing a fine pattern according to the present invention is such that a light absorption for a wavelength of exposure light used in a photolithography process is performed on a base substrate. Of a highly absorbing film, a step of forming an antireflection film on the highly absorbing film, a step of forming a resist film on the antireflection film, and a step of forming the resist film by a photolithography method. And a step of processing into a pattern.

【0018】上記フォトリソグラフィー工程に用いる露
光用光の波長が、150〜400nmの範囲であること
が好ましい。上記高吸収膜は、シリコン系材料、チタン
系材料、高融点金属、高融点金属化合物および高融点金
属シリサイドのうちのいずれかの材料で構成されること
が好ましい。高吸収膜がシリコン系材料である場合に
は、高吸収膜の膜厚は、9.5〜40nmであることが
好ましい。高吸収膜がチタン系材料である場合には、高
吸収膜の膜厚は、15〜120nmであることが好まし
い。高吸収膜が、高融点金属化合物または高融点金属シ
リサイドである場合には、高吸収膜の膜厚が、15〜1
20nmであることが好ましい。
The wavelength of the exposure light used in the photolithography step is preferably in the range of 150 to 400 nm. It is preferable that the high absorption film is made of any one of a silicon-based material, a titanium-based material, a refractory metal, a refractory metal compound, and a refractory metal silicide. When the high absorption film is a silicon-based material, the thickness of the high absorption film is preferably 9.5 to 40 nm. When the high absorption film is a titanium-based material, the thickness of the high absorption film is preferably 15 to 120 nm. When the high absorption film is a high melting point metal compound or a high melting point metal silicide, the film thickness of the high absorption film is 15 to 1
It is preferably 20 nm.

【0019】上記反射防止膜は、屈折率(n)が1.8
以上2.6以下であり、消衰係数(k)が1.8以上
2.6以下である、膜厚20〜150nmの窒素を少な
くとも含むシリコン系膜で構成されることが好ましい。
上記目的を達成するために、本発明に係る第1の半導体
装置の製造方法は、被加工膜上に、フォトリソグラフィ
ー工程に用いる露光用光の波長に対して光吸収性の高い
高吸収膜を形成する工程と、この高吸収膜上に反射防止
膜を形成する工程と、この反射防止膜上にレジスト膜を
形成する工程と、このレジスト膜をフォトリソグラフィ
ー法により所定のパターンに加工する工程と、上記所定
パターンのレジスト膜をマスクとして、上記反射防止膜
および高吸収膜を所定パターンにエッチング加工する工
程と、上記レジスト膜を除去した後に、上記反射防止膜
および高吸収層をマスクとして、上記被加工膜をエッチ
ング加工する工程とを含む。
The antireflection film has a refractive index (n) of 1.8.
It is preferably 2.6 or more and the extinction coefficient (k) is 1.8 or more and 2.6 or less, and a silicon-based film containing at least nitrogen having a film thickness of 20 to 150 nm is preferable.
In order to achieve the above object, the first method for manufacturing a semiconductor device according to the present invention includes a high-absorption film having a high light-absorbing property with respect to a wavelength of exposure light used in a photolithography process, on a film to be processed. A step of forming, a step of forming an antireflection film on the high absorption film, a step of forming a resist film on the antireflection film, and a step of processing the resist film into a predetermined pattern by photolithography. A step of etching the antireflection film and the high-absorption film into a predetermined pattern by using the resist film having the predetermined pattern as a mask; and, after removing the resist film, using the antireflection film and the high-absorption layer as a mask, And a step of etching the film to be processed.

【0020】上記目的を達成するために、本発明に係る
第2の半導体装置の製造方法は、被加工膜上に、フォト
リソグラフィー工程に用いる露光用光の波長に対して光
吸収性が高く、高融点金属、高融点金属化合物および高
融点金属シリサイドのうちのいずれかの材料で構成され
る高吸収膜を形成する工程と、この高吸収膜上に反射防
止膜を形成する工程と、この反射防止膜上にレジスト膜
を形成する工程と、このレジスト膜をフォトリソグラフ
ィー法により所定のパターンに加工する工程と、上記所
定パターンのレジスト膜をマスクとして、上記反射防止
膜、高吸収膜および被加工膜を所定パターンにエッチン
グ加工し、被加工膜にホールを形成する工程と、上記ホ
ール内に入り込むように、上記反射防止膜上に、高融点
金属、高融点金属化合物および高融点金属シリサイドの
うちのいずれかの材料で構成される下地膜を形成する工
程と、上記下地膜が形成された上記ホール内に、導電性
プラグ層を埋め込み形成する工程と、その後、全面エッ
チバック法により、上記ホール内部以外の下地膜、反射
防止膜、高吸収膜および導電性プラグ層の上方一部をエ
ッチチング加工する工程と、を含む。
In order to achieve the above object, the second method for manufacturing a semiconductor device according to the present invention has a high light absorptivity with respect to the wavelength of exposure light used in the photolithography process on the film to be processed, A step of forming a high absorption film made of any one of refractory metal, refractory metal compound and refractory metal silicide; step of forming an antireflection film on the high absorption film; A step of forming a resist film on the antireflection film, a step of processing the resist film into a predetermined pattern by a photolithography method, and a step of using the resist film having the predetermined pattern as a mask to form the antireflection film, the high absorption film and the processed film. A process of etching a film into a predetermined pattern to form a hole in a film to be processed, and a refractory metal or a refractory metal on the antireflection film so as to enter the hole. Of a compound and a refractory metal silicide, a step of forming a base film, a step of embedding a conductive plug layer in the hole in which the base film is formed, and thereafter. Etching the upper part of the base film other than the inside of the hole, the antireflection film, the high absorption film, and the conductive plug layer by the entire surface etchback method.

【0021】本発明では、i線(365nm)、または
それよりも短波長の光、たとえばi線、KrF、ArF
エキシマレーザを光源に用いて、下地基板上に、微細パ
ターンを作成する際に、一回の露光で、微細なレジスト
パターンを、良好に、しかも安定して形成するために、
まず、当該下地基板上に、高吸収膜を形成する。この高
吸収膜は、フォトリソグラフィー工程に用いる露光用光
の波長に対して光吸収性の高い膜であり、この膜を形成
することで、光学条件が相違する領域(しかも双方共に
比較的高反射の領域)が形成された下地基板からの反射
光を2%以下にすることができる。この高吸収膜を下地
基板上に形成することで、光学条件が相違する領域から
反射される反射光は、共に2%以下となり、下地基板を
単一種類の基板とみなすことができる。
In the present invention, light of i-line (365 nm) or shorter wavelength, such as i-line, KrF, ArF, is used.
When a fine pattern is formed on a base substrate using an excimer laser as a light source, in order to form a fine resist pattern satisfactorily and stably with one exposure,
First, a high absorption film is formed on the base substrate. This high-absorption film is a film having a high light absorption property for the wavelength of the exposure light used in the photolithography process. By forming this film, the regions where the optical conditions are different (and both have relatively high reflection). It is possible to reduce the reflected light from the underlying substrate on which the area (1) is formed to 2% or less. By forming this high-absorption film on the base substrate, the reflected light reflected from the regions having different optical conditions is 2% or less, and the base substrate can be regarded as a single type of substrate.

【0022】露光用光に対し、光学条件が相違する領域
から反射される反射光を、共に2%以下にするような高
吸収膜の決定は、以下の手段を用いて行った。 (I)光学条件が相違する領域を、それぞれ単一の基板
とみなし、これら複数の基板のそれぞれに対して、レジ
スト膜内での露光用光の多重干渉を考慮した、レジスト
を光反応させるエネルギーとなるレジストに吸収される
光量を求める。吸収光量の度合は、それぞれの基板の光
学定数(n,k)により異なる。
The following means was used to determine the high-absorption film so that the reflected light reflected from the regions having different optical conditions with respect to the exposure light is 2% or less. (I) Energy for photoreacting the resist, considering areas where the optical conditions are different, as a single substrate and considering multiple interference of exposure light in the resist film with respect to each of the plurality of substrates. Determine the amount of light absorbed by the resist. The degree of absorbed light differs depending on the optical constants (n, k) of the respective substrates.

【0023】(II)光学定数が異なる複数の基板のそれ
ぞれに対して、当該基板上に、高吸収成膜(k>0)が
あると仮定して、その高吸収膜の膜厚を除々に増加させ
て域、レジスト膜内での露光用光の多重干渉を考慮した
レジスト膜に吸収される光量を求める。
(II) For each of a plurality of substrates having different optical constants, assuming that there is a high absorption film (k> 0) on the substrate, the film thickness of the high absorption film is gradually increased. The amount of light absorbed by the resist film is increased in consideration of the multiple interference of the exposure light within the region and the resist film.

【0024】(III)上記(II)で求めた光学定数の異
なる複数の基板上に、高吸収膜があると仮定して求め
た、それぞれの基板に対する、レジスト膜内部の吸収光
量の変動結果に於て、それぞれの結果が同一となるよう
な、高吸収膜の光学定数および膜厚を求める。
(III) As a result of fluctuation of the amount of light absorbed inside the resist film for each substrate, which is obtained by assuming that there is a high absorption film on a plurality of substrates having different optical constants obtained in (II) above. Then, the optical constant and the film thickness of the high absorption film are obtained so that the respective results are the same.

【0025】(IV)上記(III)で得た条件を有する実
際の材質の膜を、本発明における高吸収膜として用い
る。 上記(I)〜(IV)の手段を用いて、本発明において使
用することができる高吸収膜を探したところ、n=0.
5〜7、k=1.5〜3.5である単結晶シリコン、多
結晶シリコン、非晶質シリコン、ドープトシリコンなど
のシリコン系材料が望ましいことが判明した。このよう
なシリコン系材料の高吸収膜の膜厚は、9.5〜40n
mで用いることが好ましいことが判明した。
(IV) A film of an actual material having the conditions obtained in (III) above is used as the high absorption film in the present invention. When a high absorption film that can be used in the present invention was searched for using the means (I) to (IV), n = 0.
It has been found that silicon-based materials such as single crystal silicon, polycrystal silicon, amorphous silicon, and doped silicon having 5 to 7 and k = 1.5 to 3.5 are desirable. The thickness of such a silicon-based material high absorption film is 9.5 to 40 n.
It has been found preferable to use m.

【0026】また、タングステンまたはチタンなどの高
融点金属または高融点金属の化合物、特に、チタンナイ
トライド、チタンオキシナイトライドなどのチタン系材
料が望ましいことが判明した。このような高融点金属ま
たは高融点金属の化合物は、露光用光に対して、n=
0.5〜3.0、k=0.5〜3.0の光学定数を有す
る。また、この高融点金属または高融点金属の化合物で
構成される高吸収膜の膜厚は、15〜120nmで用い
ることが好ましいことが判明した。
Further, it has been found that a high melting point metal such as tungsten or titanium or a compound of a high melting point metal, particularly a titanium-based material such as titanium nitride or titanium oxynitride is desirable. Such a refractory metal or a compound of a refractory metal is n =
It has optical constants of 0.5 to 3.0 and k = 0.5 to 3.0. Further, it has been found that the thickness of the high absorption film made of the high melting point metal or the compound of the high melting point metal is preferably 15 to 120 nm.

【0027】また、タングステンシリサイドなどの高融
点金属シリサイド系材料が望ましいことが判明した。こ
の高融点金属シリサイド系材料は、露光用光に対して、
n=0.5〜4.5、k=1.5〜3.5の光学定数を
有する。また、この高融点金属シリサイドで構成される
高吸収膜の膜厚は、8〜30nmで用いることが好まし
いことが判明した。
It has also been found that a refractory metal silicide material such as tungsten silicide is desirable. This refractory metal silicide material is
It has optical constants of n = 0.5 to 4.5 and k = 1.5 to 3.5. Further, it has been found that it is preferable to use the high absorption film composed of the high melting point metal silicide with a film thickness of 8 to 30 nm.

【0028】このような材料の高吸収膜を、相互に異な
る光学条件を有する少なくとも二つの領域を含む下地基
板上に形成することで、下地基板からの反射率を2%以
下に抑えることができ、光学的には、下地基板を単一種
類の基板としてみなすことが可能になる。
By forming a high absorption film of such a material on a base substrate including at least two regions having mutually different optical conditions, the reflectance from the base substrate can be suppressed to 2% or less. Optically, it is possible to regard the base substrate as a single type of substrate.

【0029】本発明では、このような高吸収膜の上に、
反射防止膜を形成する。反射防止膜の決定は、以下の手
段を用いて行った。 (I)任意に定めたある膜厚のレジストの膜厚に対し、
反射防止膜の光学条件(n,k)を連続的に変化させ
(ただし、反射防止膜の膜厚は固定しておく)た際のレ
ジスト膜内で吸収される吸収光量の等高線を求める。
In the present invention, on such a high absorption film,
An antireflection film is formed. The determination of the antireflection film was performed using the following means. (I) For the film thickness of a resist with a certain film thickness,
A contour line of the amount of light absorbed in the resist film when the optical condition (n, k) of the antireflection film is continuously changed (however, the film thickness of the antireflection film is fixed) is obtained.

【0030】(II)上記(I)で求めた各レジスト膜の
膜厚におけるレジスト内部の吸収光量の等高線の結果に
おいて、吸収光量の差が最小になる共通領域を見い出
し、この共通領域により限定される光学条件を、(I)
において定めた反射防止膜の膜厚における光学条件
(n,k)とする。
(II) From the result of the contour line of the absorbed light amount inside the resist in the film thickness of each resist film obtained in the above (I), a common region where the difference in the absorbed light amount is minimized is found, and is defined by this common region. Optical condition
The optical condition (n, k) in the film thickness of the antireflection film determined in 1.

【0031】(III)反射防止膜の膜厚を変化させて、
上記(I),(II)の操作を繰り返し行い、反射防止膜
の各膜厚に対する各最適条件の光学定数(n,k)を求
める。 (IV)上記(III)で得られた最適条件の光学定数を有
する実際の材質の反射防止膜を見い出す。
(III) By changing the film thickness of the antireflection film,
The above operations (I) and (II) are repeated to obtain the optical constants (n, k) under the respective optimum conditions for the respective thicknesses of the antireflection film. (IV) Find an antireflection film of an actual material having an optical constant of the optimum condition obtained in (III) above.

【0032】次に、図面を参照して、本発明に用いられ
る反射防止膜の包括的条件を決定する上記手段(I)〜
(IV)について、より具体的に説明する。 定在波効果の極大値間、または極小値間のレジスト膜
厚は、レジストの屈折率をnPRとし、露光用光の波長を
λとすると、λ/4nで与えられる(図9参照)。
Next, referring to the drawings, the above-mentioned means (I) to determine the comprehensive conditions of the antireflection film used in the present invention.
(IV) will be described more specifically. The resist film thickness between the maximum value and the minimum value of the standing wave effect is given by λ / 4n when the refractive index of the resist is n PR and the wavelength of the exposure light is λ (see FIG. 9).

【0033】レジストと下地基板との間に、反射防止
膜ARLを過程して、その膜厚さd arl ,光学定数をn
arl ,karl とする。 図9におけるある1点(例えば、定在波効果が極大と
なる膜厚)の膜厚に着目すると、反射防止膜の膜厚さd
arl を固定してnarl ,karl を変化させた場合、その
点におけるレジスト膜の吸収光量は変化する。この変化
する軌跡、すなわち吸収光量の等高線を求めると、図1
0に示すようになる。
Antireflection between the resist and the base substrate
The film ARL is processed and its thickness d arl, Optical constant n
arl, KarlAnd One point in FIG. 9 (for example, when the standing wave effect is maximum)
The thickness d) of the antireflection film.
arlFix narl, KarlIf you change
The amount of light absorbed by the resist film at the point changes. This change
When the contour line of the absorbed light quantity is obtained,
As shown in 0.

【0034】他の異なったレジスト膜厚dPRについ
て、少なくとも定在波効果を極大もしくは極小にする膜
厚を基準にして、λ/8nPR間隔で4ケ所に対して、
を繰り返し行うと、図10に対応した図11〜図13が
得られる(図10〜図13は、反射防止膜厚を20nm
に規定し、レジスト膜厚を各々985nm、1000n
m、1018nm、1035nmとした結果を示す)。
以上は、上記手段(I)に該当する。
With respect to other different resist film thicknesses d PR , at least with respect to the film thickness at which the standing wave effect is maximized or minimized, four locations at λ / 8n PR intervals are set.
11 to 13 corresponding to FIG. 10 are obtained by repeating the above (FIGS. 10 to 13 show an antireflection film thickness of 20 nm).
And the resist film thickness is 985 nm and 1000 n, respectively.
m, 1018 nm, 1035 nm are shown).
The above corresponds to the above means (I).

【0035】図10〜図13の各々グラフの共通領域
は、反射防止膜の特定の膜厚について、レジスト膜厚が
変化しても、レジスト膜内での吸収光量が変化しない領
域を示している。すなわち、上記共通領域は、定在波効
果を最小にする、反射防止効果が最も高い領域である。
よって、かかる共通領域を見い出す。共通領域を見い出
すのは、例えば簡便には、各図(グラフ)を重ね合わせ
て、共通領域をとることにより、行うことができる(も
ちろん、コンピュータでの共通領域の検索により行って
もよい)。これは上記手段(II)に該当する。
The common region in each of the graphs of FIGS. 10 to 13 shows a region where the amount of absorbed light in the resist film does not change even if the resist film thickness changes for a specific film thickness of the antireflection film. . That is, the common region is a region having the highest antireflection effect that minimizes the standing wave effect.
Therefore, we find such a common area. The common area can be found, for example, simply by superposing the figures (graphs) and taking the common area (of course, the common area may be searched by a computer). This corresponds to the above means (II).

【0036】次に、反射防止膜の膜厚dを連続的に変
化させて上記を繰り返す。たとえば最初のステッ
プのまでは、d=20nmとして操作を行ったとする
と、dを変えて、上記を繰り返し行う。これにより、定
在波効果を最小にするような反射防止膜の膜厚darl
光学定数narl ,karl の条件を特定できる。これは上
記手段(III)に該当する。
Next, the thickness d of the antireflection film is continuously changed and the above is repeated. For example, if the operation is performed with d = 20 nm until the first step, d is changed and the above is repeated. As a result, the film thickness d arl of the antireflection film that minimizes the standing wave effect,
The conditions of the optical constants n arl and k arl can be specified. This corresponds to the above means (III).

【0037】上記で特定した反射防止膜の満たすべ
き条件(膜厚、光学定数)を満足するような膜の種類
を、露光用光における各膜種の光学定数を測定すること
により、見い出す。これは手段(IV)に該当する。上記
手法は、全ての波長、全ての下地基板に対して、原理的
に適用可能である。
The kind of film satisfying the conditions (thickness, optical constant) to be satisfied by the antireflection film specified above is found by measuring the optical constant of each film type in the exposure light. This corresponds to means (IV). The above method can be applied in principle to all wavelengths and all underlying substrates.

【0038】上記(I)〜(IV)の手段で、本発明に係
る方法で好適に用いることができる反射防止膜について
検討したところ、SiX y z 膜またはSiX y
が特に適切であることが判明した。すなわち、高吸収膜
として、上記材料を用いた場合には、反射防止膜として
は、n=1.8〜2.6、k=0.1〜0.8の光学定
数を有する有機膜もしくは無機膜、特に、SiX y
z 膜(水素Hを含有してもよい)またはSiX y
を、20〜150nmの膜厚で用いることが好ましいこ
とが判明した。
When the antireflection film which can be preferably used in the method according to the present invention is examined by the means (I) to (IV), the Si X O y N z film or the Si X N y film is particularly preferable. Proved to be suitable. That is, when the above material is used as the high absorption film, the antireflection film is an organic film or an inorganic film having optical constants of n = 1.8 to 2.6 and k = 0.1 to 0.8. Membrane, especially Si X O y N
It has been found preferable to use a z film (which may contain hydrogen H) or a Si x N y film with a film thickness of 20 to 150 nm.

【0039】これらSiX y z 膜またはSiX y
膜は、各種CVD法により容易に成膜することができ
る。たとえば、これら膜は、平行平板型プラズマCVD
法、ECRプラズマCVD法、もしくはバイアスECR
プラズマCVD法を利用し、マイクロ波を用いて、シラ
ン系ガスと酸素および窒素を含むガスと(たとえばSi
4 +O2 +N2 )の混合ガス、またはシラン系ガスと
窒素を含むガス(たとえばSiH4 +N2 O)の混合ガ
スとを用いて成膜することができる。また、その際に、
バッファガスとして、アルゴンArガスなどを用いるこ
とができる。
These Si X O y N z films or Si X N y
The film can be easily formed by various CVD methods. For example, these films are parallel plate plasma CVD
Method, ECR plasma CVD method, or bias ECR
Using a plasma CVD method and a microwave, a silane-based gas and a gas containing oxygen and nitrogen (for example, Si
Film formation can be performed using a mixed gas of H 4 + O 2 + N 2 ) or a mixed gas of a silane-based gas and a gas containing nitrogen (for example, SiH 4 + N 2 O). Also, at that time,
Argon Ar gas or the like can be used as the buffer gas.

【0040】たとえば、SiX y z 膜は、図14に
示すように、製膜時の条件、特にシラン系ガスの流量比
に応じて、得られる膜の光学定数(n,k)を、nが
1.5〜2.7の範囲、kが0.1〜0.8の範囲で自
由に変えることができるので、特定の下地基板のための
反射防止膜として要求される光学定数の値を持つ反射防
止膜を容易に作ることができる。
For example, as shown in FIG. 14, the Si X O y N z film has an optical constant (n, k) of the film obtained depending on the film forming conditions, particularly the flow rate ratio of the silane-based gas. , N can be freely changed in the range of 1.5 to 2.7 and k is in the range of 0.1 to 0.8, so that the optical constants required as an antireflection film for a particular underlying substrate can be An antireflection film having a value can be easily made.

【0041】また、これらSiX y z 膜またはSi
X y 膜は、レジストをマスクとして、CF4 、CHF
3 、C26 、C48 、SF6 、S22 、NF3
ガスをエッチャントとし、Arを添加してイオン性を高
めたRIEにより、容易にエッチングすることができ
る。そのRIEは、約2Pa程度の圧力下で、10〜1
00W程度のパワーをかけて行うことが好ましい。ま
た、RIE時のガスの流量は、特に限定されないが、5
〜70SCCMであることが好ましい。
Further, these Si X O y N z films or Si
The XNy film uses CF 4 and CHF as a mask for the resist.
It can be easily etched by RIE in which 3 , C 2 F 6 , C 4 F 8 , SF 6 , S 2 F 2 , and NF 3 gas is used as an etchant and Ar is added to increase the ionicity. The RIE is 10 to 1 under a pressure of about 2 Pa.
It is preferable to apply a power of about 00W. The flow rate of the gas during RIE is not particularly limited, but is 5
It is preferably ˜70 SCCM.

【0042】また、パターンが転写された高吸収性膜を
マスクとして、下地基板にパターンを転写した後は、フ
ッ素系のガスを用いたエッチバック法により、シリコン
系、高融点金属系、高融点金属化合物系または高融点金
属シリサイド系の高吸収性膜は、容易に除去することが
できる。
Further, after the pattern is transferred to the underlying substrate by using the pattern-transferred high-absorbency film as a mask, the silicon-based, high-melting-point metal-based, and high-melting-point are formed by an etch back method using a fluorine-based gas. The metal compound-based or refractory metal silicide-based highly absorbent film can be easily removed.

【0043】上述した本発明に係る微細パターンの製造
方法では、段差を有し、しかも光学条件が相違する複数
の領域を有する下地基板上であっても、i線(365n
m)よりも短波長の光、たとえばi線、KrF、ArF
エキシマレーザを光源に用いて、一回の露光で、微細な
レジストパターンを、良好に、しかも安定して形成する
ことができる。
In the method of manufacturing a fine pattern according to the present invention described above, the i-line (365n) is formed even on a base substrate having a plurality of regions having steps and different optical conditions.
m) shorter wavelength light, eg i-line, KrF, ArF
By using an excimer laser as a light source, a fine resist pattern can be formed satisfactorily and stably by a single exposure.

【0044】本発明に係る微細パターンの製造方法は、
特に、微細パターンを有する半導体装置の製造方法に対
して好適に用いることができる。
The method for producing a fine pattern according to the present invention is
In particular, it can be suitably used for a method of manufacturing a semiconductor device having a fine pattern.

【0045】[0045]

【実施例】以下、本発明の実施例について説明するが、
本発明は、以下の実施例に限定されるものではなく、本
発明の範囲内で種々に改変することができる。実施例1 この実施例は、本発明を、i線(365nm)よりも短
波長の光、例えばi線,KrF,ArFエキシマリソグ
ラフィーを用いて半導体を製造する際に、反射率の異な
る複数の高反射層領域を有する一枚の下地基板上に、微
細なマスクパターンを転写する半導体製造工程におい
て、この下地基板全面に成膜する高吸収膜として、多結
晶シリコン、アモルファスシリコン、ドープドポリシリ
コン等のシリコン系材料を用いた例である。
EXAMPLES Examples of the present invention will be described below.
The present invention is not limited to the following examples and can be variously modified within the scope of the present invention. Example 1 In this example, when the present invention is used to manufacture a semiconductor using light having a wavelength shorter than that of i-line (365 nm), for example, i-line, KrF, ArF excimer lithography, a plurality of high reflectances having different reflectances are used. In a semiconductor manufacturing process in which a fine mask pattern is transferred onto one base substrate having a reflective layer region, polycrystalline silicon, amorphous silicon, doped polysilicon, etc. are used as a high absorption film formed on the entire surface of the base substrate. This is an example using the above silicon-based material.

【0046】本実施例の半導体製造方法では、まず、図
15に示すように、たとえばシリコンウェーハなどで構
成された半導体基板20上に、ゲート絶縁層を介して、
ポリシリコン層22およびタングステンシリサイド24
から成るポリサイド構造のゲート電極を形成する。その
上に、酸化シリコン膜等の透明絶縁膜26を成膜する。
この透明絶縁膜26において、タングステンシリサイド
24の上部に位置する領域Aと、半導体基板20の表面
に形成される不純物拡散層の上部に位置する領域Bとで
は、フォトマスク30を用いて露光を行う際に、下地基
板25(ポリシリコン膜22、タングステンシリサイド
膜24および透明絶縁膜26が形成された半導体基板2
0)の光学条件が相違する。
In the semiconductor manufacturing method of this embodiment, first, as shown in FIG. 15, on a semiconductor substrate 20 made of, for example, a silicon wafer, a gate insulating layer is interposed,
Polysilicon layer 22 and tungsten silicide 24
Forming a polycide-structured gate electrode. A transparent insulating film 26 such as a silicon oxide film is formed thereon.
In the transparent insulating film 26, a region A located above the tungsten silicide 24 and a region B located above the impurity diffusion layer formed on the surface of the semiconductor substrate 20 are exposed using a photomask 30. At this time, the base substrate 25 (the semiconductor substrate 2 on which the polysilicon film 22, the tungsten silicide film 24, and the transparent insulating film 26 are formed)
The optical conditions of 0) are different.

【0047】そこで、本実施例では、透明絶縁膜26の
上に、まず、高吸収膜32を全面に成膜する。本実施例
では、高吸収膜32としては、ポリシリコン、非晶質シ
リコン、ドープトシリコンなどのシリコン系材料を用い
る。i線(365nm)、KrF(248nm)、Ar
F(193nm)における多結晶シリコン(Poly−
Si)、非晶質シリコン(α−Si)の光学定数(屈折
率n)および吸収係数α(l/nm)は、下の表1の通
りである。
Therefore, in this embodiment, the high absorption film 32 is first formed on the entire surface of the transparent insulating film 26. In this embodiment, the high absorption film 32 is made of a silicon material such as polysilicon, amorphous silicon, or doped silicon. i-line (365 nm), KrF (248 nm), Ar
Polycrystalline silicon (Poly-) at F (193 nm)
The optical constants (refractive index n) and absorption coefficient α (1 / nm) of Si) and amorphous silicon (α-Si) are as shown in Table 1 below.

【0048】[0048]

【表1】 [Table 1]

【0049】厚みdの膜の透過率Tは、入射光量I0
透過光量をIとし、波長をλとし、膜の吸収係数をα
(=4πk/λ)とすると、 T(=I0 /I)=exp(−αd)で与えられる。す
なわち、透過率Tは、吸収係数が大きいほど、そして膜
が厚いほど小さくなり、1/e2 程度で透過率は13.
5%程度となる。入射光は、該膜と下層膜界面での反射
率をR(=10〜80%)とするとI×Rの光が該膜へ
と反射する。したがって、一回の反射で戻ってくる反射
光成分は、入射光量を1とすると、0.135(=1/
2 )×(0.1〜0.8)(=R)×0.135(=
1/e 2 )=0.0018〜0.014程度に減衰す
る。すなわち、1/e2 程度に光を減衰するような膜を
用いることにより、もはや反射光は全く無視できるレベ
ルとなる。
The transmittance T of the film having the thickness d is the incident light quantity I.0 ,
Let the amount of transmitted light be I, the wavelength be λ, and the absorption coefficient of the film be α
(= 4πk / λ), T (= I0 / I) = exp (-αd). You
That is, the transmittance T increases as the absorption coefficient increases
Becomes thicker and becomes smaller, 1 / e2 The transmittance is 13.
It will be about 5%. Incident light is reflected at the interface between the film and the underlying film
If the ratio is R (= 10 to 80%), I × R light is transmitted to the film.
Is reflected. Therefore, a reflection that returns in one reflection
Assuming that the amount of incident light is 1, the light component is 0.135 (= 1 /
e2) × (0.1-0.8) (= R) × 0.135 (=
1 / e 2) = 0.0018 to 0.014
It That is, 1 / e2 A film that attenuates light to some extent
By using it, the reflected light can no longer be ignored.
It will be Le.

【0050】したがって、このような条件を満足する高
吸収膜を、下地基板の全面に成膜すれば、いかに反射率
の異なる複数の高反射層を有する下地基板上において
も、該基板は、同一の反射率のみを有する単一基板と等
価となる。上記高吸収性膜として、多結晶シリコン、非
晶質シリコン、ドープトポリシリコン等のシリコン系材
料を用いる場合、上記表1より、αd≧2を満たすため
には、d=9.5〜40nmの膜厚で用いれば良いこと
が分かる。
Therefore, if a high-absorption film satisfying such conditions is formed on the entire surface of the base substrate, the same substrate can be obtained on the base substrate having a plurality of high-reflection layers having different reflectances. Is equivalent to a single substrate having only the reflectance of. When using a silicon-based material such as polycrystalline silicon, amorphous silicon, or doped polysilicon as the high-absorption film, from the above Table 1, in order to satisfy αd ≧ 2, d = 9.5-40 nm It can be seen that the above film thickness can be used.

【0051】図16に、シリコン基板上に40nmの膜
厚のポリシリコン膜を成膜し、該膜上にレジストを塗布
した際の定在波効果を示す。レジストとしては、XP8
843を用いた。レジストのnPRおよびkPRは、それぞ
れ1.802および0.0107であった。ポリシリコ
ン膜のnpolyおよびkpolyは、それぞれ1.68および
3.27であった。半導体基板のnsub およびk
sub は、それぞれ1.572および3.583であっ
た。露光用光としては、波長λが248nmのKrFを
用いた。
FIG. 16 shows a standing wave effect when a polysilicon film having a film thickness of 40 nm is formed on a silicon substrate and a resist is applied on the film. As a resist, XP8
843 was used. The resist n PR and k PR were 1.802 and 0.0107, respectively. The n poly and k poly of the polysilicon film were 1.68 and 3.27, respectively. N sub and k of the semiconductor substrate
The sub was 1.572 and 3.583, respectively. KrF having a wavelength λ of 248 nm was used as the exposure light.

【0052】図17に、タングステンシリサイド上に、
やはり40nmの膜厚のポリシリコン膜を成膜し、該膜
上にレジストを塗布した際の定在波効果を示す。レジス
トとしては、XP8843を用いた。レジストのnPR
よびkPRは、それぞれ1.802および0.0107で
あった。ポリシリコン膜のnpolyおよびkpolyは、それ
ぞれ1.68および3.27であった。タングステンシ
リサイドのnWSi およびkWSi は、それぞれ1.96お
よび2.69であった。露光用光としては、波長λが2
48nmのKrFを用いた。
In FIG. 17, on the tungsten silicide,
A standing wave effect is also shown when a polysilicon film having a film thickness of 40 nm is formed and a resist is applied on the film. XP8843 was used as the resist. The resist n PR and k PR were 1.802 and 0.0107, respectively. The n poly and k poly of the polysilicon film were 1.68 and 3.27, respectively. The n WSi and k WSi of the tungsten silicide were 1.96 and 2.69, respectively. The exposure light has a wavelength λ of 2
48 nm KrF was used.

【0053】両図において、横軸に、レジスト膜厚、縦
軸に、レジストを実際に感光させる成分であるレジスト
吸収光量を取っている。図16と図17とを比較する
と、同一の結果となっている。すなわち、いかに反射率
の異なる複数の高反射層領域を有する下地基板上におい
ても、膜厚9.5〜40nmの多結晶シリコン、非晶質
シリコン、ドープドポリシリコン等のシリコン系材料を
下地基板全面に成膜することにより、該下地基板は同一
の反射のみを有する基板と実質的に等価となる。
In both figures, the horizontal axis shows the resist film thickness, and the vertical axis shows the amount of light absorbed by the resist, which is the component that actually exposes the resist. Comparing FIG. 16 and FIG. 17, the same result is obtained. That is, even on a base substrate having a plurality of highly reflective layer regions having different reflectances, a silicon-based material such as polycrystalline silicon, amorphous silicon, or doped polysilicon having a film thickness of 9.5 to 40 nm is used as the base substrate. By forming a film on the entire surface, the underlying substrate becomes substantially equivalent to a substrate having only the same reflection.

【0054】したがって、図15に示すように、光学条
件が相違する領域A,Bが形成された下地基板25上
に、本実施例の高吸収層32を全面に設けることで、そ
の下地基板25を、光学的に単一の基板と同様に扱うこ
とが可能になることが証明された。
Therefore, as shown in FIG. 15, by providing the high absorption layer 32 of the present embodiment on the entire surface of the base substrate 25 on which the regions A and B having different optical conditions are formed, the base substrate 25 is formed. Has been proved to be able to be treated optically as if it were a single substrate.

【0055】高吸収層32の表面には、図15に示すレ
ジスト膜28を直接または反射防止膜34を介して成膜
する。その後、フォトマスク30を用いて、レジスト膜
28をパターン加工すれば、定在波効果を最小にして、
レジスト膜28を高精度で微細パターンに加工すること
ができる。
On the surface of the high absorption layer 32, the resist film 28 shown in FIG. 15 is formed directly or via the antireflection film 34. After that, if the resist film 28 is patterned using the photomask 30, the standing wave effect is minimized,
The resist film 28 can be processed into a fine pattern with high accuracy.

【0056】図18は、下地基板として、シリコン基板
およびタングステンシリサイドのそれぞれの上に、高吸
収膜として9.5〜40nmのポリシリコンを成膜し、
その上に、反射防止膜として、20〜150nmの膜厚
のSiX y z 膜(水素Hを含有)を成膜し、その上
にレジスト膜を成膜した場合、その定在波効果を示す。
図18から明らかなように、下地基板の種類に拘らず、
定在波効果を双方ともに同様に最小限にできることが確
認された。
In FIG. 18, 9.5 to 40 nm polysilicon is formed as a high absorption film on each of a silicon substrate and a tungsten silicide as a base substrate,
When a Si X O y N z film (containing hydrogen H) having a film thickness of 20 to 150 nm is formed thereon and a resist film is formed thereon, the standing wave effect is obtained. Indicates.
As is clear from FIG. 18, regardless of the type of base substrate,
It was confirmed that the standing wave effect can be minimized in both cases as well.

【0057】なお、図18に示す実験において、レジス
トとしては、XP8843を用いた。レジストのnPR
よびkPRは、それぞれ1.802および0.0107で
あった。ポリシリコン膜のnpolyおよびkpolyは、それ
ぞれ1.68および3.27であった。タングステンシ
リサイドのnWSi およびkWSi は、それぞれ1.96お
よび2.69であった。半導体基板のnsub およびk
sub は、それぞれ1.572および3.583であっ
た。SiX y z 膜のnarl およびkarl は、それぞ
れ2.15および0.67であった。
In the experiment shown in FIG. 18, XP8843 was used as the resist. The resist n PR and k PR were 1.802 and 0.0107, respectively. The n poly and k poly of the polysilicon film were 1.68 and 3.27, respectively. The n WSi and k WSi of the tungsten silicide were 1.96 and 2.69, respectively. N sub and k of the semiconductor substrate
The sub was 1.572 and 3.583, respectively. The n arl and k arl of the Si x O y N z film were 2.15 and 0.67, respectively.

【0058】露光用光としては、波長λが248nmの
KrFを用いた。また、SiX yz 膜は、平行平板
型プラズマCVD法、ECRプラズマCVD法、もしく
はバイアスECRプラズマCVD法を利用し、マイクロ
波(2.45GHz)を用いて、SiH4 +O2 +N2
の混合ガスを用いて成膜した。
KrF having a wavelength λ of 248 nm was used as the exposure light. Further, the Si X O y N z film is formed by using a parallel plate plasma CVD method, an ECR plasma CVD method, or a bias ECR plasma CVD method by using microwave (2.45 GHz) and SiH 4 + O 2 + N 2
The film was formed using the mixed gas of.

【0059】実施例2 この実施例2では、高吸収膜として、チタンナイトライ
ド、チタンオキシナイトライド、などのチタン系材料を
用いた以外は、実施例1と同様にして、本発明の有効性
を証明した。
Example 2 In Example 2, the effectiveness of the present invention was obtained in the same manner as in Example 1 except that titanium-based materials such as titanium nitride and titanium oxynitride were used as the high absorption film. Proved.

【0060】i線(365nm)、KrF(248n
m)、ArF(193nm)におけるTiN、TiON
の光学定数(屈折率n)および吸収係数α(l/nm)
は、下の表2の通りである。
I line (365 nm), KrF (248 n)
m), TiN and TiON in ArF (193 nm)
Optical constant (refractive index n) and absorption coefficient α (l / nm)
Is as shown in Table 2 below.

【0061】[0061]

【表2】 [Table 2]

【0062】上記表2より、実施例1と同様にして、チ
タンナイトライド、チタンオキシナイトライド、などの
チタン系材料において、αd≧2を満たすためには、d
=15〜120nmの膜厚で用いれば良いことが分か
る。図19に、シリコン基板上に120nmの膜厚のチ
タンナイトライドTiN膜を成膜し、該膜上にレジスト
を塗布した際の定在波効果を示す。レジストとしては、
XP8843を用いた。レジストのnPRおよびkPRは、
それぞれ1.802および0.0107であった。Ti
N膜のnTiN およびkTiN は、それぞれ2.19および
1.6であった。半導体基板のnsub およびksub は、
それぞれ1.57および3.58であった。露光用光と
しては、波長λが248nmのKrFを用いた。
From Table 2 above, in the same manner as in Example 1, in order to satisfy αd ≧ 2 in titanium-based materials such as titanium nitride and titanium oxynitride, d
It can be seen that the film thickness of 15 to 120 nm may be used. FIG. 19 shows a standing wave effect when a titanium nitride TiN film having a film thickness of 120 nm is formed on a silicon substrate and a resist is applied on the film. As a resist
XP8843 was used. The resist n PR and k PR are
It was 1.802 and 0.0107, respectively. Ti
The n TiN and k TiN of the N film were 2.19 and 1.6, respectively. N sub and k sub of the semiconductor substrate are
It was 1.57 and 3.58, respectively. KrF having a wavelength λ of 248 nm was used as the exposure light.

【0063】図20に、タングステンシリサイド上に、
やはり120nmの膜厚のTiN膜を成膜し、該膜上に
レジストを塗布した際の定在波効果を示す。レジストと
しては、XP8843を用いた。レジストのnPRおよび
PRは、それぞれ1.802および0.0107であっ
た。TiN膜のnTiN およびkTiN は、それぞれ2.1
9および1.6であった。タングステンシリサイドのn
WSi およびkWSi は、それぞれ1.96および2.69
であった。露光用光としては、波長λが248nmのK
rFを用いた。
In FIG. 20, on the tungsten silicide,
A standing wave effect is also obtained when a TiN film having a film thickness of 120 nm is formed and a resist is applied on the film. XP8843 was used as the resist. The resist n PR and k PR were 1.802 and 0.0107, respectively. The n TiN and k TiN of the TiN film are 2.1, respectively.
9 and 1.6. N of tungsten silicide
WSi and k WSi are 1.96 and 2.69, respectively.
Met. The exposure light is K with a wavelength λ of 248 nm.
rF was used.

【0064】両図において、横軸に、レジスト膜厚、縦
軸に、レジストを実際に感光させる成分であるレジスト
吸収光量を取っている。図19と図20とを比較する
と、同一の結果となっている。すなわち、いかに反射率
の異なる複数の高反射層領域を有する下地基板上におい
ても、膜厚15〜120nmのTiN,TiON等のチ
タン系材料を下地基板全面に成膜することにより、該下
地基板は同一の反射のみを有する基板と実質的に等価と
なる。
In both figures, the horizontal axis represents the resist film thickness, and the vertical axis represents the resist absorbed light amount which is the component that actually exposes the resist. Comparing FIG. 19 and FIG. 20, the same result is obtained. That is, even on a base substrate having a plurality of highly reflective layer regions having different reflectances, a titanium-based material such as TiN or TiON having a film thickness of 15 to 120 nm is formed on the entire surface of the base substrate to form the base substrate. Substantially equivalent to a substrate having only the same reflection.

【0065】したがって、図15に示すように、光学条
件が相違する領域A,Bが形成された下地基板25上
に、本実施例の高吸収層32を全面に設けることで、そ
の下地基板25を、光学的に単一の基板と同様に扱うこ
とが可能になることが証明された。
Therefore, as shown in FIG. 15, by providing the high absorption layer 32 of the present embodiment on the entire surface of the underlying substrate 25 on which the regions A and B having different optical conditions are formed, the underlying substrate 25 is formed. Has been proved to be able to be treated optically as if it were a single substrate.

【0066】高吸収層32の表面には、図15に示すレ
ジスト膜28を直接または反射防止膜34を介して成膜
する。その後、フォトマスク30を用いて、レジスト膜
28をパターン加工すれば、定在波効果を最小にして、
レジスト膜28を高精度で微細パターンに加工すること
ができる。
On the surface of the high absorption layer 32, the resist film 28 shown in FIG. 15 is formed directly or via the antireflection film 34. After that, if the resist film 28 is patterned using the photomask 30, the standing wave effect is minimized,
The resist film 28 can be processed into a fine pattern with high accuracy.

【0067】実施例3 この実施例3では、高吸収膜として、タングステン、タ
ングステンシリサイドなどの高融点金属または高融点金
属シリサイド系材料を用いた以外は、実施例1と同様に
して、本発明の有効性を証明した。
Example 3 This example 3 is the same as Example 1 except that a refractory metal such as tungsten or tungsten silicide or a refractory metal silicide material is used as the high absorption film. Proved its effectiveness.

【0068】i線(365nm)、KrF(248n
m)、ArF(193nm)におけるW,WSiの光学
定数(屈折率n)および吸収係数α(l/nm)は、下
の表3の通りである。
I-line (365 nm), KrF (248n)
m), optical constants (refractive index n) and absorption coefficient α (l / nm) of W and WSi in ArF (193 nm) are as shown in Table 3 below.

【0069】[0069]

【表3】 [Table 3]

【0070】上記表3より、実施例1と同様にして、タ
ングステン、タングステンシリサイドなどの高融点金属
または高融点金属シリサイド系材料において、αd≧2
を満たすためには、d=8〜30nmの膜厚で用いれば
良いことが分かる。図21に、シリコン基板上に30n
mの膜厚のタングステンシリサイドWSi膜を成膜し、
該膜上にレジストを塗布した際の定在波効果を示す。レ
ジストとしては、XP8843を用いた。レジストのn
PRおよびkPRは、それぞれ1.802および0.010
7であった。WSi膜のnWSi およびkWSi は、それぞ
れ1.96および2.69であった。半導体基板のn
sub およびksub は、それぞれ1.57および3.58
であった。露光用光としては、波長λが248nmのK
rFを用いた。
From Table 3 above, in the same manner as in Example 1, αd ≧ 2 for refractory metals or refractory metal silicide materials such as tungsten and tungsten silicide.
It is understood that the film thickness d = 8 to 30 nm can be used to satisfy the above condition. In FIG. 21, 30n on a silicon substrate
a tungsten silicide WSi film having a thickness of m is formed,
The standing wave effect when a resist is applied on the film is shown. XP8843 was used as the resist. N of resist
PR and k PR are 1.802 and 0.010, respectively.
It was 7. The n WSi and k WSi of the WSi film were 1.96 and 2.69, respectively. N of semiconductor substrate
sub and k sub are 1.57 and 3.58, respectively
Met. The exposure light is K with a wavelength λ of 248 nm.
rF was used.

【0071】図22に、タングステンシリサイド上に、
やはり30nmの膜厚のWSi膜を成膜し、該膜上にレ
ジストを塗布した際の定在波効果を示す。レジストとし
ては、XP8843を用いた。レジストのnPRおよびk
PRは、それぞれ1.802および0.0107であっ
た。WSi膜のnWSi およびkWSi は、それぞれ1.9
6および2.69であった。露光用光としては、波長λ
が248nmのKrFを用いた。
In FIG. 22, on the tungsten silicide,
A standing wave effect is also shown when a WSi film having a thickness of 30 nm is formed and a resist is applied on the film. XP8843 was used as the resist. N PR and k of resist
The PR was 1.802 and 0.0107, respectively. N WSi and k WSi of the WSi film are respectively 1.9.
6 and 2.69. The exposure light has a wavelength of λ
Used 248 nm KrF.

【0072】両図において、横軸に、レジスト膜厚、縦
軸に、レジストを実際に感光させる成分であるレジスト
吸収光量を取っている。図21と図22とを比較する
と、同一の結果となっている。すなわち、いかに反射率
の異なる複数の高反射層領域を有する下地基板上におい
ても、膜厚8〜30nmのW,WSi等の高融点金属ま
たは高融点金属シリサイド系材料を下地基板全面に成膜
することにより、該下地基板は同一の反射のみを有する
基板と実質的に等価となる。したがって、図15に示す
ように、光学条件が相違する領域A,Bが形成された下
地基板25上に、本実施例の高吸収層32を全面に設け
ることで、その下地基板25を、光学的に単一の基板と
同様に扱うことが可能になることが証明された。
In both figures, the horizontal axis shows the resist film thickness, and the vertical axis shows the amount of resist absorption light which is the component that actually exposes the resist. Comparing FIG. 21 and FIG. 22, the same result is obtained. That is, a refractory metal such as W or WSi or a refractory metal silicide-based material having a film thickness of 8 to 30 nm or a refractory metal silicide-based material having a film thickness of 8 to 30 nm is formed on the entire surface of a base substrate even on a base substrate having a plurality of highly reflective layer regions having different reflectances. As a result, the underlying substrate is substantially equivalent to a substrate having only the same reflection. Therefore, as shown in FIG. 15, by providing the high absorption layer 32 of the present embodiment on the entire surface of the base substrate 25 on which the regions A and B having different optical conditions are formed, the base substrate 25 is optically It has been proved that it can be treated as a single substrate.

【0073】高吸収層32の表面には、図15に示すレ
ジスト膜28を直接または反射防止膜34を介して成膜
する。その後、フォトマスク30を用いて、レジスト膜
28をパターン加工すれば、定在波効果を最小にして、
レジスト膜28を高精度で微細パターンに加工すること
ができる。
On the surface of the high absorption layer 32, the resist film 28 shown in FIG. 15 is formed directly or through the antireflection film 34. After that, if the resist film 28 is patterned using the photomask 30, the standing wave effect is minimized,
The resist film 28 can be processed into a fine pattern with high accuracy.

【0074】実施例4 本実施例4では、図23に示すように、実際に半導体装
置に微細パターンを製造する方法について説明する。図
23(A)に示すように、たとえばシリコンウェーハな
どで構成された半導体基板20上に、ゲート絶縁層を介
して、ポリシリコン層22およびタングステンシリサイ
ド24から成るポリサイド構造のゲート電極を形成す
る。その上に、被加工膜として、酸化シリコン膜等の透
明絶縁膜26を成膜する。この透明絶縁膜26におい
て、タングステンシリサイド24の上部に位置する領域
と、半導体基板20の表面に形成される不純物拡散層の
上部に位置する領域とでは、露光を行う際に、下地基板
25(ポリシリコン膜22、タングステンシリサイド膜
24および透明絶縁膜26が形成された半導体基板2
0)の光学条件が相違する。
Fourth Embodiment In a fourth embodiment, as shown in FIG. 23, a method for actually manufacturing a fine pattern on a semiconductor device will be described. As shown in FIG. 23A, a gate electrode having a polycide structure including a polysilicon layer 22 and a tungsten silicide 24 is formed on a semiconductor substrate 20 formed of, for example, a silicon wafer, with a gate insulating layer interposed. A transparent insulating film 26 such as a silicon oxide film is formed thereon as a film to be processed. In the transparent insulating film 26, a region located above the tungsten silicide 24 and a region located above the impurity diffusion layer formed on the surface of the semiconductor substrate 20 are exposed at the time of exposing the underlying substrate 25 (polysilicon). Semiconductor substrate 2 on which silicon film 22, tungsten silicide film 24 and transparent insulating film 26 are formed
The optical conditions of 0) are different.

【0075】そこで、本実施例では、透明絶縁膜26の
上に、まず、高吸収膜32を全面に成膜する。本実施例
では、高吸収膜32としては、実施例1と同様に、ポリ
シリコン、非晶質シリコン、ドープトシリコンなどのシ
リコン系材料を用いる。高吸収膜32の膜厚は、たとえ
ば40nmである。膜厚40nmの多結晶シリコン、非
晶質シリコン、ドープドポリシリコン等のシリコン系材
料を、下地基板25の全面に成膜することにより、該下
地基板25は同一の反射のみを有する基板と実質的に等
価となる。
Therefore, in this embodiment, first, the high absorption film 32 is formed on the entire surface of the transparent insulating film 26. In this embodiment, as the high absorption film 32, a silicon-based material such as polysilicon, amorphous silicon, or doped silicon is used as in the first embodiment. The film thickness of the high absorption film 32 is, for example, 40 nm. By depositing a silicon-based material such as polycrystalline silicon, amorphous silicon, or doped polysilicon having a film thickness of 40 nm on the entire surface of the underlying substrate 25, the underlying substrate 25 is substantially a substrate having only the same reflection. Are equivalent to each other.

【0076】次に、その高吸収膜32の表面に、反射防
止膜34を成膜する。反射防止膜34としては、たとえ
ば30nm程度の膜厚のSiX y z 膜(水素Hを含
有)またはSiX y 膜を、平行平板型プラズマCVD
法、ECRプラズマCVD法、もしくはバイアスECR
プラズマCVD法を利用し、マイクロ波(2.45GH
z)を用いて、SiH4 +O2 +N2 の混合ガスを用い
て成膜した。
Next, an antireflection film 34 is formed on the surface of the high absorption film 32. As the antireflection film 34, for example, a Si X O y N z film (containing hydrogen H) or a Si X N y film having a film thickness of about 30 nm is formed by parallel plate plasma CVD.
Method, ECR plasma CVD method, or bias ECR
Using the plasma CVD method, microwave (2.45GH
z) was used to form a film using a mixed gas of SiH 4 + O 2 + N 2 .

【0077】次に、この反射防止膜34の上に、レジス
ト膜28を成膜した。レジスト膜28としては、XP8
843を用いた。次に、フォトマスクを用いて露光を行
い、レジスト28に微細パターン40a,40bを形成
した。露光用光としては、波長λが248nmのKrF
を用いた。
Next, a resist film 28 was formed on the antireflection film 34. As the resist film 28, XP8
843 was used. Next, exposure was performed using a photomask to form fine patterns 40a and 40b on the resist 28. The exposure light is KrF having a wavelength λ of 248 nm.
Was used.

【0078】その際に、高吸収膜32および反射防止膜
34をレジスト膜28の下層側に位置させることで、下
地基板25に光学条件が相違する領域が形成されていて
も、定在波効果の影響をほとんどなくすことができ、安
定して良好な微細パターン40a,bを形成することが
できた。
At this time, the high-absorption film 32 and the antireflection film 34 are positioned on the lower layer side of the resist film 28, so that the standing wave effect can be obtained even if regions under different optical conditions are formed on the base substrate 25. It was possible to almost eliminate the influence of the above, and it was possible to stably form good fine patterns 40a and 40b.

【0079】次に、本実施例では、図23(B)に示す
ように、微細パターン40a,40bが形成されたレジ
スト膜28をマスクとして、反射防止層34および高吸
収層32をエッチングした。エッチングに際しては、C
HF3 (50〜100SCCM)+O2 (30SCCM)などの
フッ素ガスを用い、2Pa程度の圧力下で、100〜1
000W程度のパワーをかけ、イオン性を高めたRIE
(リアクティブイオンエッチング)を行った。
Next, in this embodiment, as shown in FIG. 23B, the antireflection layer 34 and the high absorption layer 32 were etched using the resist film 28 having the fine patterns 40a and 40b as a mask. When etching, C
Fluorine gas such as HF 3 (50 to 100 SCCM) + O 2 (30 SCCM) is used, and pressure of about 2 Pa is applied to 100 to 1
RIE with increased ionicity by applying power of about 000W
(Reactive ion etching) was performed.

【0080】次に、図23(C)に示すように、レジス
ト28を酸素プラズマを用いて除去した。次に、図23
(C)に示す状態で、下地基板25の全面をエッチバッ
ク処理した。エッチバック処理に際しては、フッ素ガス
を用い、2Pa程度の圧力下で、10〜100W程度の
パワーをかけ、イオン性を高めたRIEによりエッチバ
ックを行った。このエッチバックにより、図23
(D),(E)に示すように、SiX y z 膜または
SiX y 膜で構成される反射防止膜34およびシリコ
ン系材料で構成される高吸収膜32が、下地の透明絶縁
膜26と共にエッチング加工される。エッチバック処理
は、タングステンシリサイド層24および半導体基板2
0の表面に到達する微細コンタクトホール42a,42
bが形成された時点で終了する。
Next, as shown in FIG. 23C, the resist 28 was removed using oxygen plasma. Next, FIG.
In the state shown in (C), the entire surface of the base substrate 25 was etched back. At the time of the etch-back treatment, fluorine gas was used, and a power of about 10 to 100 W was applied under a pressure of about 2 Pa, and the RIE was performed to perform etch-back. By this etch back, FIG.
As shown in (D) and (E), the antireflection film 34 made of the Si X O y N z film or the Si X N y film and the high-absorption film 32 made of a silicon-based material are used as a transparent base film. It is etched together with the insulating film 26. The etch back process is performed by using the tungsten silicide layer 24 and the semiconductor substrate 2.
Fine contact holes 42a, 42 reaching the surface of 0
The process ends when b is formed.

【0081】上記エッチバック処理が終了した時点でも
って、反射率の異なる複数の高反射層を有する下地基板
25上に、微細なマスクパターンを一回の露光で形成し
たこととなる。実施例5 本実施例5では、図23に示すように、実際に半導体装
置に微細パターンを製造する方法について説明する。こ
の実施例5では、実施例4と比較し、高吸収膜32とし
て、チタンナイトライド、チタンオキシナイトライドな
どのチタン系材料膜(100nm)で構成し、図23
(B)に示す工程でのチタン系材料の高吸収膜32のエ
ッチング加工時に、塩素系ガスを用いてRIEし、図2
3(C)〜(E)に示す工程のエッチバック処理で、C
HF3 (50〜100SCCM)+C22 (30SCCM)な
どのフッ素ガスを用いた以外は、実施例4と同様にし
て、半導体装置の製造を行った。
At the time when the above-mentioned etch-back process is completed, a fine mask pattern is formed on the underlying substrate 25 having a plurality of highly reflective layers having different reflectances by a single exposure. Fifth Embodiment In a fifth embodiment, as shown in FIG. 23, a method of actually manufacturing a fine pattern on a semiconductor device will be described. 23. In the fifth embodiment, as compared with the fourth embodiment, a titanium-based material film (100 nm) such as titanium nitride or titanium oxynitride is used as the high absorption film 32.
At the time of etching the titanium-based material high absorption film 32 in the step shown in (B), RIE is performed using a chlorine-based gas, and FIG.
In the etch back process of the steps shown in 3 (C) to (E), C
A semiconductor device was manufactured in the same manner as in Example 4 except that a fluorine gas such as HF 3 (50 to 100 SCCM) + C 2 F 2 (30 SCCM) was used.

【0082】なお、図23(C)〜(D)に示す工程と
でのエッチングの選択比は、SiO 2 /SiOx y
H=3〜8程度、SiO2 /TiN=3〜8程度であっ
た。このエッチバックは、ゲート電極、およびアクティ
ブ層である半導体基板の表面に夫々にエッチングが到達
した時点で終了する。
The steps shown in FIGS. 23 (C) to 23 (D)
The etching selection ratio in 2 / SiOxNy:
H = about 3-8, SiO2 / TiN = 3-8
It was This etchback is due to the gate electrode and
Etching reaches the surface of the semiconductor substrate
It ends when you do.

【0083】上記エッチングが終了した時点でもって、
反射率の異なる複数の高反射層を有する下地基板上に、
微細なマスクパターンを一回の露光で形成することがで
きる。実施例6 本実施例6では、図23に示すように、実際に半導体装
置に微細パターンを製造する方法について説明する。こ
の実施例6では、実施例4と比較し、高吸収膜32とし
て、タングステン、タングステンシリサイド等の高融点
金属または高融点金属シリサイド系材料膜(30nm)
で構成し、図23(B)に示す工程での高融点金属また
は高融点金属系シリサイド系材料の高吸収膜32のエッ
チング加工時に、塩素系ガスを用いてRIEし、図23
(C)〜(E)に示す工程のエッチバック処理で、CH
3 (50〜100SCCM)+C22 (30SCCM)など
のフッ素ガスを用いた以外は、実施例4と同様にして、
半導体装置の製造を行った。
At the time when the above etching is completed,
On a base substrate having a plurality of highly reflective layers with different reflectance,
A fine mask pattern can be formed by a single exposure. Sixth Embodiment In a sixth embodiment, as shown in FIG. 23, a method for actually manufacturing a fine pattern on a semiconductor device will be described. In the sixth embodiment, as compared with the fourth embodiment, as the high absorption film 32, a refractory metal such as tungsten or tungsten silicide or a refractory metal silicide-based material film (30 nm) is used.
23B, RIE is performed using a chlorine-based gas during the etching process of the high-absorption film 32 of the refractory metal or the refractory metal-based silicide-based material in the step shown in FIG.
In the etch back process of the steps shown in (C) to (E), CH
In the same manner as in Example 4 except that fluorine gas such as F 3 (50 to 100 SCCM) + C 2 F 2 (30 SCCM) was used,
A semiconductor device was manufactured.

【0084】本実施例でも、反射率の異なる複数の高反
射層を有する下地基板上に、微細なマスクパターンを一
回の露光で形成することができる。実施例7 本実施例7では、図24に示すように、実際に半導体装
置に微細パターンを製造する方法について説明する。
Also in this embodiment, a fine mask pattern can be formed on a base substrate having a plurality of highly reflective layers having different reflectances by a single exposure. Seventh Embodiment In a seventh embodiment, as shown in FIG. 24, a method of actually manufacturing a fine pattern on a semiconductor device will be described.

【0085】図24(A)に示すように、たとえばシリ
コンウェーハなどで構成された半導体基板20上に、ゲ
ート絶縁層を介して、ポリシリコン層22およびタング
ステンシリサイド24から成るポリサイド構造のゲート
電極を形成する。その上に、被加工膜として、酸化シリ
コン膜等の透明絶縁膜26を成膜する。この透明絶縁膜
26において、タングステンシリサイド24の上部に位
置する領域と、半導体基板20の表面に形成される不純
物拡散層の上部に位置する領域とでは、露光を行う際
に、下地基板25(ポリシリコン膜22、タングステン
シリサイド膜24および透明絶縁膜26が形成された半
導体基板20)の光学条件が相違する。
As shown in FIG. 24A, a gate electrode having a polycide structure composed of a polysilicon layer 22 and a tungsten silicide 24 is formed on a semiconductor substrate 20 made of, for example, a silicon wafer, with a gate insulating layer interposed therebetween. Form. A transparent insulating film 26 such as a silicon oxide film is formed thereon as a film to be processed. In the transparent insulating film 26, a region located above the tungsten silicide 24 and a region located above the impurity diffusion layer formed on the surface of the semiconductor substrate 20 are exposed at the time of exposing the underlying substrate 25 (polysilicon). The optical conditions of the semiconductor substrate 20) on which the silicon film 22, the tungsten silicide film 24, and the transparent insulating film 26 are formed are different.

【0086】そこで、本実施例では、透明絶縁膜26の
上に、まず、高吸収膜32を全面に成膜する。本実施例
では、高吸収膜32としては、実施例2と同様に、チタ
ンナイトライド、チタンオキシナイトライドなどのチタ
ン系材料を用いる。高吸収膜32の膜厚は、たとえば1
00nmである。膜厚100nmのチタン系材料の高吸
収膜32を、下地基板25の全面に成膜することによ
り、該下地基板25は同一の反射のみを有する基板と実
質的に等価となる。
Therefore, in this embodiment, the high absorption film 32 is first formed on the entire surface of the transparent insulating film 26. In this embodiment, as the high absorption film 32, a titanium-based material such as titanium nitride or titanium oxynitride is used as in the second embodiment. The film thickness of the high absorption film 32 is, for example, 1
00 nm. By forming the titanium-based material high absorption film 32 having a film thickness of 100 nm on the entire surface of the base substrate 25, the base substrate 25 becomes substantially equivalent to a substrate having only the same reflection.

【0087】次に、その高吸収膜32の表面に、反射防
止膜34を成膜する。反射防止膜34としては、たとえ
ば100nm程度の膜厚のSiX y z 膜(水素Hを
含有)またはSiX y 膜を、平行平板型プラズマCV
D法、ECRプラズマCVD法、もしくはバイアスEC
RプラズマCVD法を利用し、マイクロ波(2.45G
Hz)を用いて、SiH4 +O2 +N2 の混合ガスを用
いて成膜した。
Next, an antireflection film 34 is formed on the surface of the high absorption film 32. As the antireflection film 34, for example, a Si X O y N z film (containing hydrogen H) or a Si X N y film with a film thickness of about 100 nm is used as a parallel plate plasma CV.
D method, ECR plasma CVD method, or bias EC
Using R plasma CVD method, microwave (2.45G
Was used to form a film using a mixed gas of SiH 4 + O 2 + N 2 .

【0088】次に、この反射防止膜34の上に、レジス
ト膜28を成膜した。レジスト膜28としては、XP8
843を用いた。次に、フォトマスクを用いて露光を行
い、レジスト28に微細パターン40a,40bを形成
した。露光用光としては、波長λが248nmのKrF
を用いた。
Next, a resist film 28 was formed on the antireflection film 34. As the resist film 28, XP8
843 was used. Next, exposure was performed using a photomask to form fine patterns 40a and 40b on the resist 28. The exposure light is KrF having a wavelength λ of 248 nm.
Was used.

【0089】その際に、高吸収膜32および反射防止膜
34をレジスト膜28の下層側に位置させることで、下
地基板25に光学条件が相違する領域が形成されていて
も、定在波効果の影響をほとんどなくすことができ、安
定して良好な微細パターン40a,bを形成することが
できた。
At this time, the high-absorption film 32 and the antireflection film 34 are positioned on the lower layer side of the resist film 28, so that the standing wave effect can be obtained even if the regions where the optical conditions are different are formed on the base substrate 25. It was possible to almost eliminate the influence of the above, and it was possible to stably form good fine patterns 40a and 40b.

【0090】次に、本実施例では、図23(B)に示す
ように、微細パターン40a,40bが形成されたレジ
スト膜28をマスクとして、反射防止層34、高吸収層
32、および透明絶縁膜26を順次エッチングした。S
X y z 膜(水素Hを含有)またはSiX y 膜で
構成される反射防止層34のエッチングに際しては、S
22 (5〜30SCCM)、C48 (30〜70SCCM)
+CHF3 (10〜30SCCM)、CHF3 (50〜10
0SCCM)+O 2 (3〜20SCCM)などのフッ素ガスを用
い、2Pa程度の圧力下で、100〜1000W程度の
パワーをかけ、イオン性を高めたRIE(リアクティブ
イオンエッチング)を行った。
Next, in this embodiment, as shown in FIG.
So that the fine patterns 40a and 40b are formed.
Using the strike film 28 as a mask, the antireflection layer 34 and the high absorption layer
32 and the transparent insulating film 26 were sequentially etched. S
iXOyNzMembrane (containing hydrogen H) or SiXNyWith a membrane
When etching the formed antireflection layer 34, S
2 F2 (5 to 30 SCCM), CFour F8 (30-70 SCCM)
+ CHF3 (10-30SCCM), CHF3 (50 to 10
0SCCM) + O 2 Fluorine gas such as (3-20 SCCM) is used
Under a pressure of about 2 Pa, about 100 to 1000 W
RIE (reactive
Ion etching) was performed.

【0091】チタン系の高吸収膜32のエッチングは、
塩素系ガスを用いて行った。透明絶縁膜26のエッチン
グは、S22 (5〜30SCCM)、C48 (30〜7
0SCCM)+CHF3 (10〜30SCCM)、CHF3 (5
0〜100SCCM)+O2 (3〜20SCCM)などのフッ素
ガスを用い、2Pa程度の圧力下で、100〜1000
W程度のパワーをかけ、イオン性を高めたRIE(リア
クティブイオンエッチング)により行った。このエッチ
ングは、タングステンシリサイド膜24を有するゲート
電極の表面、および半導体基板20のアクティブ層の表
面にエッチングが到達した状態で終了する。このエッチ
ングにより、透明絶縁膜26には、微細パターンのコン
タクトホール42a,42bが形成された。
The etching of the titanium-based high absorption film 32 is performed by
It was performed using a chlorine-based gas. The etching of the transparent insulating film 26 is performed by S 2 F 2 (5 to 30 SCCM) and C 4 F 8 (30 to 7).
0 SCCM) + CHF 3 (10 to 30 SCCM), CHF 3 (5
Fluorine gas such as 0 to 100 SCCM) + O 2 (3 to 20 SCCM) is used, and pressure of about 2 Pa is applied to 100 to 1000.
It was performed by RIE (reactive ion etching) with an increased ionicity by applying a power of about W. This etching ends when the etching reaches the surface of the gate electrode having the tungsten silicide film 24 and the surface of the active layer of the semiconductor substrate 20. By this etching, the contact holes 42a and 42b having a fine pattern were formed in the transparent insulating film 26.

【0092】次に、図24(C)に示すように、レジス
ト28を酸素プラズマを用いて除去した。次に、図24
(C)に示す状態で、その下地基板25の表面に、図2
4(D)に示すように、コンタクトホール42a,42
b内に入り込むように、チタン膜46およびチタンナイ
トライド膜48を夫々2nm、30nm程度成膜した。
これは選択タングステンを用いて配線構造を形成する際
の密着層となる層である。
Next, as shown in FIG. 24C, the resist 28 was removed using oxygen plasma. Next, FIG.
In the state shown in FIG.
4 (D), the contact holes 42a, 42
A titanium film 46 and a titanium nitride film 48 were formed to a thickness of about 2 nm and 30 nm, respectively, so as to enter the inside of b.
This is a layer serving as an adhesion layer when a wiring structure is formed using selective tungsten.

【0093】その後、タングステンによる選択成長法を
用いて、コンタクトホール42a,42b内に、タング
ステンで構成される導電性プラグ層44a,44bを埋
め込んだ。その後、タングステンで構成される導電性プ
ラグ層44a,44bをフッソ系のガスを用いて、エッ
チバックした後に、チタン膜46、チタンナイトライド
膜48、SiOx y :HもしくはSix y などで構
成される反射防止層34、およびチタン系高吸収膜32
を、塩素系のガスを用いて、除去した。
After that, the conductive plug layers 44a and 44b made of tungsten were buried in the contact holes 42a and 42b by using the selective growth method using tungsten. After that, the conductive plug layers 44a and 44b made of tungsten are etched back using a fluorine-based gas, and then a titanium film 46, a titanium nitride film 48, SiO x N y : H or Si x N y, etc. And the titanium-based high absorption film 32
Was removed using a chlorine-based gas.

【0094】その結果、図25(F)に示すように、微
細パターンのコンタクトホール42a,42b内に導電
性プラグ層44a,44bが埋め込み形成された半導体
装置を得ることができた。実施例8 本実施例では、上記実施例1〜実施例7で示した、Si
x y z 膜を、以下の手法により成膜して反射防止膜
を形成した以外は、これら実施例と同様にして、半導体
装置上に微細パターンを形成した。
As a result, as shown in FIG. 25F, it was possible to obtain a semiconductor device in which the conductive plug layers 44a and 44b were buried in the fine pattern contact holes 42a and 42b. Example 8 In this example, the Si shown in Examples 1 to 7 above is used.
A fine pattern was formed on the semiconductor device in the same manner as in these examples except that the x O y N z film was formed by the following method to form the antireflection film.

【0095】すなわち、本実施例では、平行平板型プラ
ズマCVD法、ECRプラズマCVD法、もしくはバイ
アスECRプラズマCVD法を利用し、マイクロ波
(2.45GHz)を用いて、SiH4 +O2 +N2
混合ガス、もしくはSiH4 +N2 O混合ガスを用い
て、反射防止膜を成膜した。
That is, in this embodiment, the parallel plate plasma CVD method, the ECR plasma CVD method, or the bias ECR plasma CVD method is used, and SiH 4 + O 2 + N 2 of microwave (2.45 GHz) is used. An antireflection film was formed using a mixed gas or a mixed gas of SiH 4 + N 2 O.

【0096】実施例9 本実施例では、上記実施例1〜実施例7で示した、Si
x y z 膜を、以下の手法により成膜して反射防止膜
を形成した以外は、これら実施例と同様にして、半導体
装置上に微細パターンを形成した。
Example 9 In this example, Si shown in Examples 1 to 7 was used.
A fine pattern was formed on the semiconductor device in the same manner as in these examples except that the x O y N z film was formed by the following method to form the antireflection film.

【0097】すなわち、本実施例では、平行平板型プラ
ズマCVD法、ECRプラズマCVD法、もしくはバイ
アスECRプラズマCVD法を利用し、マイクロ波
(2.45GHz)を用いて、SiH4 +O2 +N2
混合ガス、もしくはSiH4 +N2 O混合ガスを用い、
バッファガスとしてArを用いて反射防止膜を成膜し
た。
That is, in this embodiment, the parallel plate plasma CVD method, the ECR plasma CVD method, or the bias ECR plasma CVD method is used, and SiH 4 + O 2 + N 2 of microwave (2.45 GHz) is used. Using mixed gas or SiH 4 + N 2 O mixed gas,
An antireflection film was formed using Ar as a buffer gas.

【0098】実施例10 本実施例では、上記実施例1〜実施例7で示した、Si
x y z 膜を、以下の手法により成膜して反射防止膜
を形成した以外は、これら実施例と同様にして、半導体
装置上に微細パターンを形成した。
Example 10 In this example, Si shown in Examples 1 to 7 was used.
A fine pattern was formed on the semiconductor device in the same manner as in these examples except that the x O y N z film was formed by the following method to form the antireflection film.

【0099】すなわち、本実施例では、平行平板型プラ
ズマCVD法、ECRCVD法、もしくはバイアスEC
RCVD法を利用し、SiH4 +O2 +N2 の混合ガ
ス、もしくはSiH4 +N2 O混合ガスを用いて成膜し
た。
That is, in this embodiment, the parallel plate type plasma CVD method, the ECRCVD method, or the bias EC is used.
The RCVD method was used to form a film using a mixed gas of SiH 4 + O 2 + N 2 or a mixed gas of SiH 4 + N 2 O.

【0100】実施例11 本実施例では、上記実施例1〜実施例7で示した、Si
x y z 膜を、以下の手法により成膜して反射防止膜
を形成した以外は、これら実施例と同様にして、半導体
装置上に微細パターンを形成した。
Example 11 In this example, Si shown in Examples 1 to 7 was used.
A fine pattern was formed on the semiconductor device in the same manner as in these examples except that the x O y N z film was formed by the following method to form the antireflection film.

【0101】すなわち、本実施例では、平行平板型プラ
ズマCVD法、ECRプラズマCVD法、もしくはバイ
アスECRプラズマCVD法を利用し、SiH4 +O2
+N2 の混合ガス、もしくはSiH4 +N2 O混合ガス
を用い、バッファガスとしてArを用いて成膜した。
That is, in this embodiment, the parallel plate plasma CVD method, the ECR plasma CVD method, or the bias ECR plasma CVD method is used, and SiH 4 + O 2 is used.
A + N 2 mixed gas or SiH 4 + N 2 O mixed gas was used, and Ar was used as a buffer gas to form a film.

【0102】実施例12 本実施例では、上記実施例1〜実施例7で示した、Si
x y 膜を、以下の手法により成膜して反射防止膜を形
成した以外は、これら実施例と同様にして、半導体装置
上に微細パターンを形成した。
Example 12 In this example, the Si shown in the above Examples 1 to 7 was used.
The x N y film, except that to form an antireflection film was formed by the following method, in the same manner as those in Example, was formed a fine pattern on a semiconductor device.

【0103】すなわち、本実施例では、平行平板型プラ
ズマCVD法、ECRプラズマCVD法、もしくはバイ
アスECRプラズマCVD法を利用し、マイクロ波
(2.45GHz)を用いて、SiH4 +NH3 混合ガ
ス、もしくはSiH2 C12 +NH3混合ガスを用いて
反射防止膜を成膜した。
That is, in this embodiment, a parallel plate plasma CVD method, an ECR plasma CVD method, or a bias ECR plasma CVD method is used, and SiH 4 + NH 3 mixed gas is used by using microwave (2.45 GHz). Alternatively, an antireflection film was formed using a SiH 2 C1 2 + NH 3 mixed gas.

【0104】実施例13 本実施例では、上記実施例1〜実施例7で示した、Si
x y 膜を、以下の手法により成膜して反射防止膜を形
成した以外は、これら実施例と同様にして、半導体装置
上に微細パターンを形成した。
Example 13 In this example, Si shown in Examples 1 to 7 above is used.
The x N y film, except that to form an antireflection film was formed by the following method, in the same manner as those in Example, was formed a fine pattern on a semiconductor device.

【0105】すなわち、本実施例では、平行平板型プラ
ズマCVD法、ECRプラズマCVD法、もしくはバイ
アスECRプラズマCVD法を利用し、マイクロ波
(2.45GHz)を用いて、SiH4 +O2 混合ガ
ス、もしくは、SiH2 C12 +NH3 混合ガスを用
い、バッファガスとしてArを用いて、反射防止膜を成
膜した。
That is, in this embodiment, a parallel plate plasma CVD method, an ECR plasma CVD method, or a bias ECR plasma CVD method is used, and a microwave (2.45 GHz) is used to produce a SiH 4 + O 2 mixed gas, Alternatively, the antireflection film was formed using SiH 2 C1 2 + NH 3 mixed gas and Ar as a buffer gas.

【0106】実施例14 本実施例では、上記実施例1〜実施例7で示した、Si
x y 膜を、以下の手法により成膜して反射防止膜を形
成した以外は、これら実施例と同様にして、半導体装置
上に微細パターンを形成した。
Example 14 In this example, Si shown in Examples 1 to 7 was used.
The x N y film, except that to form an antireflection film was formed by the following method, in the same manner as those in Example, was formed a fine pattern on a semiconductor device.

【0107】すなわち、本実施例では、平行平板型プラ
ズマCVD法、ECRプラズマCVD法、もしくはバイ
アスECRプラズマCVD法を利用し、SiH4 +NH
3 混合ガス、もしくは、SiH2 C12 +NH3 混合ガ
スを用いて、反射防止膜を成膜した。
That is, in the present embodiment, the parallel plate plasma CVD method, the ECR plasma CVD method, or the bias ECR plasma CVD method is used, and SiH 4 + NH is used.
The antireflection film was formed by using 3 mixed gas or SiH 2 C1 2 + NH 3 mixed gas.

【0108】実施例15 本実施例では、上記実施例1〜実施例7で示した、Si
x y 膜を、以下の手法により成膜して反射防止膜を形
成した以外は、これら実施例と同様にして、半導体装置
上に微細パターンを形成した。
Example 15 In this example, Si shown in Examples 1 to 7 above is used.
The x N y film, except that to form an antireflection film was formed by the following method, in the same manner as those in Example, was formed a fine pattern on a semiconductor device.

【0109】すなわち、本実施例では、平行平板型プラ
ズマCVD法、ECRプラズマCVD法、もしくはバイ
アスECRプラズマCVD法を利用し、SiH4 +O2
混合ガス、もしくは、SiH2 C12 +NH3 混合ガス
を用い、バッファガスとしてArを用いて、反射防止膜
を成膜した。
That is, in this embodiment, the parallel plate plasma CVD method, the ECR plasma CVD method, or the bias ECR plasma CVD method is used, and SiH 4 + O 2 is used.
An antireflection film was formed by using a mixed gas or SiH 2 C1 2 + NH 3 mixed gas and Ar as a buffer gas.

【0110】[0110]

【発明の効果】以上説明してきたように、本発明によれ
ば、段差を有し、しかも光学条件が相違する複数の領域
を有する下地基板上であっても、i線(365nm)よ
りも短波長の光、たとえばi線、KrF、ArFエキシ
マレーザを光源に用いて、一回の露光で、微細なレジス
トパターンを、良好に、しかも安定して形成することが
できる。
As described above, according to the present invention, even on an underlying substrate having a plurality of regions having steps and different optical conditions, the length is shorter than the i-line (365 nm). By using light of a wavelength such as i-line, KrF or ArF excimer laser as a light source, a fine resist pattern can be formed satisfactorily and stably by a single exposure.

【0111】本発明に係る微細パターンの製造方法は、
特に、微細パターンを有する半導体装置の製造方法に対
して好適に用いることができる。
The method of manufacturing a fine pattern according to the present invention is
In particular, it can be suitably used for a method of manufacturing a semiconductor device having a fine pattern.

【図面の簡単な説明】[Brief description of drawings]

【図1】図1はレジスト膜内での光の干渉を示す概略図
である。
FIG. 1 is a schematic diagram showing light interference in a resist film.

【図2】図2はシリコン基板上の定在波効果を示す図で
ある。
FIG. 2 is a diagram showing a standing wave effect on a silicon substrate.

【図3】図3はアルミニウムシリサイド上の定在波効果
を示す図である。
FIG. 3 is a diagram showing a standing wave effect on aluminum silicide.

【図4】図4はタングステンシリサイド上の定在波効果
を示す図である。
FIG. 4 is a diagram showing a standing wave effect on tungsten silicide.

【図5】図5は段差による定在波効果への影響を推定す
る図である。
FIG. 5 is a diagram for estimating the influence of a step on the standing wave effect.

【図6】図6は吸収光量の変動とパターン寸法変動との
関係を示すグラフである。
FIG. 6 is a graph showing the relationship between the fluctuation of the amount of absorbed light and the fluctuation of the pattern dimension.

【図7】図7は反射率が相違する領域が複数形成された
下地基板上への微細パターンの形成における問題点を示
す断面図である。
FIG. 7 is a cross-sectional view showing a problem in forming a fine pattern on a base substrate on which a plurality of regions having different reflectances are formed.

【図8】図8は下地基板の反射率が相違するとレジスト
の吸収量が相違することを示すグラフである。
FIG. 8 is a graph showing that when the reflectance of the underlying substrate is different, the absorption amount of the resist is different.

【図9】図9はシリコン基板上の定在波効果を示す図で
ある。
FIG. 9 is a diagram showing a standing wave effect on a silicon substrate.

【図10】図10は反射防止膜の膜厚を固定して、光学
定数n,kを変化させた場合の吸収光量の等高線を示す
図である。
FIG. 10 is a diagram showing contour lines of the amount of absorbed light when the film thickness of the antireflection film is fixed and the optical constants n and k are changed.

【図11】図11は他の異なったレジスト膜厚につい
て、図10と同様な吸収光量の等高線を示す図である。
FIG. 11 is a diagram showing contour lines of absorbed light amount similar to FIG. 10 for other different resist film thicknesses.

【図12】図12は他の異なったレジスト膜厚につい
て、図10と同様な吸収光量の等高線を示す図である。
FIG. 12 is a diagram showing contour lines of absorbed light amount similar to FIG. 10 for other different resist film thicknesses.

【図13】図13は他の異なったレジスト膜厚につい
て、図10と同様な吸収光量の等高線を示す図である。
FIG. 13 is a diagram showing contour lines of absorbed light amount similar to FIG. 10 for other different resist film thicknesses.

【図14】図14は製造条件を変化させた場合のSix
y z の光学定数の変化を示すグラフである。
FIG. 14 shows Si x when manufacturing conditions are changed.
O is a graph showing changes in optical constants of y N z.

【図15】図15は半導体装置の透明絶縁膜に微細パタ
ーンを形成する場合の断面図である。
FIG. 15 is a cross-sectional view when a fine pattern is formed on a transparent insulating film of a semiconductor device.

【図16】図16はシリコン基板上にポリシリコン膜を
成膜した場合の定在波効果を示す図である。
FIG. 16 is a diagram showing a standing wave effect when a polysilicon film is formed on a silicon substrate.

【図17】図17はタングステンシリサイド上にポリシ
リコン膜を成膜した場合の定在波効果を示す図である。
FIG. 17 is a diagram showing a standing wave effect when a polysilicon film is formed on tungsten silicide.

【図18】図18はシリコン基板またはタングステンシ
リサイド上にポリシリコン膜を成膜し、その上にSiX
y z 膜を成膜した場合の定在波効果の低減を示すグ
ラフである。
FIG. 18 shows a polysilicon film formed on a silicon substrate or tungsten silicide, and a Si X film is formed thereon.
The O y N z film is a graph showing the reduction of the standing wave effect in the case of film formation.

【図19】図19はシリコン基板上にチタン系膜を成膜
した後の定在波効果を示す図である。
FIG. 19 is a diagram showing a standing wave effect after a titanium-based film is formed on a silicon substrate.

【図20】図20はタングステンシリサイド上にチタン
系膜を成膜した後の定在波効果を示す図である。
FIG. 20 is a diagram showing a standing wave effect after a titanium-based film is formed on tungsten silicide.

【図21】図21はシリコン基板上にタングステンシリ
サイド膜を成膜した後の定在波効果を示す図である。
FIG. 21 is a diagram showing a standing wave effect after forming a tungsten silicide film on a silicon substrate.

【図22】図22はタングステンシリサイド上にタング
ステンシリサイド膜を成膜した後の定在波効果を示す図
である。
FIG. 22 is a diagram showing a standing wave effect after forming a tungsten silicide film on tungsten silicide.

【図23】図23(A)〜(E)は本発明の実施例に係
る半導体装置の製造過程を示す要部断面図である。
23A to 23E are cross-sectional views of the essential part showing the manufacturing process of a semiconductor device according to an example of the present invention.

【図24】図24(A)〜(E)は本発明の他の実施例
に係る半導体装置の製造過程を示す要部断面図である。
24A to 24E are cross-sectional views of the essential part showing the manufacturing process of a semiconductor device according to another embodiment of the present invention.

【図25】図25(F)は図24(E)の続きの工程を
示す要部断面図である。
25F is a sectional view of a key portion showing a step following that shown in FIG.

【符号の説明】[Explanation of symbols]

20… 半導体基板 22… ポリシリコン膜 24… タングステンシリサイド膜 25… 下地基板 26… 透明絶縁膜 28… レジスト膜 32… 高吸収膜 34… 反射防止膜 42a,42b… コンタクトホール 44a,44b… 導電性プラグ層 20 ... Semiconductor substrate 22 ... Polysilicon film 24 ... Tungsten silicide film 25 ... Underlying substrate 26 ... Transparent insulating film 28 ... Resist film 32 ... High absorption film 34 ... Antireflection film 42a, 42b ... Contact holes 44a, 44b ... Conductive plug layer

Claims (11)

【特許請求の範囲】[Claims] 【請求項1】 相互に異なる光学条件を有する少なくと
も二つの領域を含む下地基板上に、フォトリソグラフィ
ー法により所定パターンのレジスト膜を形成し、このレ
ジストをマスクとして、エッチングを行い、上記下地基
板を加工する微細パターンの製造方法であって、 上記下地基板上に、上記フォトリソグラフィー工程に用
いる露光用光の波長に対して光吸収性の高い高吸収膜を
形成する工程と、 この高吸収膜上に反射防止膜を形成する工程と、 この反射防止膜上にレジスト膜を形成する工程と、 このレジスト膜をフォトリソグラフィー法により所定の
パターンに加工する工程と、 を含むことを特徴とする微細パターンの製造方法。
1. A resist film having a predetermined pattern is formed by a photolithography method on a base substrate including at least two regions having mutually different optical conditions, and etching is performed using the resist as a mask to form the base substrate. A method of manufacturing a fine pattern to be processed, comprising the steps of forming a high-absorption film having a high light-absorbing property with respect to the wavelength of exposure light used in the photolithography step on the underlying substrate, and A fine pattern comprising: a step of forming an antireflection film on the substrate, a step of forming a resist film on the antireflection film, and a step of processing the resist film into a predetermined pattern by a photolithography method. Manufacturing method.
【請求項2】 上記フォトリソグラフィー工程に用いる
露光用光の波長が、150〜400nmの範囲である請
求項1に記載の微細パターンの製造方法。
2. The method for producing a fine pattern according to claim 1, wherein the wavelength of the exposure light used in the photolithography step is in the range of 150 to 400 nm.
【請求項3】 上記高吸収膜が、シリコン系材料で構成
される請求項1または2に記載の微細パターンの製造方
法。
3. The method for producing a fine pattern according to claim 1, wherein the high absorption film is made of a silicon material.
【請求項4】 上記高吸収膜の膜厚が、9.5〜40n
mである請求項3に記載の微細パターンの製造方法。
4. The film thickness of the high absorption film is 9.5 to 40 n.
The method for producing a fine pattern according to claim 3, wherein m is m.
【請求項5】 上記高吸収膜が、チタン系材料で構成さ
れる請求項1または2に記載の微細パターンの製造方
法。
5. The method for producing a fine pattern according to claim 1, wherein the high absorption film is made of a titanium-based material.
【請求項6】 上記高吸収膜の膜厚が、15〜120n
mである請求項5に記載の微細パターンの製造方法。
6. The film thickness of the high absorption film is 15 to 120 n.
The method for producing a fine pattern according to claim 5, wherein m is m.
【請求項7】 上記高吸収膜が、高融点金属、高融点金
属化合物および高融点金属シリサイドのうちのいずれか
の材料で構成される請求項1または2に記載の微細パタ
ーンの製造方法。
7. The method for producing a fine pattern according to claim 1, wherein the high absorption film is made of a material selected from the group consisting of refractory metals, refractory metal compounds and refractory metal silicides.
【請求項8】 上記高吸収膜の膜厚が、15〜120n
mである請求項7に記載の微細パターンの製造方法。
8. The film thickness of the high absorption film is 15 to 120 n.
The method for producing a fine pattern according to claim 7, wherein m is m.
【請求項9】 上記反射防止膜は、屈折率(n)が1.
8以上2.6以下であり、消衰係数(k)が1.8以上
2.6以下である、膜厚20〜150nmの窒素を少な
くとも含むシリコン系膜で構成される請求項1〜8のい
ずれかに記載の微細パターンの製造方法。
9. The antireflection film has a refractive index (n) of 1.
9. The silicon-based film having a film thickness of 20 to 150 nm and containing at least nitrogen and having an extinction coefficient (k) of 1.8 or more and 2.6 or less and an extinction coefficient (k) of 1.8 or more and 2.6 or less. The method for producing a fine pattern according to any one of claims.
【請求項10】 相互に異なる光学条件を有する少なく
とも二つの領域が形成されるように、半導体基板の表面
に形成された被加工膜上に、フォトリソグラフィー法に
より所定パターンのレジスト膜を形成し、このレジスト
をマスクとして、エッチングを行い、上記被加工膜を加
工する工程を有する半導体装置の製造方法であって、 上記被加工膜上に、上記フォトリソグラフィー工程に用
いる露光用光の波長に対して光吸収性の高い高吸収膜を
形成する工程と、 この高吸収膜上に反射防止膜を形成する工程と、 この反射防止膜上にレジスト膜を形成する工程と、 このレジスト膜をフォトリソグラフィー法により所定の
パターンに加工する工程と、 上記所定パターンのレジスト膜をマスクとして、上記反
射防止膜および高吸収膜を所定パターンにエッチング加
工する工程と、 上記レジスト膜を除去した後に、上記反射防止膜および
所定パターンの高吸収層をマスクとして、上記被加工膜
をエッチング加工する工程と、 を含むことを特徴とする半導体装置の製造方法。
10. A resist film having a predetermined pattern is formed by a photolithography method on a film to be processed formed on a surface of a semiconductor substrate so that at least two regions having mutually different optical conditions are formed. A method of manufacturing a semiconductor device, comprising the step of etching the resist film as a mask to process the film to be processed, wherein the film to be processed has a wavelength of exposure light used in the photolithography step. A step of forming a high absorption film having high light absorption, a step of forming an antireflection film on the high absorption film, a step of forming a resist film on the antireflection film, and a photolithography method for forming the resist film. By using the resist film having the predetermined pattern as a mask, the antireflection film and the high absorption film are subjected to a predetermined pattern. And a step of etching the film to be processed by using the antireflection film and the high absorption layer having a predetermined pattern as a mask after the resist film is removed. Manufacturing method.
【請求項11】 相互に異なる光学条件を有する少なく
とも二つの領域が形成されるように、半導体基板の表面
に形成された被加工膜上に、フォトリソグラフィー法に
より所定パターンのレジスト膜を形成し、このレジスト
をマスクとして、エッチングを行い、上記被加工膜を加
工する工程を有する半導体装置の製造方法であって、 上記被加工膜上に、上記フォトリソグラフィー工程に用
いる露光用光の波長に対して光吸収性が高く、高融点金
属、高融点金属化合物および高融点金属シリサイドのう
ちのいずれかの材料で構成される高吸収膜を形成する工
程と、 この高吸収膜上に反射防止膜を形成する工程と、 この反射防止膜上にレジスト膜を形成する工程と、 このレジスト膜をフォトリソグラフィー法により所定の
パターンに加工する工程と、 上記所定パターンのレジスト膜をマスクとして、上記反
射防止膜、高吸収膜および被加工膜を所定パターンにエ
ッチング加工し、被加工膜にホールを形成する工程と、 上記ホール内に入り込むように、上記反射防止膜上に、
高融点金属、高融点金属化合物および高融点金属シリサ
イドのうちのいずれかの材料で構成される下地膜を形成
する工程と、 上記下地膜が形成された上記ホール内に、導電性プラグ
層を埋め込み形成する工程と、 その後、全面エッチバック法により、上記ホール内部以
外の下地膜、反射防止膜、高吸収膜および導電性プラグ
層の上方一部をエッチチング加工する工程と、 を含むことを特徴とする半導体装置の製造方法。
11. A resist film having a predetermined pattern is formed by a photolithography method on a film to be processed formed on a surface of a semiconductor substrate so that at least two regions having mutually different optical conditions are formed. A method of manufacturing a semiconductor device, comprising the step of etching the resist film as a mask to process the film to be processed, wherein the film to be processed has a wavelength of exposure light used in the photolithography step. A step of forming a high-absorption film having a high light-absorption property and made of any one of refractory metal, refractory metal compound, and refractory metal silicide; and forming an antireflection film on the high-absorption film And a step of forming a resist film on the antireflection film, and a step of processing the resist film into a predetermined pattern by a photolithography method. And a step of forming a hole in the film to be processed by etching the antireflection film, the high absorption film and the film to be processed into a predetermined pattern using the resist film having the predetermined pattern as a mask, and so as to enter the hole. , On the antireflection film,
A step of forming a base film made of any one of refractory metal, refractory metal compound and refractory metal silicide; and filling a conductive plug layer in the hole in which the base film is formed. And a step of etching the upper part of the underlying film, the antireflection film, the high absorption film and the conductive plug layer other than the inside of the hole by an entire surface etchback method. And a method for manufacturing a semiconductor device.
JP33839593A 1993-12-28 1993-12-28 Method for manufacturing semiconductor device Expired - Fee Related JP3339153B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP33839593A JP3339153B2 (en) 1993-12-28 1993-12-28 Method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP33839593A JP3339153B2 (en) 1993-12-28 1993-12-28 Method for manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
JPH07201704A true JPH07201704A (en) 1995-08-04
JP3339153B2 JP3339153B2 (en) 2002-10-28

Family

ID=18317760

Family Applications (1)

Application Number Title Priority Date Filing Date
JP33839593A Expired - Fee Related JP3339153B2 (en) 1993-12-28 1993-12-28 Method for manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP3339153B2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990084602A (en) * 1998-05-08 1999-12-06 윤종용 Method of forming photoresist pattern of semiconductor device using antireflection film
US6835651B2 (en) 1997-07-02 2004-12-28 Yamaha Corporation Wiring forming method
JP2005191182A (en) * 2003-12-25 2005-07-14 Nec Electronics Corp Semiconductor device and its manufacturing method
JP2013105911A (en) * 2011-11-14 2013-05-30 Denso Corp Semiconductor device

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6835651B2 (en) 1997-07-02 2004-12-28 Yamaha Corporation Wiring forming method
KR19990084602A (en) * 1998-05-08 1999-12-06 윤종용 Method of forming photoresist pattern of semiconductor device using antireflection film
JP2005191182A (en) * 2003-12-25 2005-07-14 Nec Electronics Corp Semiconductor device and its manufacturing method
JP2013105911A (en) * 2011-11-14 2013-05-30 Denso Corp Semiconductor device

Also Published As

Publication number Publication date
JP3339153B2 (en) 2002-10-28

Similar Documents

Publication Publication Date Title
US7737040B2 (en) Method of reducing critical dimension bias during fabrication of a semiconductor device
US5472827A (en) Method of forming a resist pattern using an anti-reflective layer
KR100300258B1 (en) Method and structure for forming integrated circuit pattern on semiconductor substrate
US5677111A (en) Process for production of micropattern utilizing antireflection film
US6218292B1 (en) Dual layer bottom anti-reflective coating
US5472829A (en) Method of forming a resist pattern by using an anti-reflective layer
EP0588087B1 (en) Method of forming a resist pattern using an optimized anti-reflective layer
US6653735B1 (en) CVD silicon carbide layer as a BARC and hard mask for gate patterning
US5643833A (en) Method of making a contact hole in a semiconductor device
JPH0955351A (en) Manufacture of semiconductor device
JP2867964B2 (en) Method of forming resist film pattern
US6348404B1 (en) Wiring forming method
US6300240B1 (en) Method for forming bottom anti-reflective coating (BARC)
US6479401B1 (en) Method of forming a dual-layer anti-reflective coating
JP3339153B2 (en) Method for manufacturing semiconductor device
JP2897569B2 (en) Method for determining conditions of antireflection film used in forming resist pattern, and method for forming resist pattern
JP3339156B2 (en) Method for manufacturing fine pattern and method for manufacturing semiconductor device
KR100551071B1 (en) Method for fabrication of semiconductor device
JP2953349B2 (en) Resist pattern forming method, antireflection film forming method, antireflection film, and semiconductor device
JP3326943B2 (en) Semiconductor device manufacturing method and semiconductor device
KR20000006152A (en) Method for fabricating semiconductor devices
JP2953348B2 (en) Resist pattern forming method, antireflection film forming method, antireflection film, and semiconductor device
JPH07201990A (en) Pattern forming method
JPH0855790A (en) Resist pattern formation method and reflection preventive film formation method
JP3271185B2 (en) Manufacturing method of antireflection film

Legal Events

Date Code Title Description
FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080816

Year of fee payment: 6

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090816

Year of fee payment: 7

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100816

Year of fee payment: 8

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110816

Year of fee payment: 9

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110816

Year of fee payment: 9

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120816

Year of fee payment: 10

LAPS Cancellation because of no payment of annual fees