JPH0669122A - Fabrication of semiconductor device - Google Patents

Fabrication of semiconductor device

Info

Publication number
JPH0669122A
JPH0669122A JP13644592A JP13644592A JPH0669122A JP H0669122 A JPH0669122 A JP H0669122A JP 13644592 A JP13644592 A JP 13644592A JP 13644592 A JP13644592 A JP 13644592A JP H0669122 A JPH0669122 A JP H0669122A
Authority
JP
Japan
Prior art keywords
film
forming
interlayer insulating
insulating film
resist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP13644592A
Other languages
Japanese (ja)
Inventor
Koji Yamanaka
幸治 山中
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP13644592A priority Critical patent/JPH0669122A/en
Publication of JPH0669122A publication Critical patent/JPH0669122A/en
Withdrawn legal-status Critical Current

Links

Landscapes

  • Formation Of Insulating Films (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

PURPOSE:To prevent increase and fluctuation of contact resistance while preventing lowering of migration resistance of metallization by forming an antireflection film on an interlayer dielectric film and a fine resist pattern thereon. CONSTITUTION:After forming a first interlayer dielectric film 6, a second interlayer dielectric film 7 is formed on the entire surface. An antireflection film 9 composed of titanium nitrogen (TiN) or titanium tungsten (TiW) is formed entirely through sputtering. Resist is then applied on the entire surface and subjected to exposure and development thus forming a fine resist pattern 8a. The antireflection film 9 is subsequently etched using chlorine based etching gas with the resist pattern 8a as a mask. Subsequently, the second interlayer dielectric film 7 is etched using fluorine based etching gas, e.g. CF4, CFH3, thus forming fine contact holes.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は半導体装置の製造方法に
関し、特に層間絶縁膜上に微細レジストパターンを安定
に形成する方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device, and more particularly to a method for stably forming a fine resist pattern on an interlayer insulating film.

【0002】[0002]

【従来の技術】半導体装置の層間絶縁膜上に形成される
微細レジストパターンは、この層間絶縁膜に微細なコン
タクトホールを形成するためのエッチングマスクに用い
られる。このコンタクトホールを介して、例えば半導体
基板表面に形成された拡散層と層間絶縁膜上に形成され
た金属配線とが接続される。Nチャネル型MOSトラン
ジスタのコンタクトホールの形成方法を例にして、説明
を行なう。
2. Description of the Related Art A fine resist pattern formed on an interlayer insulating film of a semiconductor device is used as an etching mask for forming fine contact holes in this interlayer insulating film. Through this contact hole, for example, a diffusion layer formed on the surface of the semiconductor substrate and a metal wiring formed on the interlayer insulating film are connected. The method of forming the contact hole of the N-channel MOS transistor will be described as an example.

【0003】工程順の断面図である図4を参照すると、
従来の半導体装置の製造方法は、まず、P型シリコン基
板1にフィールド酸化膜2,ゲート酸化膜3,多結晶シ
リコンゲート電極4,N+ 拡散層5,および多結晶シリ
コンゲート電極4の表面を覆う第1層間絶縁膜6を形成
した後、全面に第2層間絶縁膜7を形成する〔図4
(a)〕。通常、第1層間絶縁膜6,第2層間絶縁膜7
には、それぞれシリコン酸化膜(SiO2 )が使用され
る。次に、全面にレジストを塗布形成し、このレジスト
に露光,現像を行なうことにより、微細にパターニング
されたレジスト8bを形成する〔図4(b)〕。次に、
このレジスト8bをエッチングマスクとして、弗素系の
エッチングガスを用いた反応性イオンエッチング(RI
E)法により、第2層間絶縁膜7に微細なコンタクトホ
ールを形成する〔図4(c)〕。
Referring to FIG. 4, which is a sectional view in order of steps,
In the conventional method of manufacturing a semiconductor device, first, the surface of the field oxide film 2, the gate oxide film 3, the polycrystalline silicon gate electrode 4, the N + diffusion layer 5, and the polycrystalline silicon gate electrode 4 is formed on the P-type silicon substrate 1. After forming the first interlayer insulating film 6 for covering, the second interlayer insulating film 7 is formed on the entire surface [FIG.
(A)]. Usually, the first interlayer insulating film 6 and the second interlayer insulating film 7
A silicon oxide film (SiO 2 ) is used for each of these. Next, a resist is applied and formed on the entire surface, and the resist is exposed and developed to form a finely patterned resist 8b [FIG. 4 (b)]. next,
Using this resist 8b as an etching mask, reactive ion etching (RI
A fine contact hole is formed in the second interlayer insulating film 7 by the method E) [FIG. 4 (c)].

【0004】[0004]

【発明が解決しようとする課題】上述の従来の微細にパ
ターニングされたレジスト8bの形成方法では、図5に
示すように、露光波長436nm,露光量200mJ/
cm2 の条件で露光した場合、レジスト8bの側面の形
状は、レジスト8bの下地の第2層間絶縁膜7の膜厚に
大きく依存する。これは、第2層間絶縁膜7とシリコン
基板1(N+ 拡散層5)との界面からの反射光(多重反
射光を含まれる)がレジスト8b内で入斜光と干渉して
発生する。レジスト8bの側面がこのようになると、こ
のレジスト8bをマスクにして形成される微細なコンタ
クトホールの口径がばらつくことになり、このコンタク
トホールにおける金属配線の段差被覆性,金属配線とN
+ 拡散層5との接触面積にばらつきが生じ、コンタクト
抵抗の増大およびばらつき,金属配線の対マイグレーシ
ョン耐性の低下をもたらすという問題点があった。
In the conventional method for forming the finely patterned resist 8b described above, as shown in FIG. 5, the exposure wavelength is 436 nm, the exposure amount is 200 mJ /
When exposed under the condition of cm 2 , the shape of the side surface of the resist 8b largely depends on the film thickness of the second interlayer insulating film 7 underlying the resist 8b. This occurs because the reflected light (including multiple reflected light) from the interface between the second interlayer insulating film 7 and the silicon substrate 1 (N + diffusion layer 5) interferes with the incident light in the resist 8b. When the side surface of the resist 8b is formed as described above, the diameter of a fine contact hole formed by using the resist 8b as a mask varies, and the step coverage of the metal wiring in this contact hole, the metal wiring and N
+ There is a problem that the contact area with the diffusion layer 5 is varied, the contact resistance is increased and varied, and the resistance of the metal wiring to migration is lowered.

【0005】[0005]

【課題を解決するための手段】本発明の半導体装置の製
造方法は、半導体基板上に設けられた層間絶縁膜上に、
微細レジストパターンを形成する工程において、この層
間絶縁膜上に反射防止膜を形成し、さらに、この反射防
止膜上に微細レジストパターンを形成するという特徴を
有している。好ましくは、層間絶縁膜がシリコン酸化膜
であり、反射防止膜が窒化チタン膜,もしくはチタン・
タングステン膜である。
A method of manufacturing a semiconductor device according to the present invention is a method for manufacturing a semiconductor device, in which an interlayer insulating film is provided on a semiconductor substrate.
In the step of forming the fine resist pattern, an antireflection film is formed on the interlayer insulating film, and further, a fine resist pattern is formed on the antireflection film. Preferably, the interlayer insulating film is a silicon oxide film, and the antireflection film is a titanium nitride film or titanium.
It is a tungsten film.

【0006】[0006]

【実施例】次に、本発明について図面を参照して説明す
る。Nチャネル型MOSトランジスタのコンタクトホー
ルの形成方法を例にして、説明を行なう。
DESCRIPTION OF THE PREFERRED EMBODIMENTS Next, the present invention will be described with reference to the drawings. The method of forming the contact hole of the N-channel MOS transistor will be described as an example.

【0007】工程順の断面図である図1を参照すると、
本発明の一実施例は、まず従来の半導体装置の製造方法
と同様に、P型シリコン基板1にフィールド酸化膜2,
ゲート酸化膜3,多結晶シリコンゲート電極4,N+
散層5,および多結晶シリコンゲート電極4の表面を覆
う例えばSiO2 からなる第1層間絶縁膜6を形成した
後、全面に化学的気相成長(CVD)法により例えばS
iO2 からなる第2層間絶縁膜7を形成する〔図1
(a)〕。
Referring to FIG. 1 which is a cross-sectional view in the order of steps,
In one embodiment of the present invention, first, as in the conventional method of manufacturing a semiconductor device, a field oxide film 2 is formed on a P-type silicon substrate 1.
After the gate oxide film 3, the polycrystalline silicon gate electrode 4, the N + diffusion layer 5, and the surface of the polycrystalline silicon gate electrode 4 are formed with a first interlayer insulating film 6 made of, for example, SiO 2 , chemical vapor deposition is performed on the entire surface. By the phase growth (CVD) method, for example, S
forming a second interlayer insulating film 7 made of iO 2 [Figure 1
(A)].

【0008】次に、スパッタ法等により、全面に窒化チ
タン(TiN),もしくはチタン・タングステン(Ti
W)からなる反射防止膜9を形成する。次に、全面にレ
ジストを塗布形成し、このレジストに露光,現像を行な
うことにより、微細にパターニングされたレジスト8a
を形成する〔図1(b)〕。
Next, titanium nitride (TiN) or titanium-tungsten (Ti) is formed on the entire surface by a sputtering method or the like.
An antireflection film 9 made of W) is formed. Next, a resist is applied and formed on the entire surface, and the resist is exposed and developed to form a finely patterned resist 8a.
Are formed [FIG. 1 (b)].

【0009】続いて、このレジスト8aをエッチングマ
スクとして、まず塩素系のエッチングガスを用いたRI
E法により反射防止膜9のエッチングを行ない、引き続
いて、CF4 ,CFH3 等の弗素系のエッチングガスを
用いたRIE法により第2層間絶縁膜7のエッチングを
行ない、第2層間絶縁膜7に微細なコンタクトホールを
形成する〔図1(c)〕。
Then, using this resist 8a as an etching mask, RI using a chlorine-based etching gas is first used.
The antireflection film 9 is etched by the E method, and subsequently, the second interlayer insulating film 7 is etched by the RIE method using a fluorine-based etching gas such as CF 4 or CFH 3. Then, a fine contact hole is formed [Fig. 1 (c)].

【0010】露光波長365nm(Hgランプのi
線),あるいは436nm(Hgランプのg線)で露光
を行なうとき、これらの波長に対するTiNの反射率の
膜厚依存性は図2に示すようになり、TiWの反射率の
膜厚依存性は図3に示すようになる。このことから、上
記一実施例では、反射防止膜9としてTiNを用いると
き、このTiNの膜厚は50〜60nmの範囲が好まし
い。また、反射防止膜9としてTiWを用いるとき、こ
のTiWの膜厚は40nm以上あることが好ましい。こ
のような条件の反射防止膜9を設けることにより、入斜
光と反射光(多重反射光を含む)との干渉が緩和され、
レジスト8aの下地の第2層間絶縁膜7の膜厚に依存す
るレジスト8a側面形状の異常の発生が抑制され、コン
タクト抵抗の増大およびばらつき,および金属配線の対
マイグレーション耐性の低下を防止することが可能とな
る。波長248nmのKrFエキシマレーザ光源を用い
たときにも、同等の効果が得られる。
Exposure wavelength 365 nm (i of Hg lamp
Line) or 436 nm (g line of Hg lamp), the film thickness dependence of the reflectance of TiN for these wavelengths is as shown in FIG. 2, and the film thickness dependence of the reflectance of TiW is As shown in FIG. From this, in the above-described embodiment, when TiN is used as the antireflection film 9, the TiN film thickness is preferably in the range of 50 to 60 nm. Further, when TiW is used as the antireflection film 9, the film thickness of TiW is preferably 40 nm or more. By providing the antireflection film 9 under such conditions, the interference between the incident oblique light and the reflected light (including multiple reflected light) is mitigated,
It is possible to suppress the occurrence of an abnormal shape of the side surface of the resist 8a depending on the film thickness of the second interlayer insulating film 7 underlying the resist 8a, and prevent an increase and variation in contact resistance and a decrease in resistance to migration of metal wiring. It will be possible. The same effect can be obtained when a KrF excimer laser light source with a wavelength of 248 nm is used.

【0011】[0011]

【発明の効果】以上説明したように本発明の半導体装置
の製造方法は、層間絶縁膜の上に反射防止膜を形成する
ことにより、レジスト下地の層間絶縁膜の膜厚の違いに
よるレジストパターン形状の変化を無くすことが可能と
なり、コンタクト抵抗の増大およびばらつき,および金
属配線の対マイグレーション耐性の低下を防止すること
が可能となる。
As described above, according to the method of manufacturing a semiconductor device of the present invention, by forming the antireflection film on the interlayer insulating film, the resist pattern shape due to the difference in film thickness of the interlayer insulating film underlying the resist is formed. It is possible to eliminate the change of the contact resistance, and it is possible to prevent the increase and the variation of the contact resistance and the deterioration of the resistance of the metal wiring against migration.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の一実施例を説明するための工程順の断
面図である。
FIG. 1 is a cross-sectional view in process order for explaining an embodiment of the present invention.

【図2】上記一実施例を説明するための窒化チタンの反
射率の膜厚依存性を示すグラフである。
FIG. 2 is a graph showing the film thickness dependence of the reflectance of titanium nitride for explaining the above-mentioned example.

【図3】上記一実施例を説明するためのチタン・タング
ステンの反射率の膜厚依存性を示すグラフである。
FIG. 3 is a graph showing the film thickness dependence of the reflectance of titanium / tungsten for explaining the above-mentioned embodiment.

【図4】従来の半導体装置の製造方法を説明するための
工程順の断面図である。
4A to 4C are cross-sectional views in order of processes for explaining a conventional method for manufacturing a semiconductor device.

【図5】従来の半導体装置の製造方法の問題点を説明す
るための模式図である。
FIG. 5 is a schematic diagram for explaining a problem of a conventional method for manufacturing a semiconductor device.

【符号の説明】[Explanation of symbols]

1 P型シリコン基板 2 フィールド酸化膜 3 ゲート酸化膜 4 多結晶シリコンゲート電極 5 N+ 拡散層 6 第1層間絶縁膜 7 第2層間絶縁膜 8a,8b レジスト 9 反射防止膜1 P-type silicon substrate 2 Field oxide film 3 Gate oxide film 4 Polycrystalline silicon gate electrode 5 N + diffusion layer 6 First interlayer insulating film 7 Second interlayer insulating film 8a, 8b Resist 9 Antireflection film

Claims (3)

【特許請求の範囲】[Claims] 【請求項1】 半導体基板上に設けられた層間絶縁膜上
に、微細レジストパターンを形成する工程において、 前記層間絶縁膜上に反射防止膜を形成し、さらに、該反
射防止膜上に前記微細レジストパターンを形成すること
を特徴とする半導体装置の製造方法。
1. A step of forming a fine resist pattern on an interlayer insulating film provided on a semiconductor substrate, wherein an antireflection film is formed on the interlayer insulating film, and the fine resist pattern is formed on the antireflection film. A method of manufacturing a semiconductor device, comprising forming a resist pattern.
【請求項2】 前記層間絶縁膜がシリコン酸化膜であ
り、前記反射防止膜が窒化チタン膜であることを特徴と
する請求項1記載の半導体装置の製造方法。
2. The method of manufacturing a semiconductor device according to claim 1, wherein the interlayer insulating film is a silicon oxide film, and the antireflection film is a titanium nitride film.
【請求項3】 前記層間絶縁膜がシリコン酸化膜であ
り、前記反射防止膜がチタン・タングステン膜であるこ
とを特徴とする請求項1記載の半導体装置の製造方法。
3. The method of manufacturing a semiconductor device according to claim 1, wherein the interlayer insulating film is a silicon oxide film, and the antireflection film is a titanium-tungsten film.
JP13644592A 1992-05-28 1992-05-28 Fabrication of semiconductor device Withdrawn JPH0669122A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP13644592A JPH0669122A (en) 1992-05-28 1992-05-28 Fabrication of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP13644592A JPH0669122A (en) 1992-05-28 1992-05-28 Fabrication of semiconductor device

Publications (1)

Publication Number Publication Date
JPH0669122A true JPH0669122A (en) 1994-03-11

Family

ID=15175286

Family Applications (1)

Application Number Title Priority Date Filing Date
JP13644592A Withdrawn JPH0669122A (en) 1992-05-28 1992-05-28 Fabrication of semiconductor device

Country Status (1)

Country Link
JP (1) JPH0669122A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100516748B1 (en) * 1998-12-24 2005-10-26 주식회사 하이닉스반도체 Micro pattern formation method of semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100516748B1 (en) * 1998-12-24 2005-10-26 주식회사 하이닉스반도체 Micro pattern formation method of semiconductor device

Similar Documents

Publication Publication Date Title
US5872385A (en) Conductive interconnect structure and method of formation
US5600165A (en) Semiconductor device with antireflection film
US5801399A (en) Semiconductor device with antireflection film
US7368372B2 (en) Methods of fabricating multiple sets of field effect transistors
US6165899A (en) Method for manufacturing semiconductor devices having dual damascene structure
US7018930B2 (en) Method for fabricating semiconductor device
KR20010014954A (en) Semiconductor device and manufacturing method thereof
US6534414B1 (en) Dual-mask etch of dual-poly gate in CMOS processing
US5900644A (en) Test site and a method of monitoring via etch depths for semiconductor devices
US6309960B1 (en) Method of fabricating a semiconductor device
US5702956A (en) Test site and a method of monitoring via etch depths for semiconductor devices
JPH0669122A (en) Fabrication of semiconductor device
US5512500A (en) Method of fabricating semiconductor device
KR100495909B1 (en) Method for fabrication of semiconductor device using ArF photo-lithography capable of protecting tapered profile of hardmask
JPH11204636A (en) Manufacture of semiconductor device
JP2903883B2 (en) Method for manufacturing semiconductor device
KR100205095B1 (en) Method for forming bit line of semiconductor device
US6440848B1 (en) Interconnection of a semiconductor device and a method of forming thereof
JP2003045970A (en) Semiconductor device and its manufacturing method
KR0165374B1 (en) Gate electrode forming method of semiconductor device
JP2823727B2 (en) Contact formation method
JPH05235174A (en) Manufacturing method of semiconductor device
JPH1041408A (en) Semiconductor device and manufacture thereof
JP3048919B2 (en) How to form wiring patterns
KR0179295B1 (en) Forming method of metal wiring in semiconductor device

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 19990803