KR100495909B1 - Method for fabrication of semiconductor device using ArF photo-lithography capable of protecting tapered profile of hardmask - Google Patents

Method for fabrication of semiconductor device using ArF photo-lithography capable of protecting tapered profile of hardmask Download PDF

Info

Publication number
KR100495909B1
KR100495909B1 KR10-2002-0086489A KR20020086489A KR100495909B1 KR 100495909 B1 KR100495909 B1 KR 100495909B1 KR 20020086489 A KR20020086489 A KR 20020086489A KR 100495909 B1 KR100495909 B1 KR 100495909B1
Authority
KR
South Korea
Prior art keywords
hard mask
film
conductive layer
forming
etching
Prior art date
Application number
KR10-2002-0086489A
Other languages
Korean (ko)
Other versions
KR20040059981A (en
Inventor
이성권
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR10-2002-0086489A priority Critical patent/KR100495909B1/en
Priority to TW092118845A priority patent/TWI335615B/en
Priority to US10/648,172 priority patent/US7026253B2/en
Priority to CNB2003101235241A priority patent/CN1318917C/en
Publication of KR20040059981A publication Critical patent/KR20040059981A/en
Application granted granted Critical
Publication of KR100495909B1 publication Critical patent/KR100495909B1/en
Priority to US11/347,079 priority patent/US7482279B2/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Abstract

본 발명은 반도체소자의 전도층 패턴 형성시 사용되는 하드마스크의 경사 프로파일(제1,2하드마스크 상부의 첨탑 또는 라운드 현상)을 방지하기에 적합한 반도체소자의 전도층 패턴 형성방법을 제공하기 위한 것으로 이를 위해 본 발명은, 기판 상에 전도층을 형성하는 단계; 상기 전도층 상에 제1하드마스크용 물질막과 제2하드마스크용 물질막 및 제3하드마스크용 물질막을 차례로 형성하는 단계; 상기 제3하드마스크용 절연막 상에 소정의 패턴을 형성하기 위한 ArF 노광원을 이용한 포토레지스트 패턴을 형성하는 단계; 상기 포토레지스트 패턴을 식각마스크로 상기 제3하드마스크용 물질막을 식각하여 제3하드마스크를 형성하는 단계; 적어도 상기 제3하드마스크를 식각마스크로 상기 제2하드마스크용 물질막을 식각하여 제2하드마스크를 형성하는 단계; 습식 세정 공정을 실시하여 상기 제3하드마스크를 제거하는 단계; 및 상기 제2하드마스크를 식각마스크로 상기 제1하드마스크용 물질막과 상기 전도층을 차례로 식각하여 전도층과 제1하드마스크 및 제2하드마스크가 적층된 상기 소정의 패턴을 형성하는 단계를 포함하는 불화아르곤 노광원을 이용한 반도체소자 제조 방법을 제공한다.The present invention is to provide a method for forming a conductive layer pattern of a semiconductor device suitable for preventing the inclination profile (a spire or round phenomenon on the first and second hard masks) of the hard mask used in forming the conductive layer pattern of the semiconductor device. To this end, the present invention comprises the steps of forming a conductive layer on the substrate; Sequentially forming a first hard mask material film, a second hard mask material film, and a third hard mask material film on the conductive layer; Forming a photoresist pattern using an ArF exposure source for forming a predetermined pattern on the third hard mask insulating film; Etching the material layer for the third hard mask using the photoresist pattern as an etching mask to form a third hard mask; Etching the material layer for the second hard mask using at least the third hard mask as an etch mask to form a second hard mask; Performing a wet cleaning process to remove the third hard mask; And etching the first hard mask material layer and the conductive layer sequentially using the second hard mask as an etch mask to form the predetermined pattern in which the conductive layer, the first hard mask, and the second hard mask are stacked. It provides a semiconductor device manufacturing method using an argon fluoride exposure source comprising.

Description

하드마스크의 경사 프로파일을 방지할 수 있는 ArF 노광원을 이용한 반도체소자 제조 방법{Method for fabrication of semiconductor device using ArF photo-lithography capable of protecting tapered profile of hardmask} Method for fabrication of semiconductor device using ArF photo-lithography capable of protecting tapered profile of hardmask}

본 발명은 반도체소자의 패턴 형성방법에 관한 것으로 특히, 불화아르곤 노광원을 이용한 반도체소자의 하드마스크의 상부가 평탄한 전도층 패턴 형성방법에 관한 것이다.The present invention relates to a method of forming a pattern of a semiconductor device, and more particularly, to a method of forming a conductive layer pattern having a flat upper surface of a hard mask of a semiconductor device using an argon fluoride exposure source.

반도체 소자가 고집적화됨에 따라 패턴간의 거리가 작아지고 식각마스크 역할을 하는 포토레지스트의 증착 두께는 점점 낮아지고 있다. 이렇게 포토레지스트막의 두께가 낮아지면 높은 종횡비의 콘택홀이나 셀프 얼라인 콘택홀 형성 공정에서는 포토레지스트막이 산화막이나 임의의 막질을 식각하는데 마스크 역할을 완벽하게 수행할 수 없게 된다. 그러므로, 포토레지스트막이 마스크 역할을 할 수 있게 산화막이나 임의의 막질과 포토레지스트막의 고선택비를 확보할 수 있는 하드마스크가 필요하다. As semiconductor devices are highly integrated, the distance between patterns becomes smaller and the deposition thickness of the photoresist serving as an etch mask becomes smaller. When the thickness of the photoresist film is lowered, the photoresist film cannot fully perform the role of a mask in etching an oxide film or any film quality in a high aspect ratio contact hole or self-aligned contact hole forming process. Therefore, there is a need for a hard mask capable of securing a high selectivity of an oxide film or any film quality and a photoresist film so that the photoresist film can serve as a mask.

이러한 하드마스크로는 다양한 막질 예컨대, 질화막 또는 폴리실리콘막이 이용되고 있으며, 하드마스크 도입에 따라 상대적으로 포토레지스트막의 선택비 마진을 확보할 수 있을 뿐만아니라, 임계치수(Critical Dimension; 이하 CD라 함)의 손실(Loss)을 최소화함으로써 CD 바이어스(Bias, 포토레지스트 패턴의 CD와 실제 패턴 사이의 CD 차이)를 줄일 수 있게 되었다.Various hard films such as a nitride film or a polysilicon film are used as the hard mask, and the selection ratio of the photoresist film can be secured relatively by the introduction of the hard mask, and also the critical dimension (hereinafter referred to as CD). By minimizing the loss, the CD bias (CD difference between the CD and the actual pattern of the photoresist pattern) can be reduced.

그러나, 질화막 계열의 하드마스크를 사용하는 경우 디자인 룰의 감소에 따라 그 두께가 감소하게 되었고, 이에 따라 콘택 형성 등의 공정에서 산화막 식각시 질화막에 대하여 고선택비를 확보하기 위하여 다량의 폴리머 유발 가스가 사용되는 바, 이러한 다량의 폴리머 유발 가스 사용에 따라 식각 공정의 재현성 문제와 경사(Slope)식각 단면에 기인한 콘택 면적 감소와, 이에 따라 콘택 저항이 증가하는 문제가 발생하게 된다.한편, 폴리실리콘막을 하드마스크로 사용하는 경우 폴리머 유발 가스에 따른 문제점을 극복할 수 있다 할지라도 예컨대, 콘택홀 형성 공정 후 하드마스크로 사용된 폴리실리콘막을 제거할 때 반도체 기판을 구성하는 실리콘에 대한 선택비 확보가 어려워 제거하기가 어려우며, 특히 최근의 미세 패턴 형성시 주로 사용되는 ArF 노광원용 포토레지스트의 경우 접착(Adhesion) 문제 또한 발생하게 되고, 폴리실리콘 하드마스크 패터닝 자체도 어려운 문제점이 발생하게 된다.However, when the nitride mask-based hard mask is used, its thickness decreases with the reduction of design rules. Accordingly, a large amount of polymer-induced gas is required to secure a high selectivity for the nitride film during oxide etching in a process such as contact formation. The use of such a large amount of polymer-induced gas causes problems of reproducibility of the etching process, a decrease in contact area due to slope etching cross-section, and thus an increase in contact resistance. Although the silicon film may be used as a hard mask, the problem caused by the polymer-induced gas may be overcome. For example, when the polysilicon film used as the hard mask is removed after the contact hole forming process, the selectivity for the silicon constituting the semiconductor substrate is secured. Difficult to remove, especially for ArF furnaces For incorporated photoresist is adhered (Adhesion) In addition, a problem occurs, it becomes a polysilicon hard mask pattern itself occurs is difficult.

한편, 비트라인 또는 워드라인의 경우는 그 자체의 수직 두께가 증가함에 따라 패터닝시 식각타겟이 증가하고 또한, 비트라인과 워드라인에 귀금속 등을 사용함에 따라 보다 식각내성이 강한 귀금속 하드마스크도 사용되고 있으며, 귀금속과 질화막을 포함하는 이중 구조의 하드마스크가 점차 사용되고 있다.On the other hand, in the case of bit lines or word lines, the etching target increases during patterning as the vertical thickness of the bit lines or word lines increases, and a precious metal hard mask having stronger etching resistance is also used as the precious metals are used in the bit lines and word lines. In addition, a dual-mask hard mask including a noble metal and a nitride film is gradually being used.

도 1a 내지 도 1c는 종래기술에 따른 반도체소자의 전도층 패턴 형성 공정을 도시한 단면도로서, 이를 참조하여 상세하게 설명한다.1A to 1C are cross-sectional views illustrating a conductive layer pattern forming process of a semiconductor device according to the prior art, which will be described in detail with reference to the drawings.

먼저 도 1a에 도시된 바와 같이, 반도체 소자를 이루기 위한 여러 요소가 형성된 기판(도시하지 않음) 상에 피식각층인 전도층(10)을 증착한 다음, 제1하드마스크용 질화막(11)과 제2하드마스크용 텅스텐막(12)을 차례로 증착한다.First, as shown in FIG. 1A, the conductive layer 10, which is an etched layer, is deposited on a substrate (not shown) on which various elements for forming a semiconductor device are formed. Then, the first hard mask nitride film 11 and the first layer are formed. The tungsten film 12 for two hard masks is deposited one by one.

이어서, 포토리소그라피 공정에서의 노광에 따른 난반사를 방지하고 ArF용 포토레지스트의 하부와의 접착력 향상을 위해 반사방지막(13)을 도포한다.Subsequently, an antireflection film 13 is applied to prevent diffuse reflection due to exposure in the photolithography process and to improve adhesion to the lower portion of the photoresist for ArF.

이어서, 소정의 패턴(여기서, 소정의 패턴은 게이트전극 패턴을 그 일예로 함)을 형성을 위한 포토레지스트 패턴(14)을 형성한다.Next, the photoresist pattern 14 for forming a predetermined pattern (here, the predetermined pattern uses the gate electrode pattern as an example) is formed.

여기서, 전도층(10)은 폴리실리콘막과 텅스텐막이 적층된 것을 그 일예로 하였으며, 반사방지막(13)은 유기 계열(Organic)을 사용하였다.Here, the conductive layer 10 is an example in which a polysilicon film and a tungsten film are laminated, and the anti-reflection film 13 uses an organic type.

이어서, 포토레지스트 패턴(14)을 식각마스크로 반사방지막(13)과 제2하드마스크용 텅스텐막(12)을 차례로 식각하는 바, 도 1b는 제2하드마스크(12') 형성에 따라 포토레지스트 패턴(14')의 일부가 식각되고, 반사방지막(13')이 식각되어 패턴 영역이 정의된 공정 단면을 나타낸다.Subsequently, the anti-reflection film 13 and the tungsten film 12 for the second hard mask 12 are sequentially etched using the photoresist pattern 14 as an etch mask. FIG. 1B shows the photoresist as the second hard mask 12 'is formed. A portion of the pattern 14 'is etched and the antireflective film 13' is etched to represent a process cross section in which a pattern region is defined.

이어서, 포토레지스트 패턴(14')과 반사방지막(13') 및 제2하드마스크(12')를 식각마스크로 제1하드마스크용 질화막(11)을 식각하여 제2하드마스크(12")와 제1하드마스크(11')가 적층된 구조를 갖는 도 1c의 공정 단면을 형성한다.Subsequently, the first hard mask nitride film 11 is etched using the photoresist pattern 14 ′, the anti-reflection film 13 ′, and the second hard mask 12 ′ as an etch mask to form the second hard mask 12 ″ and the second hard mask 12 ″. A process cross section of FIG. 1C having a structure in which the first hard mask 11 'is stacked is formed.

한편, 도 1c에서 알 수 있듯이 제1하드마스크(11') 형성시 제2하드마스크(12")의 상부가 경사 식각되어 뾰족한 첨탑 형상을 갖게 된다.Meanwhile, as shown in FIG. 1C, when the first hard mask 11 ′ is formed, an upper portion of the second hard mask 12 ″ is inclined and etched to have a pointed steeple shape.

도 2는 도 1c의 단면 SEM 사진이며, 도 3은 전도층이 식각되어 전도층 패턴이 형성된 단면을 도시한 SEM 사진이다.FIG. 2 is a cross-sectional SEM photograph of FIG. 1C, and FIG. 3 is a SEM photograph showing a cross-section in which a conductive layer pattern is formed by etching the conductive layer.

도 2를 참조하면, 제2하드마스크(12")가 첨탑 형상을 갖는 것을 나타내고 있다. 또한, 도 3을 참조하면, 도 1c의 공정 후 이미 첨탑 형상을 갖는 제2하드마스크(12")를 식각마스크로 하부를 식각하므로, 제2하드마스크(12")의 패턴 형상이 하부로 전사되어 제1하드마스크(11")가 첨탑의 형상을 갖게 됨을 알 수 있다.Referring to Fig. 2, the second hard mask 12 " has a spire shape. Also, referring to Fig. 3, the second hard mask 12 " Since the lower portion is etched by the etching mask, it can be seen that the pattern shape of the second hard mask 12 ″ is transferred downward, so that the first hard mask 11 ″ has the shape of the spire.

도 4는 텅스텐막과 폴리실리콘막이 적층된 전도층 패턴의 첨탑 형상을 도시한 TEM 사진이다.4 is a TEM photograph showing the spire shape of a conductive layer pattern in which a tungsten film and a polysilicon film are laminated.

도 4를 참조하면, 폴리실리콘막(10b)과 텅스텐막(10a)이 적층되어 전도층(10')을 이루고 있으며, 그 상부에 제1하드마스크(11")가 형성되어 있으나, 전술한 바와 같이 제2하드마스크의 첨탑 형상이 하부로 전사되어 제1하드마스크(11")가 이러한 첨탑 형상을 갖게 된다.Referring to FIG. 4, the polysilicon film 10b and the tungsten film 10a are stacked to form a conductive layer 10 ′, and a first hard mask 11 ″ is formed thereon. Likewise, the spire shape of the second hard mask is transferred downward so that the first hard mask 11 "has the spire shape.

한편, 전술한 하드마스크의 첨탑 형상은 다음과 같은 문제점 발생시킨다.On the other hand, the spire shape of the hard mask described above causes the following problems.

1). 셀영역과 주변회로영역 간에 잔류하는 질화막 계열의 제1하드마스크의 두께에서의 차이가 발생한다. 이는 전도층 패턴의 라인(Line)의 사이즈에 따라 잔류하는 제1하드마스크의 두께 차이가 발생한다는 것을 의미하는 것으로, 예컨대, 전도층 패턴의 라인 사이즈가 증가할 수록 잔류하는 제1하드마스크의 두께가 증가한다. 예컨대, 100㎚ 반도체소자 기술에서는 셀영역과 주변회로영역 간에는 400Å ∼ 500Å의 차이가 발생함을 수치적으로 계산할 수 있다.One). The difference in the thickness of the nitride film-based first hard mask remaining between the cell region and the peripheral circuit region occurs. This means that the thickness difference of the remaining first hard mask occurs according to the size of the line of the conductive layer pattern. For example, the thickness of the remaining first hard mask increases as the line size of the conductive layer pattern increases. Increases. For example, in the 100 nm semiconductor device technology, it can be calculated numerically that the difference between 400 kHz and 500 kHz occurs between the cell region and the peripheral circuit region.

2). 전도층 패턴 사이에 플러그를 형성하는 공정에서 플러그 물질을 증착한 후 평탄화하여 격리시키는 공정(Isolation)에서 제1하드마스크의 두께 조절이 어렵다. 이는 첨탑 부분에서는 연마율이 급속히 증가하기 때문이다. 이로 인해 SAC 결함과 같은 소자의 불량을 초래한다.2). In the process of forming the plug between the conductive layer patterns, the thickness of the first hard mask is difficult to be controlled by the deposition of the plug material and the planarization and isolation of the plug material. This is because the polishing rate increases rapidly in the spire portion. This results in device defects such as SAC defects.

3). 70㎚ 이하의 반도체소자 기술에서는 첨탑 현상에 의한 소자의 불량 현상이 더욱 심화될 것이다.3). In the semiconductor device technology of 70 nm or less, the defect of the device due to the spire phenomenon will be intensified.

따라서, 제2하드마스크 상부의 첨탑 또는 라운드(Round) 현상에 의한 소자 불량을 방지할 수 있는 공정 개발이 필요하다.Therefore, there is a need for a process development capable of preventing device defects caused by a spire or round phenomenon on the second hard mask.

상기와 같은 종래기술의 문제점을 해결하기 위하여 제안된 본 발명은, 반도체소자의 전도층 패턴 형성시 사용되는 하드마스크의 경사 프로파일(제2하드마스크 상부의 첨탑 또는 라운드 현상)을 방지하기에 적합한 반도체소자의 전도층 패턴 형성방법을 제공하는데 그 목적이 있다. The present invention proposed to solve the above problems of the prior art, a semiconductor suitable for preventing the inclination profile (a spire or round phenomenon on the top of the second hard mask) of the hard mask used to form the conductive layer pattern of the semiconductor device It is an object of the present invention to provide a method for forming a conductive layer pattern of a device.

상기의 목적을 달성하기 위해 본 발명은, 기판 상에 전도층을 형성하는 단계; 상기 전도층 상에 제1하드마스크용 물질막과 제2하드마스크용 물질막 및 제3하드마스크용 물질막을 차례로 형성하는 단계; 상기 제3하드마스크용 절연막 상에 소정의 패턴을 형성하기 위한 ArF 노광원을 이용한 포토레지스트 패턴을 형성하는 단계; 상기 포토레지스트 패턴을 식각마스크로 상기 제3하드마스크용 물질막을 식각하여 제3하드마스크를 형성하는 단계; 적어도 상기 제3하드마스크를 식각마스크로 상기 제2하드마스크용 물질막을 식각하여 제2하드마스크를 형성하는 단계; 습식 세정 공정을 실시하여 상기 제3하드마스크를 제거하는 단계; 및 상기 제2하드마스크를 식각마스크로 상기 제1하드마스크용 물질막과 상기 전도층을 차례로 식각하여 전도층과 제1하드마스크 및 제2하드마스크가 적층된 상기 소정의 패턴을 형성하는 단계를 포함하는 불화아르곤 노광원을 이용한 반도체소자 제조 방법을 제공한다.In order to achieve the above object, the present invention comprises the steps of forming a conductive layer on the substrate; Sequentially forming a first hard mask material film, a second hard mask material film, and a third hard mask material film on the conductive layer; Forming a photoresist pattern using an ArF exposure source for forming a predetermined pattern on the third hard mask insulating film; Etching the material layer for the third hard mask using the photoresist pattern as an etching mask to form a third hard mask; Etching the material layer for the second hard mask using at least the third hard mask as an etch mask to form a second hard mask; Performing a wet cleaning process to remove the third hard mask; And etching the first hard mask material layer and the conductive layer sequentially using the second hard mask as an etch mask to form the predetermined pattern in which the conductive layer, the first hard mask, and the second hard mask are stacked. It provides a semiconductor device manufacturing method using an argon fluoride exposure source comprising.

또한, 상기의 목적을 달성하기 위해 본 발명은, 기판 상에 전도층을 형성하는 단계; 상기 전도층 상에 제1하드마스크용 물질막과 제2하드마스크용 물질막 및 제3하드마스크용 물질막을 차례로 형성하는 단계; 상기 제3하드마스크용 절연막 상에 소정의 패턴을 형성하기 위한 ArF 노광원을 이용한 포토레지스트 패턴을 형성하는 단계; 상기 포토레지스트 패턴을 식각마스크로 상기 제3하드마스크용 물질막을 식각하여 제3하드마스크를 형성하는 단계; 적어도 상기 제3하드마스크를 식각마스크로 상기 제2하드마스크용 물질막과 상기 제1하드마스크용 물질막을 차례로 식각하여 제3하드마스크와 제2하드마스크 및 제1하드마스크가 적층된 3중의 하드마스크 구조를 형성하는 단계; 및 상기 3중의 하드마스크 구조를 식각마스크로 상기 제3하드마스크가 제거되도록 상기 전도층을 식각하여 전도층과 제1하드마스크 및 제2하드마스크가 적층된 상기 소정의 패턴을 형성하는 단계를 포함하는 불화아르곤 노광원을 이용한 반도체소자 제조 방법을 제공한다.In addition, the present invention to achieve the above object, forming a conductive layer on a substrate; Sequentially forming a first hard mask material film, a second hard mask material film, and a third hard mask material film on the conductive layer; Forming a photoresist pattern using an ArF exposure source for forming a predetermined pattern on the third hard mask insulating film; Etching the material layer for the third hard mask using the photoresist pattern as an etching mask to form a third hard mask; A third hard mask including a third hard mask, a second hard mask, and a first hard mask by etching the second hard mask material layer and the first hard mask material layer in order by using at least the third hard mask as an etching mask; Forming a mask structure; And etching the conductive layer to remove the third hard mask using the triple hard mask structure as an etch mask to form the predetermined pattern in which the conductive layer, the first hard mask, and the second hard mask are stacked. A semiconductor device manufacturing method using an argon fluoride exposure source is provided.

본 발명은, 전도층 패턴 형성시 3중의 하드마스크 구조를 이용하여 전도층 패턴의 형성시 하드마스크 상부에서의 첨탑 현상을 방지하는 것을 주 목적으로 한다.An object of the present invention is to prevent the spire phenomenon on the top of the hard mask when the conductive layer pattern is formed by using a triple hard mask structure when the conductive layer pattern is formed.

이를 위해, 희생막인 상부의 제3하드마스크를 이용하여 하부의 제2하드마스크용 물질막을 식각한 다음, 습식 세정을 통해 상기 식각 공정을 통해 경사 프로파일을 갖게 된 제3하드마스크를 제거하고, 제2하드마스크를 식각마스크로 제1하드마스크용 물질막과 전도층을 식각한다. 따라서, 제3하드마스크의 첨탑 형상의 전사에 따른 하부 구조의 패턴에서의 경사 첨탑 형상 등의 경사 프로파일 발생을 억제한다.To this end, the material layer for the lower second hard mask is etched using the upper third hard mask as a sacrificial layer, and then the third hard mask having the inclined profile is removed through the etching process through wet cleaning. The material layer and the conductive layer for the first hard mask are etched using the second hard mask as an etch mask. Therefore, the generation of the inclination profile such as the inclined spire shape in the pattern of the lower structure caused by the spire transfer of the third hard mask is suppressed.

이하, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 본 발명을 보다 용이하게 실시할 수 있도록 하기 위하여 본 발명의 바람직한 실시예를 첨부한 도면을 참조하여 상세하게 설명한다.DETAILED DESCRIPTION Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings so that those skilled in the art can more easily implement the present invention.

도 5a 내지 도 5d는 본 발명의 일실시예에 따른 반도체소자의 전도층 패턴 형성 공정을 도시한 단면도로서, 이를 참조하여 상세하게 설명한다.5A to 5D are cross-sectional views illustrating a conductive layer pattern forming process of a semiconductor device according to an embodiment of the present invention, which will be described in detail with reference to the drawings.

먼저, 도 5a에 도시된 바와 같이, 반도체 소자를 이루기 위한 여러 요소가 형성된 기판(50) 상에 피식각층인 전도층(51)을 증착한 다음, 제1하드마스크용 물질막(52)과 제2하드마스크용 물질막(53) 및 제3하드마스크용 물질막(54)을 차례로 증착한다.First, as illustrated in FIG. 5A, the conductive layer 51, which is an etched layer, is deposited on the substrate 50 on which various elements for forming a semiconductor device are formed. Then, the material layer 52 and the first hard mask material layer 52 are formed. The second hardmask material film 53 and the third hardmask material film 54 are sequentially deposited.

여기서, 전도층(51)은 텅스텐막, 티타늄막, 텅스텐 실리사이드막 및 티타늄 나이트라이드막을 포함하는 그룹으로부터 선택된 적어도 하나의 물질막을 이용한다.Here, the conductive layer 51 uses at least one material film selected from the group consisting of a tungsten film, a titanium film, a tungsten silicide film, and a titanium nitride film.

여기서, 제1하드마스크용 물질막(52)은 도프드 폴리실리콘(Doped polysilicon)막 또는 언도프드 폴리실리콘(Undoped polysilicon)막을 사용하며, 제2하드마스크용 물질막(53)은 실리콘질화막 또는 실리콘산화질화막 등의 질화막 계열의 물질막을 사용한다. 한편, 제3하드마스크용 물질막(54)은 전술한 전도층(51)에 사용되는 물질과 동일한 물질을 사용하는 것이 바람직하며, 이는 희생막 목적으로 사용된다.Here, the first hard mask material film 52 may be a doped polysilicon film or an undoped polysilicon film, and the second hard mask material film 53 may be a silicon nitride film or silicon. A nitride film-based material film such as an oxynitride film is used. Meanwhile, the material layer 54 for the third hard mask is preferably made of the same material as the material used for the conductive layer 51, which is used for sacrificial film purposes.

제1하드마스크용 물질막(52)은 예컨대, 50Å ∼ 100Å의 비교적 얇은 두께를 갖도록 하며, 제3하드마스크용 물질막(54)은 예컨대, 500Å ∼ 1000Å 이상의 비교적 두꺼운 두께를 갖도록 한다.The first hard mask material film 52 may have a relatively thin thickness of 50 kPa to 100 kPa, for example, and the third hard mask material film 54 may have a relatively thick thickness of 500 kPa to 1000 kPa or more.

이어서, 포토리소그라피 공정에서의 노광에 따른 난반사를 방지하고 ArF용 포토레지스트의 하부와의 접착력 향상을 위해 제3하드마스크용 물질막(54) 상에 반사방지막(55)을 도포한다.Subsequently, an antireflection film 55 is coated on the material layer 54 for the third hard mask to prevent diffuse reflection due to exposure in the photolithography process and to improve adhesion to the lower portion of the photoresist for ArF.

이어서, 소정의 패턴(여기서, 소정의 패턴은 게이트전극 패턴을 그 일예로 함)을 형성을 위한 포토레지스트 패턴(56)을 형성한다.Next, a photoresist pattern 56 for forming a predetermined pattern (here, the predetermined pattern uses the gate electrode pattern as an example) is formed.

반사방지막(55)은 유기 계열을 사용하였다. 또한, 포토레지스트 패턴(56)은 COMA(CycloOlefin-Maleic Anhydride) 또는 아크릴레이드(Acrylate) 계통의 폴리머 형태, 또는 이들의 혼합 형태를 갖는 ArF용 포토레지스트를 사용한 것이다.The antireflection film 55 used an organic series. In addition, the photoresist pattern 56 uses a photoresist for ArF having a polymer form of COMA (CycloOlefin-Maleic Anhydride) or Acrylate system, or a mixture thereof.

이어서, 포토레지스트 패턴(56)을 식각마스크로 반사방지막(55)과 제3하드마스크용 물질막(54)을 차례로 식각하는 바, 도 5b는 제3하드마스크(54') 형성에 따라 포토레지스트 패턴(56')의 일부가 식각되고, 반사방지막(55')이 식각되어 패턴 영역이 정의된 공정 단면을 나타낸다.Subsequently, the anti-reflection film 55 and the third hard mask material film 54 are sequentially etched using the photoresist pattern 56 as an etch mask. FIG. 5B shows the photoresist as the third hard mask 54 'is formed. A portion of the pattern 56 'is etched, and the antireflective film 55' is etched to represent the process cross section in which the pattern region is defined.

이어서, 포토레지스트 스트립(Photoresist strip) 공정을 실시하여 포토레지스트 패턴(56')과 반사방지막(55')을 제거한 다음, 제3하드마스크(54')를 식각마스크로 제2하드마스크용 물질막(53)을 식각하여 제3하드마스크(54")와 제2하드마스크(53')가 적층된 구조를 갖는 도 5c의 공정 단면을 형성한다.Subsequently, a photoresist strip process is performed to remove the photoresist pattern 56 'and the anti-reflection film 55', and then the third hard mask 54 'is etched using the second hard mask material film. Etch 53 is formed to form the process cross section of FIG. 5C having a structure in which the third hard mask 54 "and the second hard mask 53 'are stacked.

한편, 도 5c에서 알 수 있듯이 제2하드마스크(53') 형성을 위한 식각 공정에서 제3하드마스크(54") 상부가 손실되어 그 상부가 뾰족한 첨탑 형상을 갖게 된다.Meanwhile, as shown in FIG. 5C, the upper portion of the third hard mask 54 ″ is lost in the etching process for forming the second hard mask 53 ′, so that the upper portion has a sharp spire shape.

한편, 전술한 포토레지스트 스트립 공정을 별도로 실시하지 않고, 제2하드마스크(53') 형성시 포토레지스트 패턴(56')과 반사방지막(55')이 자연스럽게 제거되도록 할 수도 있다.The photoresist pattern 56 ′ and the anti-reflection film 55 ′ may be naturally removed when the second hard mask 53 ′ is formed without separately performing the above-described photoresist strip process.

본 일실시예에서는 전술한 제3하드마스크(54")의 패턴이 하부로 전사되었을 경우 제2하드마스크 및 그 하부의 형상 또한 첨탑 형상을 가질 수 있으므로, 첨탑 형상을 갖는 잔류하는 제3하드마스크(54")를 제거한다.In the present exemplary embodiment, when the above-described pattern of the third hard mask 54 ″ is transferred downward, the second hard mask and the shape of the lower part thereof may also have a spire shape, and thus, the remaining third hard mask having the spire shape Remove (54 ").

도 5c에서 제3하드마스크(54")는 점선으로 도시되어 있는 바, 습식 세정 공정을 통해 제거된다.In FIG. 5C, the third hard mask 54 ″ is shown in dashed lines and is removed through a wet cleaning process.

한편, 전술한 바와 같이 희생용인 제3하드마스크(54")는 전도층(51)과 동일 물질을 사용하므로, 습식 세정시 전도층(51)이 손실될 수 있으므로, 식각 특성이 상이한 제1하드마스크용 물질막(52)을 사용하여 습식 세정 공정시 전도층(51)의 손실을 방지할 수 있다. 세정 공정시 SC-1(NH4OH:H2O2:H2O=1:4:20)을 사용한다.Meanwhile, as described above, since the sacrificial third hard mask 54 ″ uses the same material as the conductive layer 51, the conductive layer 51 may be lost during the wet cleaning, and thus the first hard mask having different etching characteristics may be lost. The loss of the conductive layer 51 during the wet cleaning process can be prevented by using the mask material film 52. SC-1 (NH 4 OH: H 2 O 2 : H 2 O = 1: 4 during the cleaning process) : 20).

이어서, 도 5d에 도시된 바와 같이, 제2하드마스크(53')를 식각마스크로 제1하드마스크용 물질막(52)과 전도층(51)을 차례로 식각하여 전도층(51') 상에 제1하드마스크(52')와 제2하드마스크(53')의 이중 하드마스크가 적층된 전도 패턴을 형성한다.Subsequently, as illustrated in FIG. 5D, the material layer 52 and the conductive layer 51 for the first hard mask are sequentially etched using the second hard mask 53 ′ as an etch mask, and then, on the conductive layer 51 ′. A double hard mask of the first hard mask 52 'and the second hard mask 53' forms a conductive pattern in which the first hard mask 52 'and the second hard mask 53' are stacked.

따라서, 3중 하드마스크 구조를 사용하고, 습식 세정 공정에 의해 그 상부가 경사 프로파일을 갖는 제3하드마스크(54")를 제거함에 따라 제2하드마스크(53')의 상부가 평탄화되었으며, 하부 제1하드마스크(52')와 전도층(51)의 식각 프로파일이 손상되지 않았음을 확인할 수 있다. Accordingly, the upper portion of the second hard mask 53 'is flattened by using a triple hard mask structure and removing the third hard mask 54 ", the upper portion of which has an inclined profile, by a wet cleaning process. It can be seen that the etching profiles of the first hard mask 52 ′ and the conductive layer 51 are not damaged.

한편, 3중 구조의 하드마스크를 이용하더라도 전술한 일실시예와는 다른 물질과 다른 식각 방식을 적용할 수도 있다.Meanwhile, even when the hard mask having the triple structure is used, an etching method different from the material described above may be applied.

도 6a 내지 도 6d는 본 발명의 다른 실시예에 따른 반도체소자의 전도층 패턴 형성 공정을 도시한 단면도로서, 이를 참조하여 상세하게 설명한다.6A through 6D are cross-sectional views illustrating a process of forming a conductive layer pattern of a semiconductor device according to another exemplary embodiment of the present invention.

먼저, 도 6a에 도시된 바와 같이, 반도체 소자를 이루기 위한 여러 요소가 형성된 기판(60) 상에 피식각층인 전도층(61)을 증착한 다음, 제1하드마스크용 물질막(62)과 제2하드마스크용 물질막(63) 및 제3하드마스크용 물질막(64)을 차례로 증착한다.First, as shown in FIG. 6A, the conductive layer 61, which is an etched layer, is deposited on the substrate 60 on which various elements for forming a semiconductor device are formed. Then, the material layer 62 and the first hard mask material layer 62 are formed. The material layer 63 for the second hard mask and the material layer 64 for the third hard mask are sequentially deposited.

여기서, 전도층(61)은 텅스텐막, 티타늄막, 텅스텐 실리사이드막 및 티타늄 나이트라이드막을 포함하는 그룹으로부터 선택된 적어도 하나의 물질막을 이용한다.Here, the conductive layer 61 uses at least one material film selected from the group consisting of a tungsten film, a titanium film, a tungsten silicide film and a titanium nitride film.

여기서, 제1하드마스크용 물질막(62)은 저압 화학기상증착(Low Pressure Chamical Vapor Deposition; 이하 LPCVD라 함) 방식에 의한 실리콘질화막을 사용하며, 제2하드마스크용 물질막(63)은 플라즈마 화학기상증착(Plasma Enhancement Chamical Vapor Deposition; 이하 PECVD라 함) 방식에 의한 실리콘질화막을 사용한다.Here, the material layer 62 for the first hard mask is a silicon nitride film formed by a low pressure chemical vapor deposition (LPCVD) method, and the material layer 63 for the second hard mask is plasma. A silicon nitride film is used by a chemical vapor deposition (Plasma Enhancement Chamical Vapor Deposition) method.

PECVD에 의한 실리콘질화막은 증착 속도가 빠르기 때문에 쓰루-풋(Thourgh-put)을 높일 수 있으며, LPCVD에 의한 실리콘질화막은 PECVD에 의한 실리콘질화막에 비해 막 밀도가 조밀하여 PECVD에 의한 실리콘질화막 보다 그 두께가 얇더라도 하드마스크로서의 역할이 탁월하며, 본 실시예에서는 이를 이용한 효과를 극대화하기 위해 PECVD에 의한 실리콘질화막을 사용하는 제2하드마스크용 물질막(63)의 두께를 LPCVD에 의한 실리콘질화막을 사용하는 제1하드마스크용 물질막(62)의 두께에 비해 2배 이상이 되도록 한다.Since the silicon nitride film by PECVD has a high deposition rate, the T-hour-put can be increased, and the silicon nitride film by LPCVD is denser than the silicon nitride film by PECVD due to the higher film density than the silicon nitride film by PECVD. Although thin, the role as a hard mask is excellent, and in the present embodiment, the silicon nitride film by LPCVD is used as the thickness of the second hard mask material film 63 using the silicon nitride film by PECVD in order to maximize the effect using the same. The thickness of the first hard mask material layer 62 may be two or more times.

제3하드마스크용 물질막(64)은 전술한 전도층(61)에 사용되는 물질 예컨대, 텅스텐(W)과 동일한 물질을 사용하며, 이는 희생막 목적으로 사용된다.The material layer 64 for the third hard mask uses the same material as the above-described conductive layer 61, for example, tungsten (W), which is used for sacrificial film purposes.

제3하드마스크용 물질막(64)과 전도층(61)에 공통으로 텅스텐막을 사용하였을 경우, 텅스텐은 SF6/N2 플라즈마에 의해 식각되므로, 질화막 식각시 사용되는 CF4/CHF3/Ar 플라즈마를 이용하여 ArF 포토레지스트의 패턴 변형을 최소화할 수 있다. 따라서, ArF 포토리소그라피 공정을 적용할 경우에는 질화막을 최상부인 제3하드마스크용 물질막(64)으로 사용한 것 보다 텅스텐막 등을 사용하는 것이 바람직하다.When a tungsten film is used in common for the third hard mask material film 64 and the conductive layer 61, tungsten is etched by SF 6 / N 2 plasma, so CF 4 / CHF 3 / Ar used for etching the nitride film. Plasma can be used to minimize pattern deformation of the ArF photoresist. Therefore, when the ArF photolithography process is applied, it is preferable to use a tungsten film or the like rather than using the nitride film as the uppermost material film for the third hard mask 64.

이어서, 포토리소그라피 공정에서의 노광에 따른 난반사를 방지하고 ArF용 포토레지스트의 하부와의 접착력 향상을 위해 제3하드마스크용 물질막(64) 상에 반사방지막(65)을 도포한다.Subsequently, an antireflection film 65 is coated on the material layer 64 for the third hard mask to prevent diffuse reflection due to exposure in the photolithography process and to improve adhesion to the lower portion of the photoresist for ArF.

이어서, 소정의 패턴(여기서, 소정의 패턴은 게이트전극 패턴을 그 일예로 함)을 형성을 위한 포토레지스트 패턴(66)을 형성한다.Next, a photoresist pattern 66 for forming a predetermined pattern (here, the predetermined pattern uses the gate electrode pattern as an example) is formed.

반사방지막(65)은 유기 계열을 사용하였다. 또한, 포토레지스트 패턴(66)은 COMA 또는 아크릴레이드 계통의 폴리머 형태, 또는 이들의 혼합 형태를 갖는 ArF용 포토레지스트를 사용한다.As the anti-reflection film 65, an organic series was used. In addition, the photoresist pattern 66 uses a photoresist for ArF having a polymer form of COMA or an acrylate group, or a mixed form thereof.

이어서, 포토레지스트 패턴(66)을 식각마스크로 반사방지막(65)과 제3하드마스크용 물질막(64)을 차례로 식각하는 바, 도 6b는 제3하드마스크(64') 형성에 따라 포토레지스트 패턴(66')의 일부가 식각되고, 반사방지막(65')이 식각되어 패턴 영역이 정의된 공정 단면을 나타낸다.Subsequently, the anti-reflection film 65 and the third hard mask material film 64 are sequentially etched using the photoresist pattern 66 as an etch mask. FIG. 6B shows the photoresist as the third hard mask 64 ′ is formed. A portion of the pattern 66 'is etched, and the antireflective film 65' is etched to represent the process cross section in which the pattern region is defined.

이어서, 포토레지스트 스트립 공정을 실시하여 포토레지스트 패턴(66')과 반사방지막(65')을 제거한 다음, 제3하드마스크(64')를 식각마스크로 제2하드마스크용 물질막(63)과 제1하드마스크용 물질막(62)를 차례로 식각하여 제3하드마스크(64")와 제2하드마스크(63') 및 제1하드마스크(62')가 적층된 3중 하드마스크 구조를 갖는 도 6c의 공정 단면을 형성한다.Subsequently, the photoresist strip process is performed to remove the photoresist pattern 66 'and the anti-reflection film 65', and then the third hard mask 64 'is etched using the material film 63 for the second hard mask. The first hard mask material layer 62 is sequentially etched to have a triple hard mask structure in which the third hard mask 64 ″, the second hard mask 63 ′, and the first hard mask 62 ′ are stacked. The process cross section of FIG. 6C is formed.

한편, 도 6c에서 알 수 있듯이 제2하드마스크(63')와 제1하드마스크(62') 형성을 위한 식각 공정에서 제3하드마스크(64") 상부가 손실되어 그 상부가 뾰족한 첨탑 형상을 갖게 된다.Meanwhile, as shown in FIG. 6C, the upper portion of the third hard mask 64 ″ is lost in the etching process for forming the second hard mask 63 ′ and the first hard mask 62 ′, thereby forming a sharp spire shape. Will have

한편, 전술한 포토레지스트 스트립 공정을 별도로 실시하지 않고, 제2하드마스크(63') 및 제1하드마스크(62') 형성시 포토레지스트 패턴(66')과 반사방지막(65')이 자연스럽게 제거되도록 할 수도 있다.Meanwhile, the photoresist pattern 66 'and the anti-reflection film 65' are naturally removed when the second hard mask 63 'and the first hard mask 62' are formed without performing the above-described photoresist strip process. You can also

이어서, 도 6d에 도시된 바와 같이, 제3하드마스크(64")와 제2하드마스크(63') 및 제1하드마스크(62')를 식각마스크로 전도층(61)을 식각하여 전도층(61') 상에 제1하드마스크(62')와 제2하드마스크(63')의 이중 하드마스크가 적층된 전도 패턴을 형성한다.Subsequently, as illustrated in FIG. 6D, the conductive layer 61 is etched using the third hard mask 64 ″, the second hard mask 63 ′, and the first hard mask 62 ′ as an etching mask. A conductive pattern in which a double hard mask of the first hard mask 62 'and the second hard mask 63' is stacked is formed on 6161 '.

본 발명에서는 전술한 제3하드마스크(64")의 패턴이 하부로 전사되었을 경우 제2하드마스크(63') 및 그 하부의 형상 또한 첨탑 형상을 가질 수 있으므로, 첨탑 형상을 갖는 잔류하는 제3하드마스크(64")를 제거한다.In the present invention, when the above-described pattern of the third hard mask 64 ″ is transferred to the bottom, the second hard mask 63 ′ and the shape of the bottom thereof may also have a spire shape, and thus the remaining third having the spire shape Remove the hard mask 64 ".

한편, 본 실시예에서는 이러한 제3하드마스크(64")를 제거하는 별도의 공정을 실시하지 않고 전도층(61) 식각시 제거되도록 한다.Meanwhile, in the present embodiment, the third hard mask 64 ″ is removed without etching the conductive layer 61 without performing a separate process.

이는 상기한 바와 같이 제3하드마스크(64")와 전도층(61')은 동일 물질을 사용하므로 가능하다. 도 6d에서 제3하드마스크(64")는 점선으로 도시되어 있는 바, 이는 전도층(61')을 식각하여 패턴 형성시 제거되는 것을 나타낸다.This is possible because the third hard mask 64 "and the conductive layer 61 'use the same material as described above. In FIG. 6D, the third hard mask 64" is shown in dashed lines, which is conductive. The layer 61 'is etched to show that it is removed during pattern formation.

전술한 바와 같이 이루어지는 본 발명은, 전도층 패턴 형성시 3중의 하드마스크를 사용하며, 이 때 첨탑 형상을 갖는 제3하드마스크를 별도로 제거하거나 또는 전도층과 동일 물질로 사용하여 전도층 식각시 제거되도록 함으로써, 그 상부가 경사 프로파일을 갖는 제3하드마스크의 형상이 하부로 전사되는 것을 방지할 수 있어, 전도층 패턴의 하드마스크에서의 첨탑 현상을 방지할 수 있음을 실시예를 통해 알아 보았다.As described above, the present invention uses a triple hard mask to form a conductive layer pattern, wherein a third hard mask having a steeple shape is separately removed or used as the conductive material to remove the conductive layer during etching. By the embodiment, the shape of the third hard mask having the inclined profile at the top thereof can be prevented from being transferred to the bottom, and the spire phenomenon in the hard mask of the conductive layer pattern can be prevented through the embodiment.

이상에서 설명한 본 발명은 전술한 실시예 및 첨부된 도면에 의해 한정되는 것이 아니고, 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 여러 가지 치환, 변형 및 변경이 가능하다는 것이 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 있어 명백할 것이다.The present invention described above is not limited to the above-described embodiments and the accompanying drawings, and various substitutions, modifications, and changes are possible in the art without departing from the technical spirit of the present invention. It will be clear to those of ordinary knowledge.

예컨대, 본 발명에서는 전도층 패턴으로 게이트전극 패턴을 그 일예로 하였으마, 이외에도 비트라인 패턴이나 금속배선 등에도 응용 가능하다.For example, in the present invention, the gate electrode pattern is used as the conductive layer pattern, but the present invention can be applied to a bit line pattern, a metal wiring, or the like.

전술한 본 발명은, 하드마스크의 경사 프로파일을 방지할 수 있어, 궁극적으로 반도체 소자의 수율을 향상시킬 수 있는 탁월한 효과를 기대할 수 있다.The present invention described above can prevent the inclination profile of the hard mask, and ultimately, it can be expected to have an excellent effect of improving the yield of the semiconductor device.

도 1a 내지 도 1c는 종래기술에 따른 반도체소자의 전도층 패턴 형성 공정을 도시한 단면도.1A to 1C are cross-sectional views showing a conductive layer pattern forming process of a semiconductor device according to the prior art.

도 2는 도 1c의 단면 SEM 사진.Figure 2 is a cross-sectional SEM picture of Figure 1c.

도 3은 전도층이 식각되어 전도층 패턴이 형성된 단면을 도시한 SEM 사진.3 is a SEM photograph showing a cross section in which a conductive layer pattern is formed by etching the conductive layer.

도 4는 텅스텐막과 폴리실리콘막이 적층된 전도층 패턴의 첨탑 형상을 도시한 TEM 사진.4 is a TEM photograph showing the spire shape of a conductive layer pattern in which a tungsten film and a polysilicon film are laminated.

도 5a 내지 도 5d는 본 발명의 일실시예에 따른 반도체소자의 전도 패턴 형성 공정을 도시한 단면도.5A to 5D are cross-sectional views illustrating a conductive pattern forming process of a semiconductor device according to an embodiment of the present invention.

도 6a 내지 도 6d는 본 발명의 다른 실시예에 따른 반도체소자의 전도층 패턴 형성 공정을 도시한 단면도.6A to 6D are cross-sectional views illustrating a conductive layer pattern forming process of a semiconductor device according to another embodiment of the present invention.

* 도면의 주요 부분에 대한 부호의 설명* Explanation of symbols for the main parts of the drawings

50 : 기판 51' : 전도층50 substrate 51 'conductive layer

52' : 제1하드마스크 53' : 제2하드마스크52 ': first hard mask 53': second hard mask

Claims (12)

기판 상에 전도층을 형성하는 단계;Forming a conductive layer on the substrate; 상기 전도층 상에 제1하드마스크용 물질막과 제2하드마스크용 물질막 및 제3하드마스크용 물질막을 차례로 형성하는 단계;Sequentially forming a first hard mask material film, a second hard mask material film, and a third hard mask material film on the conductive layer; 상기 제3하드마스크용 절연막 상에 소정의 패턴을 형성하기 위한 ArF 노광원을 이용한 포토레지스트 패턴을 형성하는 단계;Forming a photoresist pattern using an ArF exposure source for forming a predetermined pattern on the third hard mask insulating film; 상기 포토레지스트 패턴을 식각마스크로 상기 제3하드마스크용 물질막을 식각하여 제3하드마스크를 형성하는 단계;Etching the material layer for the third hard mask using the photoresist pattern as an etching mask to form a third hard mask; 적어도 상기 제3하드마스크를 식각마스크로 상기 제2하드마스크용 물질막을 식각하여 제2하드마스크를 형성하는 단계;Etching the material layer for the second hard mask using at least the third hard mask as an etch mask to form a second hard mask; 습식 세정 공정을 실시하여 상기 제3하드마스크를 제거하는 단계; 및Performing a wet cleaning process to remove the third hard mask; And 상기 제2하드마스크를 식각마스크로 상기 제1하드마스크용 물질막과 상기 전도층을 차례로 식각하여 전도층과 제1하드마스크 및 제2하드마스크가 적층된 상기 소정의 패턴을 형성하는 단계Etching the first hard mask material layer and the conductive layer sequentially using the second hard mask as an etch mask to form the predetermined pattern in which the conductive layer, the first hard mask, and the second hard mask are stacked. 를 포함하는 불화아르곤 노광원을 이용한 반도체소자 제조 방법.Method of manufacturing a semiconductor device using an argon fluoride exposure source comprising a. 제 1 항에 있어서,The method of claim 1, 상기 제1하드마스크용 물질막은, 도프드 폴리실리콘막 또는 언도프드 폴리실리콘막인 것을 특징으로 하는 불화아르곤 노광원을 이용한 반도체소자 제조 방법.And the first hard mask material film is a doped polysilicon film or an undoped polysilicon film. 제 2 항에 있어서,The method of claim 2, 상기 제2하드마스크용 물질막은, 실리콘질화막 또는 실리콘산화질화막인 것을 특징으로 하는 불화아르곤 노광원을 이용한 반도체소자 제조 방법.The second hard mask material film is a silicon nitride film or a silicon oxynitride film, the method of manufacturing a semiconductor device using an argon fluoride exposure source. 제 1 항에 있어서,The method of claim 1, 상기 하드마스크용 물질막과 상기 전도층은 동일 물질을 사용하는 것을 특징으로 하는 불화아르곤 노광원을 이용한 반도체소자 제조 방법.The method of manufacturing a semiconductor device using an argon fluoride exposure source, wherein the hard mask material film and the conductive layer use the same material. 제 1 항에 있어서,The method of claim 1, 상기 제3하드마스크를 제거하는 단계에서, SC-1 용액을 사용하는 것을 특징으로 하는 불화아르곤 노광원을 이용한 반도체소자 제조 방법.In the step of removing the third hard mask, a method of manufacturing a semiconductor device using an argon fluoride exposure source, characterized in that using the SC-1 solution. 제 1 항에 있어서,The method of claim 1, 상기 제1하드마스크용 물질막을 50Å 내지 100Å의 두께로 형성하는 것을 특징으로 하는 불화아르곤 노광원을 이용한 반도체소자 제조 방법.A method of manufacturing a semiconductor device using an argon fluoride exposure source, wherein the first hard mask material film is formed to a thickness of 50 kPa to 100 kPa. 기판 상에 전도층을 형성하는 단계;Forming a conductive layer on the substrate; 상기 전도층 상에 제1하드마스크용 물질막과 제2하드마스크용 물질막 및 제3하드마스크용 물질막을 차례로 형성하는 단계;Sequentially forming a first hard mask material film, a second hard mask material film, and a third hard mask material film on the conductive layer; 상기 제3하드마스크용 절연막 상에 소정의 패턴을 형성하기 위한 ArF 노광원을 이용한 포토레지스트 패턴을 형성하는 단계;Forming a photoresist pattern using an ArF exposure source for forming a predetermined pattern on the third hard mask insulating film; 상기 포토레지스트 패턴을 식각마스크로 상기 제3하드마스크용 물질막을 식각하여 제3하드마스크를 형성하는 단계;Etching the material layer for the third hard mask using the photoresist pattern as an etching mask to form a third hard mask; 적어도 상기 제3하드마스크를 식각마스크로 상기 제2하드마스크용 물질막과 상기 제1하드마스크용 물질막을 차례로 식각하여 제3하드마스크와 제2하드마스크 및 제1하드마스크가 적층된 3중의 하드마스크 구조를 형성하는 단계; 및A third hard mask including a third hard mask, a second hard mask, and a first hard mask by etching the second hard mask material layer and the first hard mask material layer in order by using at least the third hard mask as an etching mask; Forming a mask structure; And 상기 3중의 하드마스크 구조를 식각마스크로 상기 제3하드마스크가 제거되도록 상기 전도층을 식각하여 전도층과 제1하드마스크 및 제2하드마스크가 적층된 상기 소정의 패턴을 형성하는 단계Etching the conductive layer to remove the third hard mask using the triple hard mask structure as an etch mask to form the predetermined pattern in which the conductive layer, the first hard mask, and the second hard mask are stacked. 를 포함하는 불화아르곤 노광원을 이용한 반도체소자 제조 방법.Method of manufacturing a semiconductor device using an argon fluoride exposure source comprising a. 제 7 항에 있어서,The method of claim 7, wherein 상기 제1하드마스크용 물질막은, The first hard mask material film, 저압 화학기상증착 방식에 의한 실리콘질화막이며, 상기 제2하드마스크용 물질막은 플라즈마 화학기상증착 방식에 의한 실리콘질화막인 것을 특징으로 하는 불화아르곤 노광원을 이용한 반도체소자 제조 방법.A silicon nitride film by a low pressure chemical vapor deposition method, and the second hard mask material film is a silicon nitride film by a plasma chemical vapor deposition method. 제 8 항에 있어서,The method of claim 8, 상기 제2하드마스크용 물질막의 두께는 상기 제1하드마스크용 물질막 두께의 2배 보다 크거나 같은 것을 특징으로 하는 불화아르곤 노광원을 이용한 반도체소자 제조 방법.The thickness of the material layer for the second hard mask is greater than or equal to twice the thickness of the material layer for the first hard mask. 제 7 항에 있어서,The method of claim 7, wherein 상기 제3하드마스크용 물질막 상기 전도층과 동일 물질인 것을 특징으로 하는 불화아르곤 노광원을 이용한 반도체소자 제조 방법.The third hard mask material film is a semiconductor device manufacturing method using an argon fluoride exposure source, characterized in that the same material as the conductive layer. 제 1 항 또는 제 7 항에 있어서,The method according to claim 1 or 7, 상기 소정의 패턴은, 게이트전극, 비트라인 또는 금속배선 중 어느 하나인 것을 특징으로 하는 불화아르곤 노광원을 이용한 반도체소자 제조 방법.The predetermined pattern is a semiconductor device manufacturing method using an argon fluoride exposure source, characterized in that any one of a gate electrode, a bit line or a metal wiring. 제 1 항 또는 제 7 항에 있어서,The method according to claim 1 or 7, 상기 제3하드마스크용 절연막을 형성하는 단계 후, 반사방지막을 형성하는 단계를 더 포함하는 것을 특징으로 하는 불화아르곤 노광원을 이용한 반도체소자 제조 방법.And forming an anti-reflection film after the forming of the insulating film for the third hard mask, the method of manufacturing a semiconductor device using an argon fluoride exposure source.
KR10-2002-0086489A 2002-12-27 2002-12-30 Method for fabrication of semiconductor device using ArF photo-lithography capable of protecting tapered profile of hardmask KR100495909B1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR10-2002-0086489A KR100495909B1 (en) 2002-12-30 2002-12-30 Method for fabrication of semiconductor device using ArF photo-lithography capable of protecting tapered profile of hardmask
TW092118845A TWI335615B (en) 2002-12-27 2003-07-10 Method for fabricating semiconductor device using arf photolithography capable of protecting tapered profile of hard mask
US10/648,172 US7026253B2 (en) 2002-12-27 2003-08-25 Method for fabricating semiconductor device using ArF photolithography capable of protecting tapered profile of hard mask
CNB2003101235241A CN1318917C (en) 2002-12-27 2003-12-24 Method for producing semiconductor device using argon fluoride exposure light source
US11/347,079 US7482279B2 (en) 2002-12-27 2006-02-02 Method for fabricating semiconductor device using ArF photolithography capable of protecting tapered profile of hard mask

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2002-0086489A KR100495909B1 (en) 2002-12-30 2002-12-30 Method for fabrication of semiconductor device using ArF photo-lithography capable of protecting tapered profile of hardmask

Publications (2)

Publication Number Publication Date
KR20040059981A KR20040059981A (en) 2004-07-06
KR100495909B1 true KR100495909B1 (en) 2005-06-17

Family

ID=37351935

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2002-0086489A KR100495909B1 (en) 2002-12-27 2002-12-30 Method for fabrication of semiconductor device using ArF photo-lithography capable of protecting tapered profile of hardmask

Country Status (1)

Country Link
KR (1) KR100495909B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100832016B1 (en) * 2006-12-27 2008-05-26 주식회사 하이닉스반도체 Method for fabricating landing plug conatct in semiconductor device
KR20160120814A (en) * 2015-04-08 2016-10-19 삼성디스플레이 주식회사 Wire grid polarizer and method for fabricating the same

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050010247A (en) * 2003-07-18 2005-01-27 주식회사 하이닉스반도체 Method for manufacturing semiconductor device
KR100744106B1 (en) * 2005-03-18 2007-08-01 주식회사 하이닉스반도체 Semiconductor with double hard-mask and therefor manufacturing method

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100832016B1 (en) * 2006-12-27 2008-05-26 주식회사 하이닉스반도체 Method for fabricating landing plug conatct in semiconductor device
KR20160120814A (en) * 2015-04-08 2016-10-19 삼성디스플레이 주식회사 Wire grid polarizer and method for fabricating the same
KR102413970B1 (en) * 2015-04-08 2022-06-28 삼성디스플레이 주식회사 Wire grid polarizer and method for fabricating the same

Also Published As

Publication number Publication date
KR20040059981A (en) 2004-07-06

Similar Documents

Publication Publication Date Title
US7482279B2 (en) Method for fabricating semiconductor device using ArF photolithography capable of protecting tapered profile of hard mask
US7037850B2 (en) Method for fabricating semiconductor device with fine patterns
KR100652791B1 (en) Method for fabrication of semiconductor device
KR100672780B1 (en) Semiconductor device and method for fabrication thereof
KR100495909B1 (en) Method for fabrication of semiconductor device using ArF photo-lithography capable of protecting tapered profile of hardmask
KR100670706B1 (en) Forming method of contact plug in semiconductor device
KR100792409B1 (en) Method for fabrication of semiconductor device using tungsten layer to sacrificial hard mask
US6716760B2 (en) Method for forming a gate of a high integration semiconductor device including forming an etching prevention or etch stop layer and anti-reflection layer
KR100500930B1 (en) Method for fabrication of semiconductor device using ArF photo-lithography capable of protecting tapered profile of hardmask
KR20050001104A (en) Method for fabrication of semiconductor device
KR100507872B1 (en) Method for fabrication of semiconductor device
KR20040057532A (en) Method for fabrication of semiconductor device using ArF photo-lithography capable of protecting tapered profile of hardmask
KR100910865B1 (en) Method for fabrication of semiconductor device
KR100303997B1 (en) Metal gate electrode formation method
KR20020002530A (en) Methof for manufacturing capacitor
KR20050116314A (en) Method for fabrication of deep contact hole in semiconductor device
KR100832027B1 (en) Forming method of semiconductor device pattern capable of protecting tapered profile of hardmask
US20040264132A1 (en) Method for forming storage node contact plug of semiconductor device
KR20050116311A (en) Method for fabrication of semiconductor device
KR20040001845A (en) Method for forming pattern of semiconductor device
KR20040057496A (en) Method of manufacturing semiconductor device having tungsten silicide wiring layer
KR20040008646A (en) Method for fabricating semiconductor device having landing plug contact
KR20060029007A (en) Method for fabrication of semiconductor device
KR20040082873A (en) METHOD FOR FABRICATION OF CONTACT HOLE OF SEMICONDUCTOR DEVICE USING ArF PHOTO LITHOGRAPHY
KR20050063153A (en) Method for fabrication of semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110526

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee