JPH06152574A - 時分割多重通信システム用装置 - Google Patents

時分割多重通信システム用装置

Info

Publication number
JPH06152574A
JPH06152574A JP5087798A JP8779893A JPH06152574A JP H06152574 A JPH06152574 A JP H06152574A JP 5087798 A JP5087798 A JP 5087798A JP 8779893 A JP8779893 A JP 8779893A JP H06152574 A JPH06152574 A JP H06152574A
Authority
JP
Japan
Prior art keywords
port
signal
communication system
coupled
data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP5087798A
Other languages
English (en)
Other versions
JP3073117B2 (ja
Inventor
Jeffrey W Klingberg
ジェフリ−・ウェイン・クリンバ−グ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Motorola Solutions Inc
Original Assignee
Motorola Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Motorola Inc filed Critical Motorola Inc
Publication of JPH06152574A publication Critical patent/JPH06152574A/ja
Application granted granted Critical
Publication of JP3073117B2 publication Critical patent/JP3073117B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04JMULTIPLEX COMMUNICATION
    • H04J3/00Time-division multiplex systems
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L49/00Packet switching elements
    • H04L49/90Buffering arrangements
    • H04L49/9042Separate storage for different parts of the packet, e.g. header and payload
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04JMULTIPLEX COMMUNICATION
    • H04J3/00Time-division multiplex systems
    • H04J3/02Details
    • H04J3/04Distributors combined with modulators or demodulators
    • H04J3/047Distributors with transistors or integrated circuits
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04JMULTIPLEX COMMUNICATION
    • H04J3/00Time-division multiplex systems
    • H04J3/02Details
    • H04J3/06Synchronising arrangements
    • H04J3/062Synchronisation of signals having the same nominal but fluctuating bit rates, e.g. using buffers
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L47/00Traffic control in data switching networks
    • H04L47/50Queue scheduling
    • H04L47/56Queue scheduling implementing delay-aware scheduling
    • H04L47/568Calendar queues or timing rings
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L49/00Packet switching elements
    • H04L49/90Buffering arrangements
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04WWIRELESS COMMUNICATION NETWORKS
    • H04W28/00Network traffic management; Network resource management
    • H04W28/02Traffic management, e.g. flow control or congestion control
    • H04W28/06Optimizing the usage of the radio link, e.g. header compression, information sizing, discarding information

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Signal Processing (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Time-Division Multiplex Systems (AREA)
  • Mobile Radio Communication Systems (AREA)
  • Bidirectional Digital Transmission (AREA)
  • Reduction Or Emphasis Of Bandwidth Of Signals (AREA)

Abstract

(57)【要約】 【目的】 処理機能を解放し、TDMシステムを動作す
るために必要なタイミングを維持する装置を提供するこ
と。 【構成】 第1および第2記憶手段12を用いて、第1
および第2通信信号を記憶する。逐次直接メモリ・アク
セス手段13は、第1および第2通信信号に逐次にアク
セスすることにより合成信号を形成する。その後、この
合成信号が、送信機15により送信される。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、一般に、通信システム
に関する。さらに詳しくは、時分割多重(TDM)通信
システムに用いる装置に関する。
【0002】
【従来の技術】時分割多重(Time Division Multiple:
TDM)通信システムにおいては、データは複数のタイ
ム・スロットを有するフレーム内で伝達される。各タイ
ム・スロット内のデータは、通常、別々の受信機に向け
られる。TDMタイプのシステムの操作における重要点
は、フレームおよび他のタイム・スロットに関して、1
つのタイム・スロット内のデータの正しいタイミングを
維持することである。
【0003】現在、多くのタイミング操作は、ASIC
(Application Specific Integrated Circuits: 用途別
集積回路)装置を用いることにより処理される。この操
作方法は、通常、さまざまなタイム・スロットにおいて
送信されるデータを受信および記憶する段階で構成され
る。送信フレームが始まると、ASICはデータの送信
を遅延させて、受信機に電力をランプ・アップ(ramp u
p )する時間を与える。このランプ・アップには長い時
間はかからず、遅延は数ビットに相当するに過ぎない。
ランプ・アップ遅延の後、システム識別子(すなわちカ
ラー・コード)などの情報を含む同期語が送信される。
同期語に続き、第1音声データが送信される。音声デー
タの最後で、ASICは、第2データ送信を遅延させ
て、隣接するタイム・スロットのデータ間に間隔を設け
る。最終タイム・スロットに到達するまで、第2,第3
タイム・スロットなどに関して、この過程が反復する。
同時送受式の配列で動作する場合は、フレームが反復す
るか、あるいは、送受信が同一の周波数で行われるTD
D(Time division Duplex: 時分割同時送受信)システ
ムでは受信サイクルに対して遅延が行われる。
【0004】上記の手段を用いると、システムのコント
ローラは、データ・ビットの送信のタイミングを維持し
て、所望のときに適切なデータ・ビットを検索するとい
った多くの処理能力を費やすことが要求される。
【0005】
【発明が解決しようとする課題】それゆえに、処理機能
を解放し、TDMシステムを動作するために必要な重要
なタイミングを維持する装置に対する必要性が存在す
る。
【0006】
【課題を解決するための手段】本発明は、第1および第
2記憶手段と、逐次直接メモリ・アクセス(serial dire
ct memory access) 手段とによって構成される通信シス
テムを提供する。第1通信信号が第1記憶手段に記憶さ
れ、第2通信信号が第2記憶手段に記憶される。次に、
逐次直接メモリ・アクセス手段が、第1および第2通信
信号に逐次にアクセスすることにより合成信号を形成す
る。その後、この合成信号がトランシーバにより送信さ
れる。
【0007】
【実施例】図1には、本発明を具現する一般的なブロッ
ク図10が示される。図10は、統合サービス・デジタ
ル・ネットワーク(integrated service digital netwo
rk: ISDN)トランシーバ11に結合された、電話会
社(telephone company:TELCO)からの入力を有す
る。ISDNフォーマット化されたデータ・ストリーム
が受信され、図2に示されるようなインターチップ・デ
ジタル・リンク(IDL)フォーマット化された信号2
0に変換される。この好適な実施例においては、信号2
0は、2個のB信号(21,22)と1個のD信号(2
3)とからなり、これらはそれぞれが埋込(filler)デー
タ(24)により互いに隔てられている。フレーム25
の全体は、20ビットの長さで、B1およびB2はそれ
ぞれ8ビットを有し、Dは2ビットを有する。残りの2
ビットは埋込データ24である。
【0008】信号20が処理され、この処理に関しては
図5で以下により詳細に説明するが、RAM12に記憶
される。RAM12の代わりに他の形態の記憶(すなわ
ちSRAM,DRAMなど)を用いるか、またはRAM
12をマイクロプロセッシング・ユニット(MPU)1
3の一部とすることもできることに注目されたい。入力
信号からのデータは、図3のメモリ・ブロック図30に
示されるように、メモリ12内に直接メモリ・アクセス
により記憶される。
【0009】図3に示されるように、メモリ・ブロック
30は、4個のタイム・スロット(TS1ないしTS
4)に分割されている。各タイム・スロットは、3つの
領域32ないし34に分割される。セクション32に
は、4個のランプ・ビットと44個の同期語ビットとが
含まれる。セクション33には、B入力の1つから来た
データが含まれる。図2には2個のB入力しか図示され
ていないが、図6のより詳細な装置では4個の通信信号
の利用を示している。最後にセクション33には16個
のガード・ビットが含まれる。
【0010】上述のセクションを有する通信信号を表す
波形40が図4に示される。波形40は、3個のタイム
・スロットTS1ないしTS3を示す。各タイム・スロ
ットは、ランプ41と、それに続く使用可能なデータ部
分42とで構成される。データ部分42は、同期語(syn
chronization word)と音声データ部分とを含む。データ
部分42の後には、下向きランプ43と非データ領域4
4とがある。領域43,44は、ガード・ビットを構成
する。
【0011】図4のタイム・スロットは、時間を追って
共に結合されて、タイム・フレーム50を形成する。タ
イム・フレーム50は、好適な実施例においては、4個
のタイム・スロット分の長さである。これを図5に示
す。フレーム50は、期間内に4個の送信スロット(T
S1ないしTS4)と4個の受信スロット(RS1ない
しRS4)とを有する。動作中は、送信および受信信号
は同一周波数上にあるが、異なるタイム・スロット内に
ある。このようなシステムをTDMA/TDDシステ
ム、すなわち時分割多重アクセス/時分割同時送受信シ
ステムと呼ぶ。
【0012】図1に戻ると、通信信号は図3に示された
状態でRAM12に記憶される。データを送信するとき
は、MPU13のSDMA(Serial Direct Memory Acc
ess:逐次直接メモリ・アクセス)機能を用いて、RAM
12内のTS1ないしTS4データにアクセスする。S
DMAにより、開始アドレス、すなわち図3におけるY
と、アクセスされる順次アドレスの数、すなわち図3に
おけるXを指定することにより、データがアクセスされ
る。そのために、各データ・セクションに別々にリクエ
ストを送らなくても、MPU13からの1回のリクエス
トの結果として、YからY+XまでのデータがRAM1
2から順次出力される。
【0013】このデータ列は次にRF(無線周波数)ト
ランシーバ15に送られ、アンテナ16を用いて送信さ
れる。
【0014】図6には、その全体が60として示される
本発明を具現する通信システムのより詳細なブロック図
が示される。動作中は、1対のネットワークISDN信
号が、電話会社から、1対のISDN T/R(送信/
受信)装置61,62の入力/出力ポートにおいて受信
される。この装置の例としては、モトローラ社製部品MC
14574 がある。ISDN信号のそれぞれには、1対の音
声またはユーザ・データ部分(B1,B2)とデータ部
分Dとが含まれる。ISDNフォーマット信号は、ID
L(インターチップ・デジタル・リンク)フォーマット
に変換され、トランシーバ61,62から出力される。
ISDN装置61,62からの出力は、モトローラ社製
MC145488などの3個のDDLC(Dual Data link Contr
oller:二重データ・リンク・コントローラ)に結合され
る。
【0015】B1信号は、モトローラ社製MC145532など
のトランスコーダ(transcoder)66,68
を通じて処理され、これによって信号は毎秒64キロビ
ットのサイズから毎秒32キロビットのサイズに圧縮さ
れ、その後それぞれコントローラ63,65に送られ
る。B2信号は、モトローラ社製MC14557Bなどの同期遅
延回路81,82により遅延される。τにより表される
遅延の後で、信号B2はトランスコーダ67,69内で
それぞれ圧縮され、コントローラ63,65の第2入力
に送られる。両方のISDN T/Rから来たD信号
は、空中を送信されないので、圧縮の必要がなく、直接
コントローラ64に送信される。
【0016】圧縮されたB1およびB2信号は、次にコ
ントローラ63,65によりデータ・バス70上に出力
される。コントローラ63,65は、直接メモリ・アク
セス装置として動作して、コントローラ63から来た信
号B1を図3のTS1の領域33に記憶する。同様に、
コントローラ63から来た信号B2は、TS2の領域3
3に記憶され、コントローラ65から来た信号B1は、
TS3の領域33に記憶され、コントローラ65から来
た信号B2は、TS4の領域33に記憶される。この好
適な実施例においては、メモリ領域32ないし34はす
べてRAM71内にある。B1およびB2信号が記憶さ
れる前に、ランプ,同期語およびガード・ビットはRA
M71の適切な場所にすでに記憶されている。
【0017】システム60に対するD入力は、直接ユー
ザに送信されず、そのために、RAM71内には記憶さ
れないが、バス70に沿って、システム60のさまざま
な他の装置に送られる。システム60には、さらにEP
ROM(Electrically Programmable Read Only Memor
y: 電気的プログラム可能読み取り専用メモリ)72も
含まれ、これにはシステムの実行コードが含まれる。ま
た、システム60にはバス70に対するアクセスを行う
仲裁回路(arbitration circuit) 73も含まれる。
【0018】音声データがRAM71内にロードされる
と、モトローラ社製MC68302 MPU(マイクロプロセッ
シング・ユニット)などのコントローラ75が、RAM
71に対して、TS1ないしTS4のメモリ領域の内容
を、逐次に出力することを指示する。これは、コントロ
ーラ75のSDMA(Serial Direct Memory Access:逐
次直接メモリ・アクセス)機能により実行される。この
機能は、RAM71に対して、位置Yから始まるX個の
シリアルなメモリ位置からデータを出力することを指示
する。この結果、メモリ位置TS1ないしTS4内の全
ビットが、バス70に順次に出力される。適切な数の埋
込ビット(ランプおよびガード・ビット)を設けること
により、メモリ71から出力されるデータの長さが、信
号TS1ないしTS4の送信に与えられた時間と一致す
る。
【0019】RAM71から来るこのデータは、次にコ
ントローラ75を通り、CODEC(コーダ/デコー
ダ)76に渡される。ここで、データはRF(無線周波
数)送信手段77により送信できるようにコード化され
る。データに加えて、タイム・スロット制御(ts_contro
l)情報もコントローラ75から、CODEC76および
トランシーバ77に渡される。タイム・スロット制御
は、電力,周波数,アンテナの選択,次のタイム・スロ
ットでは送信を行うか受信を行うかなどの情報で構成さ
れる。コントローラ75はまた、CODEC76からの
ts_sync (タイム・スロット同期)入力も有するが、こ
れはタイム・スロット制御を開始するために用いられ
る。タイム・スロット同期は、625υ秒で動作するク
ロック信号である。コントロール75およびCODEC
76に対するframe_sync(フレーム同期)入力は、同期
回路80から導かれる。フレーム同期信号は、5m秒で
動作するタイミング信号である。また、コントローラ7
5から同期回路80に対して与えられるsync_control
(同期制御)もある。sync_controlは、同期回路80に
対してトランシーバ61,62から与えられるIDL入
力の1つを選択する働きをする。IDLタイミング信号
はそれぞれ125υ秒で動作する。
【0020】同期回路80はまた、外部タイマから導か
れるext_sync(external synchronization source:外部
同期源)入力も有する。システム60がマスタ・システ
ム(master system) の場合は、外部タイミング信号は同
期回路80から与えられる。システム60がマスタ・シ
ステムでない場合は、外部タイミング信号は同期回路8
0に対して与えられる。
【0021】システム60が受信モードにあるときは、
信号はトランシーバ77により受信される。データとす
べての制御情報がCODEC76において解読される。
解読された後制御データはコントローラ75に送信さ
れ、音声データはFIFO95に入力される。FIFO
95から来るデータは、次にコントローラ63または6
5のいずれかにロードされる。ここから、データは、適
切なトランスコーダ66ないし69において、圧縮回復
(decompress)され、適切なトランシーバ61,62に
よりISDN信号に戻される。ISDN信号は、次に、
電話会社のネットワークに送られる。
【0022】上記の好適な実施例の代替の方法は、コン
トローラ75にRAMがある場合はそれを用いる方法で
ある。この方法では、RAM71の必要がないが、シス
テムは同様に動作を継続する。
【0023】それゆえに、通信システムによる送信のた
めの時分割多重された信号に従う装置が解説された。こ
の装置は、フレーム全体にわたって送信されるデータを
順次記憶する手段を提供して、ビットがメモリからダウ
ン・ロードされたときに、フレーム全体を埋めるように
する。その結果、メモリからのシリアル・ビット・スト
リーム(serial bit stream)が送信
されると、所望のタイム・スロット・データが、タイミ
ングを追加することを必要とせずに正しいタイム・スロ
ットに入る。
【0024】以上、本発明により、上記の目的,目標お
よび利点を完全に満足する通信システムのための時分割
多重信号を設ける装置が提供されたことは、当業者には
明白であろう。
【0025】本発明は特定の実施例に関して説明されて
いるが、前記の説明に照らして多くの改変,修正および
変形が当業者には可能であることは明白である。従っ
て、添付の請求項はこのような改変,修正および変形の
すべてを包括するものである。
【図面の簡単な説明】
【図1】本発明を具現する通信システムの一般的なブロ
ック図である。
【図2】インターチップ・デジタル・リンク(IDL)
フォーマット化された信号のブロック図である。
【図3】本発明で利用されるメモリ記憶領域を表すブロ
ック図である。
【図4】通信信号のタイム・スロットを示す波形であ
る。
【図5】通信信号のフレームを示す波形である。
【図6】図1の通信システムのより詳細なブロック図で
ある。
【符号の説明】
60 時分割多重通信システム 61,62 ISDN装置(トランシーバ) 63,64,65 二重データ・リンク・コントローラ 66,67,68,69 トランスコーダ 70 データ・バス 71 ランダム・アクセス・メモリ(RAM) 72 電気的プログラム可能読み取り専用メモリ(EP
ROM) 73 仲裁回路 75 コントローラ 76 コーダ/デコーダ 77 無線周波数送信手段(トランシーバ) 80 同期回路 81,82 同期遅延回路 95 FIFO
─────────────────────────────────────────────────────
【手続補正書】
【提出日】平成5年6月23日
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】請求項10
【補正方法】変更
【補正内容】
【手続補正2】
【補正対象書類名】明細書
【補正対象項目名】0019
【補正方法】変更
【補正内容】
【0019】 RAM71から来るこのデータは、次に
コントローラ75を通り、CODEC(コーダ/デコー
ダ)76に渡される。ここで、データはRF(無線周波
数)送信手段77により送信できるようにコード化され
る。データに加えて、タイム・スロット制御(ts_c
ontrol)情報もコントローラ75から、CODE
C76およびトランシーバ77に渡される。タイム・ス
ロット制御は、電力,周波数,アンテナの選択,次のタ
イム・スロットでは送信を行うか受信を行うかなどの情
報で構成される。コントローラ75はまた、CODEC
76からのts_sync (タイム・スロット同期)
入力も有するが、これはタイム・スロット制御を開始す
るために用いられる。タイム・スロット同期は、625
μ秒で動作するクロック信号である。コントロール75
およびCODEC76に対するframe_sync
(フレーム同期)入力は、同期回路80から導かれる。
フレーム同期信号は、5m秒で動作するタイミング信号
である。また、コントローラ75から同期回路80に対
して与えられるsync_control(同期制御)
もある。sync_controlは、同期回路80に
対してトランシーバ61,62から与えられるIDL入
力の1つを選択する働きをする。IDLタイミング信号
はそれぞれ125μ秒で動作する。

Claims (10)

    【特許請求の範囲】
  1. 【請求項1】 通信システム(10)であって:第1通
    信信号を記憶する第1記憶手段(12);第2通信信号
    を記憶する第2記憶手段(12);前記第1および第2
    記憶手段内の前記第1信号および第2通信信号に逐次に
    アクセスすることにより合成信号を形成する逐次直接メ
    モリ・アクセス手段(13);および前記合成信号を送
    信する送信手段(15);によって特徴づけられる通信
    システム(10)。
  2. 【請求項2】 入力信号の第1および第2部分からなる
    シリアル信号を送信する時分割多重(TDM)通信シス
    テム(60)であって:入力信号を受信する受信手段
    (61);前記入力信号の第1部分(B1)を圧縮し
    て、第1圧縮信号を形成する第1圧縮手段(66);前
    記入力信号の第2部分(B2)を圧縮して、第2圧縮信
    号を形成する第2圧縮手段(67);前記第1および第
    2圧縮信号を記憶する記憶手段(71);前記記憶手段
    (71)にアクセスして、前記記憶手段(71)に対し
    て前記第1および第2圧縮信号を、前記記憶手段(7
    1)の第1および第2タイム・スロット領域(TS1,
    TS2)にそれぞれ記憶することを指示する転送手段
    (63);前記記憶手段(71)の前記第1および第2
    タイム・スロット領域(TS1,TS2)を順次読み込
    んで、前記シリアル信号を形成する制御手段(75);
    および前記シリアル信号を送信する送信手段(77);
    によって構成されることを特徴とする時分割多重(TD
    M)通信システム(60)。
  3. 【請求項3】 前記受信手段(61)がシステム間デジ
    タル・ネットワーク(ISDN)受信機により構成され
    ることを特徴とする請求項2記載のTDM通信システ
    ム。
  4. 【請求項4】 前記第1および第2圧縮手段(66,6
    7)がそれぞれトランザクション圧縮手段により構成さ
    れることを特徴とする請求項2記載のTDM通信システ
    ム。
  5. 【請求項5】 前記転送手段(63)が、二重データ・
    リンク・コントローラにより構成されることを特徴とす
    る請求項2記載のTDM通信システム。
  6. 【請求項6】 前記記憶手段(71)がランダム・アク
    セス・メモリであることを特徴とする請求項2記載のT
    DM通信システム。
  7. 【請求項7】 前記第1および第2タイム・スロット領
    域(TS1,TS2)がそれぞれ:第1埋込部分(3
    2);同期語部分(32);データ部分(33);およ
    び第2埋込部分(34);によって構成されることを特
    徴とする請求項2記載のTDM通信システム。
  8. 【請求項8】 時分割多重(TDM)通信システム(6
    0)であって:第1および第2通信信号(B1,B2)
    を有するネットワーク信号を受信または送信するために
    結合された第1トランシーバ(61);前記第1トラン
    シーバのポートに結合された第1ポートを有する第1ト
    ランスコーダ(66);前記第1トランシーバの前記ポ
    ートに結合された第1ポートを有する第2トランスコー
    ダ(67);前記第1トランスコーダ(66)の第2ポ
    ートに結合された第1ポートと、前記第2トランスコー
    ダ(67)の第2ポートに結合された第2ポートとを有
    する第1二重データ・リンク・コントローラ(63);
    前記第1二重データ・リンク・コントローラ(63)の
    バス・ポートに結合されたバス(70);前記第1およ
    び第2通信信号を記憶し、前記バス(70)に結合され
    た第1ポートを有する記憶手段(71);前記バス(7
    0)に結合された第1ポートを有するコントローラ手段
    (75);前記コントローラ手段(75)のデータ出力
    に結合されたデータ・ポートを有する第2トランシーバ
    (77);によって構成されることを特徴とする時分割
    多重(TDM)通信システム(60)。
  9. 【請求項9】 前記コントローラ手段(75)の前記デ
    ータ出力に結合されたデータ入力と、前記コントローラ
    手段(75)の第1制御ポートに結合された第1制御ポ
    ートと、前記第2トランシーバ(77)の前記データ・
    ポートに結合されたデータ・ポートと、前記第2トラン
    シーバ(77)の制御ポートに結合された第2制御ポー
    トとを有するコーダ/エンコーダ(CODEC)(7
    6)によってさらに構成されることを特徴とする請求項
    8記載のTDM通信システム。
  10. 【請求項10】 前記コントローラ手段(75)のタイ
    ミング出力に結合された第1入力と、前記コントローラ
    手段(75)のタイミング入力および前記CODEC
    (76)のタイミング入力に結合されたタイミング出力
    と、前記第1トランシーバ(61)の前記ポートに結合
    された第2タイミング入力とを有するタイミング手段
    (80)によってさらに構成されることを特徴とするT
    DM通信システム。
JP05087798A 1992-03-25 1993-03-24 時分割多重通信システム用装置 Expired - Fee Related JP3073117B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US857147 1977-12-05
US07/857,147 US5357516A (en) 1992-03-25 1992-03-25 Apparatus for use in time division multiplexed communication systems

Publications (2)

Publication Number Publication Date
JPH06152574A true JPH06152574A (ja) 1994-05-31
JP3073117B2 JP3073117B2 (ja) 2000-08-07

Family

ID=25325300

Family Applications (1)

Application Number Title Priority Date Filing Date
JP05087798A Expired - Fee Related JP3073117B2 (ja) 1992-03-25 1993-03-24 時分割多重通信システム用装置

Country Status (3)

Country Link
US (1) US5357516A (ja)
JP (1) JP3073117B2 (ja)
KR (1) KR970000791B1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5768278A (en) 1996-02-06 1998-06-16 Dsc Communications Corporation N: 1 Transcoder
US5818843A (en) * 1996-02-06 1998-10-06 Dsc Communications Corporation E1 compression control method
US6678262B1 (en) * 1997-06-24 2004-01-13 Siemens Aktiengesellschaft Method and device for radio transmission

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02171063A (ja) * 1988-12-23 1990-07-02 Fujitsu Ltd ファイルデータ転送装置

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4161629A (en) * 1978-02-06 1979-07-17 Raytheon Company Communication system with selectable data storage
DE3233288A1 (de) * 1982-09-08 1984-03-08 Robert Bosch Gmbh, 7000 Stuttgart System zur zeitlichen kompression und/oder expansion von elektrischen signalen
US5121387A (en) * 1988-08-26 1992-06-09 Scientific Atlanta Link utilization control mechanism for demand assignment satellite communications network
US5111454A (en) * 1990-08-16 1992-05-05 Motorola, Inc. Digital cellular tdm system employing 6:1 packing of transcoded information
US5166930A (en) * 1990-12-17 1992-11-24 At&T Bell Laboratories Data channel scheduling discipline arrangement and method

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02171063A (ja) * 1988-12-23 1990-07-02 Fujitsu Ltd ファイルデータ転送装置

Also Published As

Publication number Publication date
US5357516A (en) 1994-10-18
KR970000791B1 (ko) 1997-01-20
KR930020883A (ko) 1993-10-20
JP3073117B2 (ja) 2000-08-07

Similar Documents

Publication Publication Date Title
KR100278238B1 (ko) 디지털 음성 중계 무선 시스템을 위한 채널 접속 및 차단 장치와 방법
US4864562A (en) Sub-rate multi-media data transmission control system
HU215863B (hu) Adatátviteli berendezés rádiótelefonhoz, és eljárás az adatátvitelre
US5038342A (en) TDM/FDM communication system supporting both TDM and FDM-only communication units
JP4185132B2 (ja) 複数のユーザ間でスロット記述子ブロックを共用する方法ならびに装置
US4554658A (en) System for producing encoded and decoded voice, internal audio tones, and data communication within a time division multiplex network
JP3073117B2 (ja) 時分割多重通信システム用装置
JPH10178440A (ja) デジタルソース及びコントロールデータ伝送方法とその利用
CA2126947A1 (en) Cellular radio system with hopping
EP1517479B1 (en) Method for processing data packets received via a first interface and device for carrying out the method
JPH0951327A (ja) 時分割多重無線通信方式及び一周波複信通信方式
KR100564068B1 (ko) 전송 방법과 그 방법을 이용하는 통신 시스템
JPH11313159A (ja) グループ通信方式
JP3655259B2 (ja) ネットワーク無線中継装置及びネットワーク無線中継方法
JPS6278925A (ja) デ−タ通信方式
JPH10126335A (ja) タイムスロット方式での到達距離を高める方法
JP2782816B2 (ja) 移動通信システム
JPH11103283A (ja) Tdma/tdd伝送方法
CN117560757A (zh) 一种用于多个终端设备之间全双工通信的方法、装置及终端设备
JPH06338847A (ja) 基地局間同期方式
JPH11284629A (ja) 無線パケット送信装置
JPH0856380A (ja) Phs方式の制御信号伝送方法
JPH1013392A (ja) フレーム同期調整をとる通信端末装置
JPH11252625A (ja) ページングシステム用時間遅延装置及びこれを用いたページングシステム
JPH114221A (ja) データ配信システム

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees