JPH05307468A - 波形形成装置 - Google Patents

波形形成装置

Info

Publication number
JPH05307468A
JPH05307468A JP4096856A JP9685692A JPH05307468A JP H05307468 A JPH05307468 A JP H05307468A JP 4096856 A JP4096856 A JP 4096856A JP 9685692 A JP9685692 A JP 9685692A JP H05307468 A JPH05307468 A JP H05307468A
Authority
JP
Japan
Prior art keywords
waveform
function
memory
generator
dac
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP4096856A
Other languages
English (en)
Inventor
Peter J Holness
ピーター・ジエイ.ホルネス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
BAE Systems PLC
Original Assignee
British Aerospace PLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by British Aerospace PLC filed Critical British Aerospace PLC
Publication of JPH05307468A publication Critical patent/JPH05307468A/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions
    • G06F17/10Complex mathematical operations

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Data Mining & Analysis (AREA)
  • General Physics & Mathematics (AREA)
  • Pure & Applied Mathematics (AREA)
  • Mathematical Optimization (AREA)
  • Algebra (AREA)
  • Computational Mathematics (AREA)
  • Databases & Information Systems (AREA)
  • Software Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Mathematical Analysis (AREA)
  • Complex Calculations (AREA)
  • Electrophonic Musical Instruments (AREA)

Abstract

(57)【要約】 (修正有) 【目的】 とくに三角法の計算に関連し合成方法の本質
的複雑性を有しないが簡単化のために精度・安定性を犠
牲にすることのない波形形成方法・装置を提供する。 【構成】 計算機1はメモリ2と記憶装置3から入力さ
れる。計算機1は接続されたDAC(D/Aコンバー
タ)4に出力する。DACはその速度を変更しうるクロ
ック入力5を有す。メモリ2はアドレス線6を通して別
々にアクセス出来る複数の発生器方程式を記憶してい
る。記憶3はメモリ2の出力に現われる独立変数のパラ
メータの別々の値を有する。第1実施例で発生器方程式
y=PS[(3π/4)sinθ]が選択されメモリ2
から計算器1に出力される。各連続したデジタル値はD
ACに接続される。DACはアナログに変換してクロッ
クアウトする。得られる波形の周波数はクロック入力5
のクロック速度を変化し選択ができる。θの値の数が多
くなれば少い時よりますます平滑になる。

Description

【発明の詳細な説明】
【0001】本発明は波形を形成する装置に関する。現
在波形を形成するため使用される多くの技術が公知であ
る。その一つは結晶発振器から与えられる基準から所要
周波数のサイン波を直接または間接に発生すること含む
周波数合成技術である。直接周波数合成は積算、混合お
よび分割回路を使用し、一方間接合成は位相ロツクルー
プ技術を使用する。したがつてこれらの技術は実施する
のがいずれも複雑である。そうでなければ、デジタル型
周波数合成は複雑なアナログ回路の必要性をなくしてい
るが、大形のデジタルメモリを必要とする。またこの方
法のため基準クロツク周波数が必要であり、クロツク時
間当りの位相変化を表す数は各クロツクサイクルにおい
てアキユムレータにシフトされる。アキユムレータの出
力は直線位相と時間関数の近似であり、それはデジタル
−アナログコンバータ(DAC)によつてアナログ型に
変換される。合成回路を詳細に説明するW.F.Ega
n著“位相ロツクによる周波数合成”(J.Wiley
社 1981年刊行) を参照されたい。
【0002】他のそれ程複雑でなくしかも安価なかつ安
定した波形発生回路は多くの標準的電子関係書籍に記載
されている。たとえば、方形または三角形波発振器は切
替増幅回路を使用して簡単に実現することができる。別
の例において、度数計を含む集積回路は方形波を発生
し、方形波を5次バンドパスフイルタを通る方形波信号
を通すことにより近似サイン波に変換することができ
る。デジタルフーリエ技術すなわちデジタル伸長器を使
用するある種の専用回路は方形波を種々のデジタル形状
に伸長する。これらは加算され、所要のサイン波に対す
る階段状近似を与え、それは低域フイルタによつて平滑
化される。本発明は、合成方法の本質的複雑性を有しな
いが簡単化のために精度および安定性を犠牲にすること
のない、波形を形成する方法および装置を提供すること
を目的としている。本発明は本発明者による発見の結果
として創造されたもので、その発見はコンピユータおよ
び計算器の作用に関連し、とくに三角法の値の計算に関
連する。
【0003】本発明の理解を助けるため、発見の結論お
よび新しい一組の三角関数の定義が図1ないし図6を参
照して与えられる。それら自体の逆元によつて実施する
三角関数を含む、たとえば手動計算器、パソコン、コン
ピユータ本体において実施される計算は驚くべき結果を
生じた。
【0004】たとえば、もし計算がarcsin(si
n89°) が計算器で実施されるならば、答は89°で
ある。しかしながら、arcsin(sin910)も
また89°として表示される。Arcsin(sin1
35°)は45°として、またarcsin(sin1
80°)は0°として表示され、そこでarcsin
(sinθ)がつねにθに等しくなるとは限らない。同
じ現象がarccos(cosθ)およびarctan
(tanθ)に対しても発生し、これを計算器を使用し
て容易に立証することができる。
【0005】三角関数の計算は種々の方法、対照表を使
用するかまたは冪級数の項を加算することによつて実施
することができる。逆三角関数を計算するためのある方
法およびアルゴリズムは米国特許第 4,899,302号に記載
されている。逆三角関数は複数の値を有し、不明瞭さを
解決する際コンピユータアルゴリズムは図1ないし3に
例示した作用を生ずる。図1,2および3は角度“θ”
と関数“y”の関係をプロツトしたもので、図1におい
て、 y=arcsin(sinθ),(−π≦θ≦π) 図2において、 y=arccos(cosθ),(−2π≦θ≦2π) 図3において y=arctan(tanθ),(−π≦θ≦π) である。
【0006】図1ないし3の各プロツトにおける縦軸上
の関数は、第1種の“ポルトマントー(Portmanteau) 関
数”であり、P(θ),P(θ)およびP(θ)
の形式に省略され、ここに、 P(θ)=Parcsineθ=arcsin(sinθ) P(θ)=Parccosineθ=arccos(cosθ) P(θ)=Parctangentθ=arctan(tanθ) 第1種のポルトマントー関数は、ラジアンまたは度で表
された角度である独立変数を有する。図1から分かるよ
うに、P(θ)は奇数の、バイポーラの、三角周期関
数である。また、 P(θ)=P(θ+2πn),ここにnは実数であ
ることに注意されたい。
【0007】図2はP(θ)は偶数の、ユニポーラの
三角周期関数である。また P(θ)=P(θ+2πn)、および P(θ)=P[θ−(π/2)]+(π/2) ことが認められる。図3はP(θ)が奇数の、バイポ
ーラの、ランプ、周期関数で、nを奇数の実数とすると
き、nπ/2において不連続となることを示している。
すべての計算機械がarctan(tan90°)を同
じ方法で処理するものでなく;あるものはエラーを記録
し、他のものは90°を表示する。 P(θ)=P(θ+πn) であることが認められる。本発明者は、すべてでないに
しても大部分の計算器は図1,2および3に示す作用を
奏することを発見した。本発明は、下記に説明される波
形形成装置に使用されるポルトマントー関数用に配置す
ることによる作用をプロツトした。
【0008】第1種のポルトマントー関数の導関数もま
た波形形成に使用されることを示している。図4には、
θと の関係がプロツトされている。 上の式においてdP(θ)はsgn(cosθ)と同
じである。ここにsgn xはxの値が正のとき+1
で、xの値が負のとき−1で、0のとき0である。 またはsgn(cosθ)を計算するコンピユータは−
πから+πの値に対して図4の波形を形成する。この波
形は振幅が1に等しい方形波である。
【0009】本発明の理解に役立つ別の関数を図5およ
び図6を参照して説明する。第2種のポルトマントー関
数は下記の一般項で記載することができる。 P[f(x)]=arcsin[sin(f(x))] P[f(x)]=arccos[cos(f(x))] P[f(x)]=arctan[tan(f(x))] ここにf(x)はxの任意の関数であるが三角関数であ
る必要はない。図5はxとP(x)の関係をプロツ
トしたものである。中央の放物線は原点を中心としてy
=xと同様に作用するが、yの値はπ/2をこえるこ
とはできない。この限界にはx=√(π/2)のときに
達する。ポルトマントー関数に変数‘A’を介在させる
ことによる“分割”独立変数を有する第3種のポルトマ
ントー関数は下記のとおりである。たとえば、 arcsin[Asin(sinθ)] arccos[Acos(sinθ)] arctan[Atan(sinθ)] または arcsin[Asinθ] arccos[Acosθ] arctan[Atanθ] である。ここにAはいかなる数とすることもできる。
【0010】図6は値Aが 0.5から1にまた2に変化す
るときの第3の種類のポルトマントー関数の挙動を示
す。図からサインおよび三角形波形はこの関数を使用し
て形成しうることが分かるであろう。本発明による波形
形成装置は発生器方程式の独立変数を形成する一組の入
力値をうけ、連続した出力値を発生し各出力値が発生記
方程式の計算された結果を含む計算器装置、および一連
の出力値を供給して波形の連続した部分を画定する装置
を含んでいる。前記装置はデジタルまたしアナログ回路
を使用して実施することができる。もし出力値がデジタ
ル形式であるならば、連続して出力値を供給する装置は
所要のレートでクロツクされるDACとすることができ
る。発生器方程式はそれに計算器装置がアクセスしうる
メモリに記憶される。また一組の入力値はメモリに記憶
され所要のレートで計算器にロツクされる。発生器方程
式は、たとえば図4を参照してすでに記載したように y=sgn(COSx) の形式を備えている。しかして、このようにして実施さ
れる波形形成装置は、たとえば−πと+πラジアンの間
のxの連続した値を計算装置にクロツクすることによ
り、方形波を形成する。この操作を反復することにより
連続した波形を形成する。
【0011】別の実施例において、発生器方程式は前記
ポルトマントー関数の一つとすることができる。そうで
なければ、ポルトマントー関数と他の関数の組合わせが
実施される。たとえば、 y=(1/2)+sgn x)e-x.P(x) である。フーリエ項もまたポルトマントー関数に組合わ
される。たとえば発生記方程式は、 y=P(x)−sinx+(1/9)sin3x の形式ををとる。莫大な数の波形を本発明による装置を
使用して創造することができることが分る。本発明を実
施するのに必要なハードウエアは最少限でかつ複雑でな
い。所要の関数を計算するように予めプログラムされか
つDACにインターフエースを介して接続された計算機
械は、装置の基本的構成である。逆三角関数を計算する
ためのすべての使用されるアルゴリズムは、ポルトマン
トー関数を形成するため計算器装置によつて使用するこ
とができる。キーボードおよびDACを備えた計算器が
便利であるとしても、本発明を開示するモジユールはそ
れ自体専用の集積回路またはASICsを備えている。
そのような集積回路はたとえば電子音楽機器、変調器、
時間ベースのパルス発生器、アダプテイブ制御方式用波
形形成装置のような、すべての使用方法において使用す
ることができる。
【0012】本発明のある実施例を図7ないし28を参
照して単なる例示として説明する。図7は本発明の第1
実施例による装置のブロツク線図である。図8ないし1
8は図7の装置によつて形成される波形の例を示す。図
19は本発明の第2実施例による装置のブロツク線図で
ある。図20および21は図19の装置によつて形成さ
れる波形の例を示す。図22ないし28は本発明の方法
を使用して形成しうる波形の別の例を示している。図7
において、計算器1はメモリ2および記憶装置3から入
力される。計算器1は接続されたDAC4に出力する。
DACはそのレートを変更しうるクロツク入力5を有す
る。メモリ2はアドレスライン6を通して別々にアクセ
スしうる複数の発生器方程式を記憶している。記憶装置
3はメモリ2の出力に現われる発生器方程式の独立変数
を形成するパラメータの別々の値を有する。
【0013】第1の実施例において、発生器方程式y=
[(3π/4)sinθ]が選択されメモリ2から
計算器1に出力される。計算器1は、−πと+πの間に
あるθの多数の値に対するyの連続した値を計算し、こ
の計算を所望の回数だけ反復するのに必要なアルゴリズ
ムを予めプロジラムされている。θの別々の値は記憶装
置3に保持され、連続して計算器1にクロツクされる。
各連続したデジタル形式の出力値はDAC4に接続され
る。DAC4はアナログ形式に変換して、アナログデー
タをクロツク入力5によつて制御されるレートでクロツ
クアウトする。しかして、得られる波形の周波数はクロ
ツク入力5のクロツクレートを変更することによつて選
択することができる。その限界内のθの値の数が多くな
ればなるほど、少ないときよりますます平滑になる。そ
うでなければ、DAC4のクロツクレートは一定値に固
定され、出力波形の周波数は発生器方程式の独立変数値
を適当に変更することによつて変更することができる。
二つの変形の組合わせも可能である。
【0014】図8はy=P[(3π/4)sinθ]
を使用して形成しうる波形の型を示している。図9は関
数P=[(3π/4)sinθ]から形成された波形
を示す。図7の装置の有用性が理解されるであろう。別
の例はポルトマントー関数が他の数学的関数と組合わさ
れて有用な波形を形成しうる方法を示している。図10
は関数、y=[(1/2)+sgnθ]e−θ.P
(θ)によつてえられる、過渡的、減衰波形を示す。
ポルトマントー関数の1次導関数の積、和または差を使
用して、ほとんどいかなる周期的波形も構成することが
できる。適当な係数は、いかなる振幅、マークスペース
比、DCオフセツトまたは極性をも形成することができ
る。図11の方形パルス波形は、波形の関数、 y=Asgn(cosBx).sgn[cosB(x−t)] を使用して形成することができる。以上記載した実施例
は周期的波形の形成に関連している。適当な発生器方程
式を計算器1に適用することにより、装置はすべてでな
いにしても大部分の公知のフーリエ法によつて合成しう
る波形を形成することができる。
【0015】特異的関数を考慮すると、それらを処理す
るのに通常二つの方法がある。すなわち、 (i)“各部分の連続性”によるもの (ii) “フーリエ合成”によるもの である。
【0016】第1の方法は、“関数はこの値をそこから
ここへまた他の値をそこから他の場所へ・・・”と記載
するほどのこともなく、理想的でない。第2の方法は、
一連の三角関数項または積分値を求めることを付加する
ことを含んでいる。近似誤差が積分の使用される項数ま
たは一定の範囲により現われる(截頭誤差,trucation
error)によつて生起する。フーリエ解析は二つの領域、
たとえば時間および周波数等の間でマツピングする一組
の直交形関数を形成する通常の方法である。ポルトマン
トー関数は截頭誤差なしに波形合成の別の方法を提供す
る。さらにそれらは、波形合成数学を開発する手段を提
供する。しすかしながら、いかなる数学的方法もゼロラ
イズタイムパルスの終わりにおいて価値を発生すること
を期待することはできない。たとえば、一層合理的な目
的は特異点を除いてすべての点において波形を記載する
公式を開発することである。
【0017】記載し、形成しかつ特異点において適当に
不確定になる公式が期待される。コンピユータ言語製作
者の広い視野のため、不確定性を伴う処理方法の欠点は
存在しない。波形合成法の一部はすでに記載した。第1
および第2種のポルトマントー関数は各種の台型、三角
形、ランプ、修正サイン波および不連続点のあるまたは
ない他の形状を形成するのに使用することができる。方
形波はポルトマントー関数の導関数を使用して合成する
ことができる。図7の装置はまた非周期的特異関数およ
び周波数変調波形を形成することもできる。万能的波形
合成能力は“単発”または非周期的信号の形成を必要と
する。ほとんどいかなる任意の信号も周期的および非周
期的信号の組合わせから形成することができる。非周期
的信号を構成するきわめて重要な工具は、ヘビサイド関
数またはユニツトステツプである。部分定義(piecewise
definition)は u(t)=0(−∞<t<0) u(t)=1(0<t<+∞) である。
【0018】現代の数学テキストはこの部分記載(piece
wise description) またはフーリエまたはラプラス等式
を使用している。別の形式は下記の式によつて与えられ
る。すなわち、 関数は記載し、発生しそしてt=0において不確定とな
る。異なつたソフトウエアは特異点、極性等に対する種
々のレスポンスを示す。t=0の“エラートラツプ”を
作ることは簡単なことである。“シグナム(signum) 関
数(SGN)は一層エレガントな解決法である。 SGN(−N)=−1 SGN(+N)=+1(Nが実数の場合) SGN(0)=0 ステツプ発生器関数は と書直すことができる。
【0019】この変型は、左側半面の0、右側半面の+
1およびt=0における+1/2I戻る。実際、関数は
ゼロにおける特異点を処理する方法としてヘビサイド氏
によつて最初に提案された、“標準化”されたユニツト
ステツプを達成する。ヘビサイド氏の標準化されたユニ
ツトステツプの部分記載は (1)=0 (t<0) (1)=1/2(t=0) (1)=1 (t>0) である。SGN関数は大部分のコンピユータ言語に対応
する。その存在は特異点の問題が言語製作者によつて明
らかに予測された巧妙な方法に対する指針である。ステ
ツプ発生器関数を適用することは合成されるほとんどい
かなる非周期的信号も許容する。例として、非周期的対
称パルスが関数 y=(1/2)[sgn(θ+1)−sgn(θ−1)] によつて形成される(図12参照)。周期的発生器に関
連して、非周期的発生器を調節することができる。適当
な係数はタイムシフト、非対称かつ異なつた振幅等を許
容する。
【0020】別の例において、デルタ関数の使用を考慮
している。デルタ関数は通常部分記載および定積分によ
つて与えられる。 δ(t)=∞(t<0) δ(t)=0(t≠0) 別の解は δ(t)の発生器方程式を開発することに関連して、解
析的および実際的困難性が存在する。一層有用な関数は
け計算器1によつて定義されかつ実施されることができ
る。これは“トリガ関数” T=1(t=0) T=0(t≠0) である。
【0021】関数はいくつかの形式で、周期的または非
周期的に実施することができる。非周期的トリガ関数を
実施する一つの方法は、パルス幅を短縮させることであ
る。図13は関数 y=(1/2)[sgn(θ+t)−sgn(θ−t)](t=0.005 ) のプロツトを示す。周期的トリガ関数は、関数 y=e-karccos(cosθ) を使用することにより2πの間隔でParccosin
e関数のゼロにおいてトリガを実施させることができる
(図14参照)。任意の関数たとえばf(x)=x
第1種のポルトマントー関数を掛けることができる。組
合わされた結果はxn+1の限界された範囲に亘る周期
的バージヨンとなる。組合わせの範囲はポルトマントー
の振幅および期間によつて決定されるであろう。組合わ
せの期間はポルトマントーの期間に関連する。すでに述
べたように、図7の装置によつて実施される本発明は周
波数変調波形を形成するため使用することができる。図
15および16はそのような二つの実施例である。これ
らの場合に計算器1によつて解決される発生器方程式は
それぞれ、 y=sin[AP(Bθ)]、および y=sin[AP(Bθ)e] であり、ここにAおよびBは定数である。
【0022】図15は線形FMチヤープ波形を、図16
は放物線FMチヤープ波形を示している。フーリエ法と
本発明による方法の波形合成を比較することは興味深
い。たとえば、方形波を合成するため関数y=(π/
4)sgn(sinθ)を使用することができる。方形
波に対する適当な近似もフーリエ成分、たとえば最初の
6項 を使用して合成することができる。
【0023】図17は発生器関数 y=(π/4)sgn(sinθ)(曲線A) を使用してえられた波形と、上記フーリエ項(曲線B)
を加算することによつてえられた波形を比較するもので
ある。曲線B上にひずみを明らかに見ることができる
が、リツプルのない明瞭な方形波を示す曲線Aにはひず
みは存在しない。同様の比較がランプ波形の場合に実施
することができる。図18において、曲線Aは発生器方
程式y=P(θ/2)を使用してえられた波形を示
す。 フーリエ項 を加算することによりえられた波形である曲線Bが重ね
られる。ひずみは曲線B上にも見ることができる。ひず
み減少はさらにフーリエ項を加えることによつて実施さ
れるが、これはある用途においては欠点となるかも知れ
ない全計算時間に加えられる。ある用途においては、波
形にある種のひずみを周到に導入することが望ましいこ
とがある。これは、たとえば図19の2実施例を使用し
て実施することができる。この実施例はさらにメモリ7
を備えていることを除いて図7の実施例と同じである。
このメモリ7は計算器1がアクセスすることのできる一
連のフーリエ項を記憶している。
【0024】作用において、計算器1は(第1実施例に
おけるように)メモリ2に記憶された発生器方程式の解
の連続した値を計算する。しかしながら、これらの連続
した値をDAC4に出力する前に、記憶装置7に記憶さ
れたフーリエ項の一つ以上を(予めどのようにプログラ
ムされたかに従つて)加算または減算される。例とし
て、図20は、計算器1がxの連続した値に対して方程
式、 y=(π/4)P(x)−sinx の解を求めるように配置されるときえられる波形を示し
ている。ポルトマントー項(π/4)P(x)はメモ
リ2に記憶され、フーリエ項−sinxは記憶装置7に
記憶される。第2実施例(図21)はひずみのある方形
波を示す。これは y=(π/4)sgn(sinx)+(1/11)sin11x を計算することによつて形成することができる。この最
初の発生器方程式の処理(すなわち方形波の高調波の一
つを強化すること)は“リンギング”ひずみを発生す
る。この方法によつて製造しうるひずみのある多数の波
形の数は莫大で、図20および21は何を達成しうるか
の二つの代表的を示すにすぎない。図22ないし28は
前記本発明の方法によつて形成しうる三角形およびクリ
ツプされたサイン波のような波形を示す。これらの例は
決して本発明を限定するものでなく、その用途を説明す
るため含まれているものである。
【図面の簡単な説明】
【図1】奇数の、バイポーラ三角周期関数であるP
(θ)の角度“θ”と関数“y”の関係をプロツトし
た図である。
【図2】偶数の、ユニポーラ三角周期関数であるP
(θ)の角度“θ”と関数“y”の関係をプロツトし
た図である。
【図3】奇数の、バイポーラの、ランプ、周期関数であ
るP(θ)の角度“θ”と関数“y”の関係をプロツ
トした図である。
【図4】θとdP(θ)/dθの関係をプロツトした
図である。
【図5】xとP(x)の関数をプロツトした図であ
る。。
【図6】Aが 0.5から1にまた2に変化するときの第3
種のポルトマントー関数の作用を示す図である。
【図7】本発明の第1実施例による装置のブロツク線図
である。
【図8】y=P[(3π/4)sinθ]を使用して
形成しうる波形の型を示す図である。
【図9】関数P=[(3π/4)sinθ]から形成
された波形を示す図である。
【図10】関数y=[(1/2)+sgnθ]e−θ
(θ)によつてえられる、過渡的、減衰波形を示す
図である。
【図11】関数y=Asgn.(cosBx).sgn
[cosB(x−t)]を使用しえられた方形パルス波
形を示す図である。
【図12】関数y=(1/2)[sgn(θ+1)−s
gn(θ−1)]を使用してえられた非周期的対称パル
スを示す図である。
【図13】関数y=(1/2)[sgn(θ+t)−s
gn(θ−t)]を使用してえられたトリガ関数であ
る。
【図14】2πの間隔でParccos関数の0におい
てトリガを実施させる関数の図である。
【図15】線形FMチヤープ波形を示す図である。
【図16】放物線FMチヤープ波形を示す図である。
【図17】発生器関数およびフーリエ項を加算すること
によつてえられた曲線の波形の図である。
【図18】発生器関数およびフーリエ項を加算すること
によりえられた別の曲線の波形の図である。
【図19】本発明の第2実施例による装置のブロツク線
図である。
【図20】図19の装置によつて形成される波形の例を
示す図である。
【図21】図19の装置によつて形成される別の波形の
例を示す図である。
【図22】本発明の方法を使用して形成される三角形波
形の図である。
【図23】本発明の方法を使用して形成される別の三角
形波形の図である。
【図24】本発明の方法を使用して形成される台型波形
の図である。
【図25】本発明の方法を使用して形成される別の波形
を示す図である。
【図26】本発明の方法を使用して形成される截頭波形
を示す図である。
【図27】本発明の方法を使用して形成されるさらに別
の波形を示す図である。
【図28】本発明の方法を使用して形成されるなお別の
波形を示す図である。
【符号の説明】
1 計算器 2 メモリ 3 記憶装置 4 デジタルアナログコンバータ 6 アドレスライン
─────────────────────────────────────────────────────
【手続補正書】
【提出日】平成4年6月24日
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】0004
【補正方法】変更
【補正内容】
【0004】たとえば、もし計算がarcsin(si
n89°)が計算器で実施されるならば、答は89°で
ある。しかしながら、arcsin(sin91°)
また89°として表示される。Arcsin(sin1
35°)は45°として、またarcsin(sin1
80°)は0°として表示され、そこでarcsin
(sinθ)がつねにθに等しくなるとは限らない。同
じ現象がarccos(cosθ)およびarctan
(tanθ)に対しても発生し、これを計算器に使用し
て容易に立証することができる。
【手続補正2】
【補正対象書類名】明細書
【補正対象項目名】0008
【補正方法】変更
【補正内容】
【0008】第1種のポルトマントー関数の導関数もま
た波形形成に使用されることを示している。図4には、
θと の関係がプロツトされている。 上の式において はsgn(cosθ)と同じである。ここにsgn x
はxの値が正のとき+1で、xの値が負のとき−1で、
0のとき0である。 またはsgn(cosθ)を計算するコンピユータは−
πから+πの値に対して図4の波形を形成する。この波
形は振幅が1に等しい方形波である。
【手続補正3】
【補正対象書類名】明細書
【補正対象項目名】0014
【補正方法】変更
【補正内容】
【0014】図8はy=P[(3π/4)sinθ]
を使用して形成しうる波形の型を示している。図9は関
数P[(3π/4)sinθ]から形成された波形を
示す。図7の装置の有用性が理解されるであろう。別の
例はポルトマントー関数が他の数学的関数と組合わされ
て有用な波形を形成しうる方法を示している。図10は
関数、y=[(1/2)+sgnθ]e−θ・P
(θ)によつてえられる、過渡的、減衰波形を示す。
ポルトマントー関数の1次導関数の積、和または差を使
用して、ほとんどいかなる周期的波形も構成することが
できる。適当な係数は、いかなる振幅、マークスペース
比、DCオフセツトまたは極性をも形成することができ
る。図11の方形パルス波形は、波形の関数、 y=Asgn(cosBx)・sgn[cosB(x−t)+P] を使用して形成することができる。以上記載した実施例
は周期的波形の形勢に関連している。適当な発生器方程
式を計算器1に適用することにより、装置はすべてでな
いにしても大部分の公知のフーリエ法によつて合成しう
る波形を形成することができる。
【手続補正4】
【補正対象書類名】明細書
【補正対象項目名】0018
【補正方法】変更
【補正内容】
【0018】現代の数学テキストはこの部分記載(piece
wise description) またはフーリエまたはラプラス等式
を使用している。別の形式は下記の式によつて与えられ
る。すなわち、 関数は記載し、発生しそしてt=0において不確定とな
る。異なつたソフトウエアは特異点、極性等に対する種
々のレスポンスを示す。t=0の“エラートラツプ”を
作ることは簡単なことである。“シグナム(signum)”関
数(SGN)は一層エレガントな解決法である。 SGN(−N)=−1 SGN(+N)=+1(Nが実数の場合) SGN(0)=0 ステツプ発生関数は と書直すことができる。
【手続補正5】
【補正対象書類名】明細書
【補正対象項目名】0020
【補正方法】変更
【補正内容】
【0020】別の例において、デルタ関数の使用を考慮
している。デルタ関数は通常部分記載および定積分によ
つて与えられる。δ(t)=∞(t=0) δ(t)=0(t≠0) 別の解は δ(t)の発生器方程式を開発することに関連して、解
析的および実際的困難性が存在する。一層有用な関数は
計算器1によつて定義されかつ実施されることができ
る。これは“トリガ関数”T(t)=1(t=0) T(t)=0(t≠0) である。
【手続補正6】
【補正対象書類名】明細書
【補正対象項目名】0021
【補正方法】変更
【補正内容】
【0021】関数はいくつかの形式で、周期的または非
周期的に実施することができる。非周期的トリガ関数を
実施する一つの方法は、パルス幅を短縮させることであ
る。図13は関数 y=(1/2)[sgn(θ+t)−sgn(θ−t)](t=0.005 ) のプロツトを示す周期的トリガ関数は、関数 を使用することにより2πの間隔でParccosin
e関数のゼロにおいてトリガを実施させることができる
(図14参照)。任意の関数たとえばf(x)=x
第1種のポルトマントー関数を掛けることができる。組
合わされた結果はxn+1の限界された範囲に亘る周期
的バージヨンとなる。組合わせの範囲はポルトマントー
の振幅および期間によつて決定されるであろう。組合わ
せの期間はポルトマントーの期間に関連する。すでに述
べたように、図7の装置によつて実施される本発明は周
波数変調波形を形成するため使用することができる。図
15および16はそのような二つの実施例である。これ
らの場合に計算器1によつて解決される発生器方程式は
それぞれ、 であり、ここにAおよびBは定数である。
【手続補正7】
【補正対象書類名】明細書
【補正対象項目名】0023
【補正方法】変更
【補正内容】
【0023】図17は発生器関数 y=(π/4)sgn(sinθ)(曲線A) を使用してえられた波形と、上記フーリエ項(曲線B)
を加算することによつてえられた波形を比較するもので
ある。曲線B上にひずみを明らかに見ることができる
が、リツプルのない明瞭な方形波を示す曲線Aにはひず
みは存在しない。同様の比較がランプ波形の場合に実施
することができる。図18において、曲線Aは発生器方
程式y=P(θ/2)を使用してえられた波形を示
す。フーリエ項 を加算することによりえられた波形である曲線Bが重ね
られる。ひずみは曲線B上にも見ることができる。ひず
み減少はさらにフーリエ項を加えることによつて実施さ
れるが、これはある用途においては欠点となるかも知れ
ない全計算時間に加えられる。ある用途においては、波
形にある種のひずみを周到に導入することが望ましいこ
とがある。これは、たとえば図19の2実施例を使用し
て実施することができる。この実施例はさらにメモリ7
を備えていることを除いて図7の実施例と同じである。
このメモリ7は計算器1がアクセスすることのできる一
連のフーリエ項を記憶している。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ピーター・ジエイ.ホルネス イギリス国.エスジイ1・2デイエイ.ハ ートフオードシヤー.ステイーブンエイ ジ.シツクス・ヒルズ・ウエイ.(番地そ の他表示なし).ブリテツシユ・エアロス ペース・デフエンス・リミテツド・ダイナ ミツクス・デイビイジヨン内

Claims (10)

    【特許請求の範囲】
  1. 【請求項1】 波形形成装置において、前記装置が 発生器方程式の独立変数を形成する一組の入力値をう
    け、連続した出力値を発生し各出力値が発生器方程式の
    計算された結果を含む計算器装置(1) 、 および、一連の出力値を供給して波形の連続した部分を
    画定する装置(4)を有する波形形成装置。
  2. 【請求項2】 発生器方程式は逆三角関数を含む請求項
    1に記載の波形形成装置。
  3. 【請求項3】 計算器装置(1) はフーリエ項の付加によ
    り出力値を変更するように作用しうる請求項1または2
    に記載の波形形成装置。
  4. 【請求項4】 フーリエ項は計算器装置(1) に接続され
    た第1メモリ(7) に記憶されている請求項3に記載の波
    形形成装置。
  5. 【請求項5】 連続した出力値を供給する装置はデジタ
    ル対アナログ変換器(DAC)(4)である請求項1から4のい
    ずれか一項に記載の波形形成装置。
  6. 【請求項6】 計算器装置(1) に接続され発生器方程式
    を記憶する第2メモリ(2) を有する請求項1から5に記
    載の装置。
  7. 【請求項7】 計算器装置(1) に接続され一組の入力値
    を記憶する第3メモリ(3) を有する請求項1から6に記
    載の装置。
  8. 【請求項8】 波形を形成する方法であつて、前記方法
    が、 発生器方程式の独立変数を形成する一組の入力値をうけ
    ること、 各入力値に対応する発生器方程式の計算された結果を含
    む連続した出力値を発生すること、 一連の出力値を供給して波形の連続した部分を画定する
    ことの各工程を有する波形形成方法。
  9. 【請求項9】 発生器方程式は逆三角関数を含む項を有
    する請求項7に記載の波形形成方法。
  10. 【請求項10】 フーリエ項の付加により連続した出力
    値を変化する工程を含む請求項7または9に記載の方
    法。
JP4096856A 1991-04-19 1992-04-16 波形形成装置 Pending JPH05307468A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
GB919108467A GB9108467D0 (en) 1991-04-19 1991-04-19 Waveform generation
GB9108467:3 1991-04-19

Publications (1)

Publication Number Publication Date
JPH05307468A true JPH05307468A (ja) 1993-11-19

Family

ID=10693626

Family Applications (1)

Application Number Title Priority Date Filing Date
JP4096856A Pending JPH05307468A (ja) 1991-04-19 1992-04-16 波形形成装置

Country Status (5)

Country Link
US (1) US5483473A (ja)
EP (1) EP0509713B1 (ja)
JP (1) JPH05307468A (ja)
DE (1) DE69232888D1 (ja)
GB (1) GB9108467D0 (ja)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9321367D0 (en) * 1993-10-15 1993-12-08 British Aerospace Data compression
US5631926A (en) * 1991-04-09 1997-05-20 Holness; Peter J. Apparatus for compressing data by providing a coded message indicative of the data and method of using same
GB9321365D0 (en) * 1993-10-15 1993-12-08 British Aerospace Waveform processing
GB9321366D0 (en) * 1993-10-15 1993-12-08 British Aerospace Digital waveform generation
US6397173B1 (en) 1999-05-03 2002-05-28 Astec International Llc Application specific waveform generator
US7774145B2 (en) * 2003-08-01 2010-08-10 Dexcom, Inc. Transcutaneous analyte sensor
CN103257270B (zh) * 2013-04-28 2016-02-17 北京交通大学 基于傅里叶级数的锯齿载波混沌spwm频谱分析方法
US20230057479A1 (en) * 2021-08-20 2023-02-23 Tektronix, Inc. Digital twin with machine learning waveform generation including parameter control for device under test emulation

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1363073A (en) * 1970-07-17 1974-08-14 Solartron Electronic Group Generation of trigonometrical and other functions by interpolation between point values
US3922536A (en) * 1974-05-31 1975-11-25 Rca Corp Multionomial processor system
US3962573A (en) * 1975-06-12 1976-06-08 Rockwell International Corporation Digital function generator
US4164022A (en) * 1978-05-05 1979-08-07 Sperry Rand Corporation Electronic digital arctangent computational apparatus
JPS5865493A (ja) * 1981-10-15 1983-04-19 松下電器産業株式会社 波形発生装置
US4710891A (en) * 1983-07-27 1987-12-01 American Telephone And Telegraph Company, At&T Bell Laboratories Digital synthesis technique for pulses having predetermined time and frequency domain characteristics
JPS62501997A (ja) * 1985-02-01 1987-08-06 アナロジック・コ−ポレ−ション 電気的波形発生器
JP2504102B2 (ja) * 1988-02-17 1996-06-05 日本電気株式会社 逆三角関数演算装置
JPH02184970A (ja) * 1989-01-11 1990-07-19 Ricoh Co Ltd 3次式演算装置
US5068816A (en) * 1990-02-16 1991-11-26 Noetzel Andrew S Interplating memory function evaluation

Also Published As

Publication number Publication date
EP0509713A2 (en) 1992-10-21
DE69232888D1 (de) 2003-02-13
EP0509713A3 (ja) 1995-04-12
US5483473A (en) 1996-01-09
EP0509713B1 (en) 2003-01-08
GB9108467D0 (en) 1991-06-05

Similar Documents

Publication Publication Date Title
Dolson The phase vocoder: A tutorial
Karl An introduction to digital signal processing
Sundararajan The discrete Fourier transform: theory, algorithms and applications
Guillot et al. Continuation of quasi-periodic solutions with two-frequency harmonic balance method
JPS6210440B2 (ja)
Sundararajan Fourier Analysis--A Signal Processing Approach
JPH05307468A (ja) 波形形成装置
JPS5853351B2 (ja) 音声合成装置
Fulop et al. Separation of components from impulses in reassigned spectrograms
Belmont Nonuniform sampling specifically for finite-length data
Schottstaedt An introduction to FM
Essl Iterative phase functions on the circle and their projections: Connecting circle maps, waveshaping, and phase modulation
Caporale et al. Frequency warping biorthogonal frames
JP2004206254A (ja) フーリエ変換装置、フーリエ変換方法、計算機ホログラム生成装置及び計算機ホログラム生成方法、並びに回折光学素子の製造装置
Thain et al. Simulating phase noise in phase-locked loops with a circuit simulator
Emresoy et al. Weighted least-squares implementation of Cohen-Posch time-frequency distributions with specified conditional and joint moment constraints
Olejniczak The hartley transform
Lesnikov et al. Recursive numerically-controlled polynomial phase signal oscillator
Wakefield Chromagram visualization of the singing voice.
Buldakov et al. Local and far-field surface elevation around a vertical cylinder in unidirectional steep wave groups
Yeh et al. The expected amplitude of overlapping partials of harmonic sounds
Sundararajan et al. The Discrete Fourier Transform
Sauter et al. Efficient estimation of barycentered relative time delays for distant gravitational wave sources
Lazzarini et al. Theory and practice of higher-order frequency modulation synthesis
Sang The self-duality of discrete short-time Fourier transform and its applications