JPH05267129A - Mask for x-ray exposure and manufacture thereof - Google Patents

Mask for x-ray exposure and manufacture thereof

Info

Publication number
JPH05267129A
JPH05267129A JP6587092A JP6587092A JPH05267129A JP H05267129 A JPH05267129 A JP H05267129A JP 6587092 A JP6587092 A JP 6587092A JP 6587092 A JP6587092 A JP 6587092A JP H05267129 A JPH05267129 A JP H05267129A
Authority
JP
Japan
Prior art keywords
film
ray
mask
substrate
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP6587092A
Other languages
Japanese (ja)
Inventor
Masamitsu Ito
正光 伊藤
Shinji Sugihara
真児 杉原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP6587092A priority Critical patent/JPH05267129A/en
Publication of JPH05267129A publication Critical patent/JPH05267129A/en
Pending legal-status Critical Current

Links

Landscapes

  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

PURPOSE:To prevent the positional displacement of an X-ray absorber by forming an X-ray absorber pattern, an X-ray transmission film supporting the pattern, an X-ray mask supporter fixing the outer circumference of the transmission film, a reinforcing frame reinforcing the supporter and a solid-phase bonding layer containing Cr formed between the reinforcing frame and the supporter. CONSTITUTION:A Cr film 4 is deposited on the rear of an Si substrate 1 by a vacuum deposition device using electron beams, and a resist pattern is formed at the central section of the Cr film 4 through a normal photolithographic technique. A W film 6 is deposited on an aluminum oxide film 3 by employing a magnetron DC sputtering device. An Al2O3 film 7 is deposited on the W film 6, and a C film 8 as a protective film is deposited thereon. A reinforcing frame 9 composed of silicon and the Si substrate 1 as a mask supporter are joined through diffusion joining through the Cr film 4. The upper section of the C film 8 is coated with a chemical amplification type resist as an electron beam resist 10, and a desired pattern is acquired through drawing.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は、X線マスクに係わり、
特に補強枠の接合の改良をはかったX線露光用マスク及
びその製造方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an X-ray mask,
In particular, the present invention relates to an X-ray exposure mask with improved bonding of a reinforcing frame and a method for manufacturing the same.

【0002】[0002]

【従来の技術】近年、光露光によるパターン微細化の限
界を打破するものとして、光に比べて波長の短いX線を
利用したX線リソグラフィーが注目されている。このX
線リソグラフィーでは光を用いた露光法とは異なり、所
定のパターンを縮小させて転写するのではなく、X線源
と露光対象物との間に、X線を選択的に透過するX線マ
スクを配置し、このX線マスクを通してX線を照射する
ことにより露光対象物表面に転写パターンを形成する、
1:1の転写方式が採用されている。
2. Description of the Related Art In recent years, X-ray lithography that uses X-rays having a shorter wavelength than light has attracted attention as a means of overcoming the limit of pattern miniaturization by light exposure. This X
Unlike the exposure method using light in line lithography, an X-ray mask that selectively transmits X-rays is not provided between the X-ray source and the object to be exposed, instead of reducing and transferring a predetermined pattern. By arranging and irradiating X-ray through this X-ray mask, a transfer pattern is formed on the surface of the exposure object,
A 1: 1 transfer method is used.

【0003】この等倍転写方式では、X線マスクのパタ
ーンの寸法精度、位置精度がそのままデバイス精度にな
るため、X線マスクのパターンにはデバイスの最小線幅
の10分の1程度の寸法精度、位置精度が要求される。
このために、X線リソグラフィーの実現のためには、X
線マスクの構造及び、製造方法の開発が、最も重要な鍵
となっている。
In this equal-magnification transfer system, the dimensional accuracy and the positional accuracy of the X-ray mask pattern directly become the device accuracy. Therefore, the X-ray mask pattern has a dimensional accuracy of about 1/10 of the minimum line width of the device. , Position accuracy is required.
Therefore, in order to realize X-ray lithography, X
The development of the line mask structure and manufacturing method is the most important key.

【0004】X線マスクは、一般的には次のような構造
を有している。即ち、リング状の補強枠に接着されたマ
スク支持体上にX線に対する吸収率が特に小さいX線透
過性の材料からなる薄膜を有し、このX線透過性薄膜上
にX線に対する吸収率が大きい材料からなるマスクパタ
ーン(X線吸収体パターン)を形成した構造となってい
る。マスク支持体は、X線透過性薄膜が極めて薄く機械
的に弱いので、これを支持するために設けられ、補強枠
はX線透過性薄膜の持つ引っ張り応力によりマスク支持
体が変形するのを防止するために設けられる。従来、こ
のようなX線マスクは、図3(a)〜(f)に示すよう
な方法で製造されていた。
The X-ray mask generally has the following structure. That is, a thin film made of an X-ray transmissive material having a particularly low X-ray absorptivity is provided on a mask support adhered to a ring-shaped reinforcing frame, and the X-ray absorptivity is provided on the X-ray transmissive thin film. Has a structure in which a mask pattern (X-ray absorber pattern) made of a large material is formed. Since the X-ray transparent thin film is extremely thin and mechanically weak, the mask support is provided to support it, and the reinforcing frame prevents the mask support from being deformed by the tensile stress of the X-ray transparent thin film. It is provided to do. Conventionally, such an X-ray mask has been manufactured by a method as shown in FIGS.

【0005】まず、LPCVD法により図3(a)に示
すようにSi基板(マスク支持体)1上に、膜厚1μm
のSiC等のX線透過性薄膜2を形成する。次にSi基
板1の裏面にも同様にSiC膜2′を形成する。X線透
過性薄膜は、X線を透過し且つアライメント光(可視
光)に対する透過性に優れ、引っ張り応力を有する自立
支持膜であることが要求される。その材料として、現在
のところSiCの他に、BN、Si、SiN、ダイヤモ
ンド等が報告されている。
First, as shown in FIG. 3A, a film thickness of 1 μm is formed on a Si substrate (mask support) 1 by the LPCVD method.
X-ray transparent thin film 2 such as SiC is formed. Next, a SiC film 2'is similarly formed on the back surface of the Si substrate 1. The X-ray transparent thin film is required to be a self-supporting film that transmits X-rays, has excellent transparency to alignment light (visible light), and has tensile stress. At present, BN, Si, SiN, diamond and the like have been reported as the material in addition to SiC.

【0006】ついで、図3(b)に示すように、裏面側
のSiC膜2′の中央部を選択的に除去した後、表面側
のSiC膜2上にX線吸収体としてW膜6を形成する。
X線吸収体には露光波長(1nm程度)におけるX線吸
収係数が大きいこと、内部応力が低いこと、微細加工が
容易でるあることが要求される。このようなX線吸収体
材料として、現在のところWの他にAu、Ta、WNx
等が報告されている。X線吸収体の内部応力について
は、1×107 N/m2 程度の低応力であることが不可
欠であり、応力制御が可能なスパッタリング法により内
部応力を制御して堆積した後、Arイオン注入により応
力の微調整を行なう。応力はSi基板1の反りから求め
られる。
Next, as shown in FIG. 3B, after the central portion of the SiC film 2'on the back surface side is selectively removed, a W film 6 as an X-ray absorber is formed on the SiC film 2 on the front surface side. Form.
The X-ray absorber is required to have a large X-ray absorption coefficient at an exposure wavelength (about 1 nm), low internal stress, and easy microfabrication. As such X-ray absorber materials, Au, Ta, WNx are currently available in addition to W.
Etc. have been reported. The internal stress of the X-ray absorber is 1 × 10 7 N / m 2 It is indispensable that the stress is as low as possible, and the stress is finely adjusted by Ar ion implantation after depositing while controlling the internal stress by a sputtering method capable of controlling stress. The stress is obtained from the warp of the Si substrate 1.

【0007】次に、図3(c)に示すようにW膜6上に
電子ビーム描画用のレジスト10を塗布した後、電子ビ
ーム描画装置によりパターン描画を行い、レジスト10
に所望のパターンを形成する。ついで、図3(d)に示
すように、ドライエッチング法により、レジスト10を
マスクとしてW膜6を選択エッチングする。そして、図
3(e)に示すように水酸化カリウム(KOH)溶液等
を用いた液相エッチング法により、裏面のSiC膜2′
をマスクとしてSi基板1をエッチングする(バックエ
ッチング)。最後に、図3(f)に示すようにリング状
のパイレックスガラスからなる補強枠9を、接着剤11
によりSiC膜2′に接着する。以上のような工程を経
てX線マスクが製造されてきた。このようなX線マスク
製造プロセスの中で、最後の補強枠の接着の際にX線吸
収体パターンの位置ずれが発生することが大きな問題と
なっていた。
Next, as shown in FIG. 3C, after a resist 10 for electron beam drawing is applied on the W film 6, pattern drawing is performed by an electron beam drawing device to form the resist 10
To form a desired pattern. Then, as shown in FIG. 3D, the W film 6 is selectively etched by dry etching using the resist 10 as a mask. Then, as shown in FIG. 3E, the SiC film 2'on the back surface is formed by a liquid phase etching method using a potassium hydroxide (KOH) solution or the like.
Using the as a mask, the Si substrate 1 is etched (back etching). Finally, as shown in FIG. 3 (f), the reinforcing frame 9 made of ring-shaped Pyrex glass is attached to the adhesive 11
To adhere to the SiC film 2 '. An X-ray mask has been manufactured through the above steps. In such an X-ray mask manufacturing process, it has been a serious problem that the X-ray absorber pattern is displaced when the last reinforcing frame is bonded.

【0008】一方、補強枠の接着を、バックエッチング
の前に行なうことも考えられるが、X線透過膜の応力に
より、弾性体である接着剤が変形してしまうため、バッ
クエッチングの際に、X線マスク支持体が変形し、X線
吸収体パターンの位置ずれが発生してしまう。
On the other hand, it is possible to bond the reinforcing frame before the back etching. However, since the adhesive, which is an elastic body, is deformed by the stress of the X-ray permeable film, the back frame may be damaged during the back etching. The X-ray mask support is deformed, and the X-ray absorber pattern is displaced.

【0009】[0009]

【発明が解決しようとする課題】前述したように、X線
マスク支持体と補強枠の接着の際、または補強枠接着後
のバックエッチングの際に、X線マスク支持体の変形が
生じ、X線吸収体パターンの位置ずれが発生するという
問題がある。
As described above, when the X-ray mask support is bonded to the reinforcing frame, or when the back etching is performed after the reinforcing frame is bonded, the X-ray mask support is deformed, and There is a problem that displacement of the line absorber pattern occurs.

【0010】本発明は、上記事情に鑑みてなされたもの
であり、その目的とするところは、X線マスクの製造工
程において、X線吸収体パターンの位置ずれを無くし、
且つ、製造工程も簡単となるX線マスク及びその製造方
法を提供することである。
The present invention has been made in view of the above circumstances. An object of the present invention is to eliminate the positional shift of the X-ray absorber pattern in the manufacturing process of the X-ray mask.
Moreover, it is an object of the present invention to provide an X-ray mask and a method for manufacturing the same, which manufacturing process is simple.

【0011】[0011]

【課題を解決するための手段】上記課題を解決するため
に、第1の発明では、X線吸収体パターンと、これを支
持するX線透過膜と、この膜の外周を固定するX線マス
ク支持体と、この支持体を補強する補強枠と、この補強
枠と前記支持体との間に設けられたCrを含む固相接合
層とを備えたX線露光用マスクを提供することを特徴と
する。
In order to solve the above-mentioned problems, in the first invention, an X-ray absorber pattern, an X-ray transparent film supporting the X-ray absorber pattern, and an X-ray mask for fixing the outer periphery of the film. An X-ray exposure mask provided with a support, a reinforcing frame for reinforcing the support, and a solid phase bonding layer containing Cr provided between the reinforcing frame and the support. And

【0012】また、第2の発明では、基板の主面上にX
線透過膜を形成する工程と、このX線透過膜上にX線吸
収体層を形成する工程と、基板の裏面にCrを含有する
金属膜を形成する工程と、この金属膜を外周部を残して
除去する工程と、この金属膜が裏面に設けられた前記基
板と補強枠とを重ねて加圧し、前記金属膜と基板及び補
強枠間を固相接合する工程と、前記X線吸収金属層をパ
ターニングする工程と、基板の裏面から、前記金属膜を
マスクとして基板をエッチングする工程とを備えたX線
露光用マスクの製造方法を提供することを特徴とする。
In the second invention, X is formed on the main surface of the substrate.
A step of forming a radiation transparent film, a step of forming an x-ray absorber layer on the x-ray transparent film, a step of forming a metal film containing Cr on the back surface of the substrate, and a step of forming the metal film on the outer peripheral portion. A step of removing and leaving the metal film, a step of superposing and pressing the substrate and the reinforcing frame on which the metal film is provided on the back surface, and performing solid-phase bonding between the metal film and the substrate and the reinforcing frame; A method for manufacturing an X-ray exposure mask, comprising: a step of patterning a layer; and a step of etching the substrate from the back surface of the substrate using the metal film as a mask.

【0013】[0013]

【作用】本発明では、従来の接着剤の様なヤング率の小
さい弾性体が存在しない、固相接合層を用いて、基板に
補強枠を接合することにより、従来生じていた、X線吸
収体の位置ずれを防止することができる。また、この固
相接合層として、エッチング耐性に優れたCr層を用い
るため、Cr層を、接合及びバックエッチング用のマス
クに兼用することができ、製造方法の簡略化が可能とな
る。
In the present invention, the X-ray absorption which has been conventionally caused by joining the reinforcing frame to the substrate by using the solid phase joining layer which does not have an elastic body having a small Young's modulus unlike the conventional adhesive. It is possible to prevent displacement of the body. Further, since the Cr layer having excellent etching resistance is used as the solid phase bonding layer, the Cr layer can be used also as a mask for bonding and back etching, and the manufacturing method can be simplified.

【0014】[0014]

【実施例】以下、本発明の実施例を、図面を参照しなが
ら説明する。図1は本発明の実施例に係わるX線マスク
の製造工程を示す断面図である。
Embodiments of the present invention will be described below with reference to the drawings. FIG. 1 is a sectional view showing a manufacturing process of an X-ray mask according to an embodiment of the present invention.

【0015】まず、高周波加熱方式のLPCVD装置を
用い、グラファイト表面にSiCをコーティングしたサ
セプタ上に、面方位(100)の両面研磨した3インチ
Si基板1を設置し、1100℃においてHCIガスに
よりSi基板の気相エッチングを施すことにより、Si
基板1上に存在する自然酸化膜及び重金属類の汚染物を
除去した。これにより、Si基板表面清浄化処理が完了
する。
First, using a high-frequency heating type LPCVD apparatus, a 3-inch Si substrate 1 having a surface orientation of (100) double-side polished was placed on a susceptor having a graphite surface coated with SiC. By performing vapor phase etching of the substrate, Si
The natural oxide film and heavy metal contaminants existing on the substrate 1 were removed. This completes the Si substrate surface cleaning process.

【0016】次に、図1(a)に示すごとく、Si原料
としてシラン(SiH4 )、C原料としてアセチレン
(C2 2 )、添加ガスとして塩化水素(HCl)、キ
ャリアガスとして水素(H2 )の各ガスを供給して基板
温度1100℃にて、Si基板1上にSiC膜2を1μ
m堆積した。そして図1(b)に示すごとく、反射防止
膜として反応性スパッタリング法により酸化アルミニウ
ム膜3を、90nmの厚さに形成した。スパッタターゲ
ットには酸化アルミニウムを用い、スパッタリングガス
にはArとO2 の混合ガスを用いた。
Next, as shown in FIG. 1A, silane (SiH 4 ) is used as a Si raw material, acetylene (C 2 H 2 ) is used as a C raw material, hydrogen chloride (HCl) is added gas, and hydrogen (H) is used as a carrier gas. 2 ) Each gas is supplied and the SiC film 2 is 1 μm on the Si substrate 1 at the substrate temperature of 1100 ° C.
m deposited. Then, as shown in FIG. 1B, an aluminum oxide film 3 having a thickness of 90 nm was formed as an antireflection film by a reactive sputtering method. Aluminum oxide was used as the sputtering target, and a mixed gas of Ar and O 2 was used as the sputtering gas.

【0017】次に、図1(c)を示すごとく、電子ビー
ムを用いた真空蒸着装置にてSi基板1の裏面にCr膜
4を0.1μmの厚さに堆積させた後、通常のフォトリ
ソグラフィ技術によりCr膜4の中央部に、30mm角
の開口部を有するレジストパターン5を形成した。そし
て図1(d)に示すごとく、硝酸第二セリウムアンモニ
ウム溶液を用い、レジスト5をマスクとしてCr膜4の
液相エッチングを行なった。
Next, as shown in FIG. 1 (c), a Cr film 4 is deposited to a thickness of 0.1 μm on the back surface of the Si substrate 1 by a vacuum vapor deposition apparatus using an electron beam, and then a normal photo film is used. A resist pattern 5 having a 30 mm square opening was formed in the center of the Cr film 4 by the lithography technique. Then, as shown in FIG. 1D, liquid phase etching of the Cr film 4 was performed using a ceric ammonium nitrate solution and using the resist 5 as a mask.

【0018】次に、図1(e)に示すように、マグネト
ロンDCスパッタリング装置を用いて、酸化アルミニウ
ム膜3上にW膜6を0.5μmの厚さに堆積させた。ス
パッタリングの電力は1kWとし、ガス圧力は密度の大
きいW膜を形成できるように低圧力側とし、また応力が
ゼロ近くなるように3mTorrとした。形成したW膜6の
応力はSi基板1の反りから測定した結果、3×107
N/m2 であった。そこで、エネルギー180keV,
注入量3×1015 icns/cm2 の条件で、W膜6にAr
のイオン注入を行ない、W膜6の応力をゼロにした。
Next, as shown in FIG. 1 (e), a W film 6 was deposited to a thickness of 0.5 μm on the aluminum oxide film 3 using a magnetron DC sputtering device. The sputtering power was set to 1 kW, the gas pressure was set to a low pressure side so that a W film having high density could be formed, and 3 mTorr so that the stress was close to zero. The stress of the formed W film 6 is 3 × 10 7 as a result of measurement from the warp of the Si substrate 1.
N / m 2 Met. Therefore, the energy of 180 keV,
Injection rate 3 × 10 15 icns / cm 2 Under the conditions of
Was carried out to reduce the stress of the W film 6 to zero.

【0019】次にW膜6上に、スパッタリング法により
エッチングマスクとしてのAl2 3 膜7を0.05μ
mの厚さに堆積させた後、同様にスパッタリング法によ
り保護膜としてのC膜8を0.03μmの厚さに堆積し
た(図1(e))。
Next, an Al 2 O 3 film 7 as an etching mask is formed on the W film 6 by a sputtering method in an amount of 0.05 μm.
After being deposited to a thickness of m, a C film 8 as a protective film was similarly deposited to a thickness of 0.03 μm by the sputtering method (FIG. 1 (e)).

【0020】次に、図1(f)に示すごとく、シリコン
からなる補強枠9とマスク支持体であるSi基板1をC
r膜4を介して拡散接合により接合した。この時、補強
枠9を弾性体である厚さ1mmのゴムを敷いたステージ
上に保持し、Cr膜4を介して、Si基板1の接合面と
合わせ、温度200℃で、1.0kg/cm2 の加圧を
1分間加えた。
Next, as shown in FIG. 1 (f), the reinforcing frame 9 made of silicon and the Si substrate 1 which is the mask support are placed in a C
It joined by diffusion joining via the r film 4. At this time, the reinforcing frame 9 is held on a stage laid with a 1 mm-thick rubber, which is an elastic body, and is joined to the bonding surface of the Si substrate 1 via the Cr film 4, and the temperature is 200 ° C. and 1.0 kg / cm 2 Was applied for 1 minute.

【0021】次に、図2(g)に示す如く、C膜8上に
電子ビームレジスト10として化学増幅型のレジスト
(SAL601)を0.05μmの厚さに塗布し、電子
ビーム描画装置によりドーズ量13μc/cm2 で描画
し、現像を行なって、所望のパターン(最小線幅0.1
5μm)を形成した。この時、0.36規定のアルカリ
現像液を用いて現像を行なったが、Al2 3 膜7には
全く変化は見られなかった。
Next, as shown in FIG. 2G, a chemically amplified resist (SAL601) as an electron beam resist 10 is applied on the C film 8 to a thickness of 0.05 μm, and a dose is applied by an electron beam drawing apparatus. Amount 13 μc / cm 2 Draw and develop to obtain the desired pattern (minimum line width 0.1
5 μm) was formed. At this time, development was performed using a 0.36N alkaline developer, but no change was observed in the Al 2 O 3 film 7.

【0022】次に、図2(h)に示すように、マグネト
ロンエッチング装置を用い、レジスト10をマスクとし
てC膜8をO2 ガスでエッチングした後、このC膜8を
マスクに用いてAl2 3 膜7をエッチングした。そし
て、図2(i)に示す如く、エッチングガスにSF6
CHF3 を用いてAl2 3 パターン7をマスクとし
て、W膜6の異方性エッチングを行なった。この時の圧
力は30mTorr、印加電力は50Wとした。
Next, as shown in FIG. 2 (h), the C film 8 is etched with O 2 gas using the resist 10 as a mask by using a magnetron etching apparatus, and then the C film 8 is used as a mask for Al 2 The O 3 film 7 was etched. Then, as shown in FIG. 2I, the etching gas is SF 6 +.
The W film 6 was anisotropically etched using CHF 3 with the Al 2 O 3 pattern 7 as a mask. The pressure at this time was 30 mTorr, and the applied power was 50 W.

【0023】次に、図2(j)に示す如く、95℃に加
熱した温度30%の水酸化カリウム溶液を用いて、Cr
膜4をマスクとし、Si基板1の液相エッチングを行な
った。これにより、Si基板内に、30mm角の開孔部
を形成した。
Next, as shown in FIG. 2 (j), a 30% potassium hydroxide solution heated to 95 ° C. was used to remove Cr.
Liquid phase etching of the Si substrate 1 was performed using the film 4 as a mask. As a result, a 30 mm square opening was formed in the Si substrate.

【0024】以上の工程により形成したX線マスクの、
X線吸収体パターンの設計値からの位置ずれをレーザー
干渉測長器により測定したところ、0.03μm(3
σ)以下という格段に小さい値を達成できた。これは、
機械的強度が低い接着剤がX線透過膜の応力などにより
変形することによるX線吸収体パターンの位置歪みや、
接着剤が硬化する時に伴う接着剤の体積変化によるX線
吸収体パターンの位置歪み、さらに、接着剤の厚さむら
によるX線マスクの平坦度悪化が、Crを用いることに
よりなくなり、高精度のX線マスクが製作できたのであ
る。
The X-ray mask formed by the above steps,
The displacement of the X-ray absorber pattern from the design value was measured by a laser interferometer, and found to be 0.03 μm (3
A significantly smaller value of σ) or less was achieved. this is,
Positional distortion of the X-ray absorber pattern due to deformation of the adhesive with low mechanical strength due to stress of the X-ray transparent film,
By using Cr, the positional distortion of the X-ray absorber pattern due to the volume change of the adhesive when the adhesive is hardened and the flatness of the X-ray mask due to the uneven thickness of the adhesive are eliminated by using Cr. The X-ray mask was produced.

【0025】なお、本発明は上述した実施例に限定され
るものではない。例えば、上述の実施例では、X線マス
ク支持体と補強枠とを接合した後に、X線吸収体パター
ンの形成及びバックエッチングを行なっているが、これ
らの順序を逆にして、X線吸収体パターンが形成されバ
ックエッチングが行なわれたX線マスク支持体と補強枠
との固相接合を行なっても、従来法に比べ、X線吸収体
パターンの位置歪みは解消される。また、X線吸収体は
Wに限るものではなく、Ta、Mo及びその窒化物や炭
化物を用いることもでき固相接合の層としてはCr膜の
他にAuを含むCr膜等も用いることができる。また、
X線透過性薄膜においても、SiCに限らず、ダイヤモ
ンド、SiN、BC、ボロンドープしたSiを用いるこ
ともできる。さらに、補強枠もシリコンに限るものでは
なくシリコンの化合物(SiO2)やパイレックスガラ
スなどのガラスでも良い。また、反射防止膜も酸化アル
ミニウムに限らず、窒化アルミニウム、酸化硅素などで
も良い。その他、本発明の要旨を逸脱しない範囲で、種
類変形して実施することができる。
The present invention is not limited to the above embodiment. For example, in the above-mentioned embodiment, the X-ray mask support and the reinforcing frame are joined together, and then the X-ray absorber pattern is formed and the back etching is performed. Even when solid-phase bonding is performed between the X-ray mask support on which the pattern is formed and back-etched and the reinforcing frame, the positional distortion of the X-ray absorber pattern is eliminated as compared with the conventional method. Further, the X-ray absorber is not limited to W, and Ta, Mo, and their nitrides and carbides can be used, and a Cr film containing Au as well as a Cr film or the like can be used as the solid-state bonding layer. it can. Also,
Also in the X-ray transparent thin film, not only SiC but also diamond, SiN, BC, or boron-doped Si can be used. Further, the reinforcing frame is not limited to silicon, but may be a compound of silicon (SiO 2 ) or glass such as Pyrex glass. Further, the antireflection film is not limited to aluminum oxide, but may be aluminum nitride, silicon oxide, or the like. Other than the above, the present invention can be carried out with various modifications without departing from the scope of the present invention.

【0026】[0026]

【発明の効果】以上、説明してきたようにX線マスク支
持体の下面にCrを含有する金属層を形成し、補強枠と
固相接合することにより、通常接着剤の体積変化に起因
する、X線マスク支持体の変形や、X線吸収体パターン
の位置ずれを無くすことができる。またこのCrを含有
する金属層は、シリコン基板のエッチング用マスクとし
ても用いるため、SiC等の層を形成する必要がなくな
り、プロセスが簡単になる。
As described above, by forming a metal layer containing Cr on the lower surface of the X-ray mask support and solid-phase bonding it to the reinforcing frame, it is usually caused by the volume change of the adhesive. It is possible to eliminate the deformation of the X-ray mask support and the displacement of the X-ray absorber pattern. Further, since this metal layer containing Cr is also used as an etching mask for the silicon substrate, it is not necessary to form a layer of SiC or the like, and the process is simplified.

【図面の簡単な説明】[Brief description of drawings]

【図1】 本発明の実施例を示す工程図。FIG. 1 is a process drawing showing an embodiment of the present invention.

【図2】 本発明の実施例を示す工程図。FIG. 2 is a process drawing showing an embodiment of the present invention.

【図3】 従来のX線マスクの製造工程図。FIG. 3 is a manufacturing process diagram of a conventional X-ray mask.

【符号の説明】[Explanation of symbols]

1……Si基板(マスク支持体) 2……SiC膜(X
線透過性薄膜) 2′……SiC膜(バックエッチングのマスク) 3…
…酸化アルミニウム膜(反射防止膜) 4……Cr膜
5……レジスト 6……W膜(X線吸収体薄膜) 7…
…Al2 3 膜 8……C膜 9……補強枠 10……
電子ビームレジスト11……接着剤
1 ... Si substrate (mask support) 2 ... SiC film (X
Line transparent thin film) 2 '... SiC film (back etching mask) 3 ...
… Aluminum oxide film (antireflection film) 4 …… Cr film
5 ... Resist 6 ... W film (X-ray absorber thin film) 7 ...
… Al 2 O 3 film 8 …… C film 9 …… Reinforcement frame 10 ……
Electron beam resist 11 ... Adhesive

Claims (2)

【特許請求の範囲】[Claims] 【請求項1】 X線吸収体パターンと、これを支持する
X線透過膜と、この膜の外周を固定するX線マスク支持
体と、この支持体を補強する補強枠と、この補強枠と前
記支持体との間に設けられたCrを含む固相接合層とを
備えたことを特徴とするX線露光用マスク。
1. An X-ray absorber pattern, an X-ray transmissive film that supports the X-ray absorber pattern, an X-ray mask support that fixes the outer periphery of the film, a reinforcement frame that reinforces the support, and a reinforcement frame. An X-ray exposure mask, comprising: a solid phase bonding layer containing Cr provided between the support and the support.
【請求項2】 基板の主面上にX線透過膜を形成する工
程と、このX線透過膜上にX線吸収体層を形成する工程
と、基板の裏面にCrを含有する金属膜を形成する工程
と、この金属膜を外周部を残して除去する工程と、この
金属膜が裏面に設けられた前記基板と補強枠とを重ねて
加圧し、前記金属膜と基板及び補強枠間を固相接合する
工程と、前記X線吸収体層をパターニングする工程と、
基板の裏面から、前記金属膜をマスクとして基板をエッ
チングする工程とを備えたことを特徴とするX線露光用
マスクの製造方法。
2. A step of forming an X-ray transparent film on the main surface of the substrate, a step of forming an X-ray absorber layer on the X-ray transparent film, and a metal film containing Cr on the back surface of the substrate. The step of forming, the step of removing the metal film leaving the outer peripheral portion, and the substrate and the reinforcing frame provided with the metal film on the back surface are overlapped and pressed, and the metal film and the substrate and the reinforcing frame are separated from each other. Solid-state bonding, patterning the X-ray absorber layer,
And a step of etching the substrate from the back surface of the substrate using the metal film as a mask, the method for producing an X-ray exposure mask.
JP6587092A 1992-03-24 1992-03-24 Mask for x-ray exposure and manufacture thereof Pending JPH05267129A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP6587092A JPH05267129A (en) 1992-03-24 1992-03-24 Mask for x-ray exposure and manufacture thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP6587092A JPH05267129A (en) 1992-03-24 1992-03-24 Mask for x-ray exposure and manufacture thereof

Publications (1)

Publication Number Publication Date
JPH05267129A true JPH05267129A (en) 1993-10-15

Family

ID=13299456

Family Applications (1)

Application Number Title Priority Date Filing Date
JP6587092A Pending JPH05267129A (en) 1992-03-24 1992-03-24 Mask for x-ray exposure and manufacture thereof

Country Status (1)

Country Link
JP (1) JPH05267129A (en)

Similar Documents

Publication Publication Date Title
US5291536A (en) X-ray mask, method for fabricating the same, and pattern formation method
EP0473332A1 (en) X-Ray lithography mask and method for producing same
JPH05267129A (en) Mask for x-ray exposure and manufacture thereof
JP3032262B2 (en) X-ray mask manufacturing method
JPH0536590A (en) X-ray mask and manufacture of x-ray mask
US6258491B1 (en) Mask for high resolution optical lithography
JPH0684764A (en) Manufacture of x-ray mask and instrument for measuring stress of x-ray mask
JPH02503239A (en) Monolithic channel mask with amorphous/single crystal structure
JP3195328B2 (en) X-ray mask and method of manufacturing X-ray mask
JPH03173116A (en) X-ray mask and manufacture thereof
JP3209638B2 (en) X-ray exposure mask
JPH06260397A (en) Mask for x-ray exposure and manufacture thereof
JPS5882522A (en) X-ray exposure mask and manufacture thereof
JPS63186427A (en) Masking material for x-ray lithography
JPH07220992A (en) X-ray exposure mask and x-ray exposure mask blank use for manufacturing the same
JPH04315417A (en) Mask for long wavelength x-ray aligner and manufacture thereof
JPS63115332A (en) Mask for x-ray exposure
JPS61245160A (en) Manufacture of x-ray mask
JPH0744137B2 (en) X-ray exposure mask for step and repeat method
JPH06177017A (en) Manufacture of x-ray mask
JPH07130605A (en) X-ray mask and manufacture of x-ray mask
JPH076947A (en) Manufacture of x-ray exposure mask for step-and-repeat system
JPS63120420A (en) Manufacture of x-ray mask
JPS63244737A (en) Manufacture of mask for x-ray exposure
JPH04315416A (en) Mask for x-ray aligner and manufacture thereof