JP3032262B2 - X-ray mask manufacturing method - Google Patents

X-ray mask manufacturing method

Info

Publication number
JP3032262B2
JP3032262B2 JP26169390A JP26169390A JP3032262B2 JP 3032262 B2 JP3032262 B2 JP 3032262B2 JP 26169390 A JP26169390 A JP 26169390A JP 26169390 A JP26169390 A JP 26169390A JP 3032262 B2 JP3032262 B2 JP 3032262B2
Authority
JP
Japan
Prior art keywords
ray
thin film
mask
film
reinforcing frame
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP26169390A
Other languages
Japanese (ja)
Other versions
JPH04137718A (en
Inventor
正光 伊藤
治樹 駒野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP26169390A priority Critical patent/JP3032262B2/en
Publication of JPH04137718A publication Critical patent/JPH04137718A/en
Application granted granted Critical
Publication of JP3032262B2 publication Critical patent/JP3032262B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Description

【発明の詳細な説明】 〔発明の目的〕 (産業上の利用分野) 本発明は、X線露光用マスク(以下X線マスク)の製
造方法に係り、特にX線マスク支持体またはX線透過性
薄膜の補強枠への接合に関する。
Description: Object of the Invention (Industrial application field) The present invention relates to a method for manufacturing an X-ray exposure mask (hereinafter referred to as X-ray mask), and particularly to an X-ray mask support or X-ray transmission. It relates to the joining of a conductive thin film to a reinforcing frame.

(従来の技術) 近年、半導体集積回路の高密度化および高集積化への
要求が高まるにつれて、回路パターンの微細加工技術の
なかでも、感光剤にパターンを形成するリソグラフィ技
術の研究開発が急速な進展を見せている。
(Prior Art) In recent years, as the demand for higher density and higher integration of semiconductor integrated circuits has increased, research and development of a lithography technique for forming a pattern on a photosensitive agent has been rapid among fine processing techniques for circuit patterns. Showing progress.

現在、量産ラインでは光を露光媒体とするフォトリソ
グラフィ技術が主流であるが、解像力の限界に近づきつ
つあり、このフォトリソグラフィ技術技術に代わるもの
として、原理的に解像力が飛躍的に向上するX線リソグ
ラフィ技術の研究開発が急速な進展をみせている。
At present, photolithography technology using light as an exposure medium is the mainstream in mass production lines, but it is approaching the limit of resolution, and as an alternative to this photolithography technology, X-rays whose resolution is dramatically improved in principle R & D of lithography technology is making rapid progress.

X線リソグラフィでは、光を用いた露光方法とは異な
り所定のパターンを縮小させて転写するような技術は現
在のところ実用化されていない。このため、X線露光で
は、所定のパターンの形成されたX線露光用マスクと試
料とを10μmオーダーの間隔で平行に保持し、このX線
マスクを通してX線を照射することにより露光対象物表
面に転写パターンを形成する1:1転写方式が採用されて
いる。
In the X-ray lithography, unlike an exposure method using light, a technique of transferring a predetermined pattern in a reduced size has not been put to practical use at present. For this reason, in the X-ray exposure, an X-ray exposure mask on which a predetermined pattern is formed and a sample are held in parallel at an interval of about 10 μm, and X-rays are irradiated through the X-ray mask to thereby expose the surface of the exposure target. A 1: 1 transfer method for forming a transfer pattern is adopted.

この等倍転写方式では、X線マスクのパターンの寸法
精度、位置精度がそのままデバイス精度になるため、X
線マスクのパターンにはデバイスの最少線幅の10分の1
程度の寸法精度、位置精度が要求される。また、X線源
としては、SOR光(シンクロトロン放射光)が本命とさ
れているため、X線マスクは強力なX線に対してダメー
ジを受けない構造でなければならない。さらに、デバイ
スの線幅が0.5μmから始まって次世代の0.1μmへ向か
う状況では、X線マスクのパターン断面の縦横比が大き
くなるため、種々の製造上の困難が増大してくる。
In this 1: 1 transfer method, since the dimensional accuracy and positional accuracy of the pattern of the X-ray mask directly become the device accuracy,
1/10 of the minimum line width of the device in the line mask pattern
Dimensional accuracy and positional accuracy are required. Also, since SOR light (synchrotron radiation) is the preferred X-ray source, the X-ray mask must have a structure that does not damage strong X-rays. Furthermore, in the situation where the line width of the device starts from 0.5 μm and goes to 0.1 μm of the next generation, the aspect ratio of the cross section of the pattern of the X-ray mask increases, so that various manufacturing difficulties increase.

以上のように、X線リソグラフィの実現のためには、
X線マスクの構造および製造方法の開発が最も重要な鍵
となっている。
As described above, in order to realize X-ray lithography,
The development of the structure and manufacturing method of the X-ray mask is the most important key.

X線マスクは一般的には次のような構造を有してい
る。すなわち、リング状のマスク支持体上にX線に対す
る吸収率の特に小さいX線透過性材料からなる薄膜を形
成し、このX線透過性薄膜上にX線に対する吸収率の大
きい材料からなるマスクパターン(X線吸収体パター
ン)を形成した構造を有している。ここでマスク支持体
は、X線透過性薄膜が極めて薄く機械的強度が弱いのを
補強すべく、このX線透過性薄膜を支持するのに用いら
れている。
An X-ray mask generally has the following structure. That is, a thin film made of an X-ray transmissive material having a particularly low X-ray absorptance is formed on a ring-shaped mask support, and a mask pattern made of a material having a high X-ray absorptivity is formed on the X-ray transmissive thin film. (X-ray absorber pattern). Here, the mask support is used to support the X-ray transparent thin film in order to reinforce that the X-ray transparent thin film is extremely thin and has low mechanical strength.

また、さらにマスク支持体の裏面側に補強枠を設け、
X線透過性薄膜の持つ引っ張り応力によりマスク支持体
が変形するのを防止するようにする方法も提案されてい
る。
Further, a reinforcing frame is further provided on the back side of the mask support,
There has also been proposed a method of preventing the mask support from being deformed by the tensile stress of the X-ray transparent thin film.

ところで、このX線露光用マスクは、従来、第3図
(a)乃至第3図(f)に示すような方法で製造されて
いる。
Incidentally, this X-ray exposure mask has conventionally been manufactured by a method as shown in FIGS. 3 (a) to 3 (f).

まず、基板温度1200℃の条件でLPCVD法により、第3
図(a)に示すようにSi基板1上に膜厚2.7μmのSiC膜
2を形成する。この条件では、多結晶構造を有し、内部
応力3×109dyn/cm2のSiC膜が得られている。次に、Si
基板1の裏面側にもSiC膜3を形成する。ここで、SiC膜
2がX線透過性薄膜として用いられる。なお、X線透過
性薄膜には、X線を透過し且つアライメント光(可視、
赤外線)に対する透過性に優れ、引張り応力を有する自
立支持膜であることが要求される。この材料として、現
在のところ、BN,Si,SiC,Ti等が報告されている。
First, a third CVD process was performed at a substrate temperature of 1200 ° C.
As shown in FIG. 1A, a 2.7 μm thick SiC film 2 is formed on a Si substrate 1. Under these conditions, a SiC film having a polycrystalline structure and an internal stress of 3 × 10 9 dyn / cm 2 was obtained. Next, Si
An SiC film 3 is also formed on the back side of the substrate 1. Here, the SiC film 2 is used as an X-ray transparent thin film. The X-ray transparent thin film transmits X-rays and emits alignment light (visible,
It is required that the film be a self-supporting film having excellent transparency to infrared rays and having a tensile stress. At present, BN, Si, SiC, Ti and the like have been reported as this material.

次いで、第3図(b)に示すように、裏面側のSiC膜
3の中央部を選択的に除去した後、表面側のSiC膜2上
にX線吸収体としてW膜4を形成する。X線吸収体に
は、露光波長におけるX線吸収係数が大きいこと、内部
応力が低いこと、微細加工が容易であることが要求され
る。その材料として、現在のところAu,Ta,W,WNx等が報
告されている。X線吸収体の内部応力については、1×
108dyn/cm2程度の低応力であることが不可欠であり、応
力制御が可能なスパッタリング法により内部応力を制御
して堆積される。
Next, as shown in FIG. 3 (b), after selectively removing the central part of the SiC film 3 on the back side, a W film 4 is formed on the SiC film 2 on the front side as an X-ray absorber. The X-ray absorber is required to have a large X-ray absorption coefficient at an exposure wavelength, a low internal stress, and easy fine processing. At present, Au, Ta, W, WNx and the like have been reported as such materials. Regarding the internal stress of the X-ray absorber, 1 ×
It is essential that the stress be as low as about 10 8 dyn / cm 2 , and the deposition is performed by controlling the internal stress by a sputtering method capable of controlling the stress.

次いで、第3図(c)に示すようにスパッタリング法
によりW膜4上に、電子ビーム描画用のレジスト5を塗
布した後、電子ビーム描画法によりパターン描画を行な
い、レジスト5に所望のパターンを形成する。
Next, as shown in FIG. 3 (c), after a resist 5 for electron beam lithography is applied on the W film 4 by sputtering, a pattern is drawn by electron beam lithography, and a desired pattern is formed on the resist 5. Form.

次いで、第3図(d)に示すように、ドライエッチン
グ法により、レジスト5をマスクとしてW膜4を選択エ
ッチングし、X線吸収体パターンを得る。
Next, as shown in FIG. 3D, the W film 4 is selectively etched by a dry etching method using the resist 5 as a mask to obtain an X-ray absorber pattern.

そして、第3図(e)に示すようにKOH等のウェット
エッチング法により、裏面のSiC膜3をマスクとしてSi
基板1をエッチングする。
Then, as shown in FIG. 3 (e), the SiC film 3 on the back surface is used as a mask by a wet etching method such as KOH or the like.
The substrate 1 is etched.

最後に、第3図(f)に示すようにリング状のパイレ
ックスガラスからなる補強枠6を、エポキシ系の接着剤
7によりシリコン基板1に接着する。
Finally, a ring-shaped reinforcing frame 6 made of Pyrex glass is bonded to the silicon substrate 1 with an epoxy adhesive 7 as shown in FIG.

以上の工程を経てX線マスクが製造される。 An X-ray mask is manufactured through the above steps.

このようなX線マスク製造プロセスの中で、最後の補
強枠の接着の際にX線吸収体パターンの位置ずれが発生
し易いということが大きな問題となっている(大木ら:
第2回マイクロプロセスコンファレンス予稿集p94)。
この位置ずれは、マスク支持体と補強枠の熱膨張係数の
違いや接着剤が凝固する際の体積変化によりマスク支持
体の補強枠の間に発生する応力や、接着面全体に均一な
接着力を得るのが難しい事などが原因となっている。
In such an X-ray mask manufacturing process, it is a big problem that the displacement of the X-ray absorber pattern is likely to occur when the last reinforcing frame is bonded (Oki et al .:
Proceedings of the Second Microprocess Conference (p94).
This misalignment can be caused by the difference in thermal expansion coefficient between the mask support and the reinforcing frame, the stress generated between the reinforcing frame of the mask support due to the volume change when the adhesive solidifies, and the uniform adhesive force over the entire bonding surface. Is difficult to obtain.

そこで補強枠を必要としない強度を有する厚さ2mm〜5
mmのシリコン基板をマスク支持体として用いるという提
案がなされているが(佐野ら、第33回応用物理学関係連
合講演会予稿集、P324)、裏面のエッチングに要する時
間が膨大な量となるため実用的でない。また、X線吸収
体やX線透過性薄膜の応力を測定することができなくな
るという欠点がある。
Therefore a thickness of 2 mm to 5 with strength that does not require a reinforcing frame
Although it has been proposed to use a silicon substrate of 0.2 mm as a mask support (Sano et al., Proceedings of the 33rd Annual Conference of the Allied Physics-related Lectures, P324), the time required for backside etching is enormous. Not practical. Further, there is a disadvantage that the stress of the X-ray absorber or the X-ray transparent thin film cannot be measured.

このような欠点を解決するために本発明者らは、X線
マスク支持体と補強枠あるいはX線透過性薄膜の接着面
を鏡面とし、X線マスク支持体と補強枠あるいはX線透
過性薄膜を、接着剤を用いることなく直接接合により接
合する方法を提案した(特願平1−312193号)。
In order to solve such a drawback, the present inventors made the bonding surface between the X-ray mask support and the reinforcing frame or the X-ray transparent thin film a mirror surface, and set the X-ray mask support and the reinforcing frame or the X-ray transparent thin film. Have been proposed by direct bonding without using an adhesive (Japanese Patent Application No. 1-312193).

この方法によれば、接着剤の体積変化や、接着力の不
均一さに起因するマスクの歪みを一切伴うことなくX線
マスク支持体と補強枠あるいはX線透過性薄膜を接着す
ることができるため、X線吸収体パターンの位置ずれの
発生を防ぐことが可能となり、高精度のX線マスクの形
成に成功した。
According to this method, the X-ray mask support can be bonded to the reinforcing frame or the X-ray transparent thin film without any change in the volume of the adhesive or the distortion of the mask due to the uneven adhesive force. For this reason, it is possible to prevent the occurrence of displacement of the X-ray absorber pattern, and successfully form a highly accurate X-ray mask.

この方法は、前述したような第3図(a)乃至第3図
(e)の工程でX線吸収体薄膜パターンを形成するとと
もにSi基板の形状加工を行ったのち、表面研磨のなされ
たシリコンからなる補強枠をマスク支持体であるシリコ
ン基板1を、接着面を希弗酸で処理した後、直接接合を
行うものである。接合は接着面に空気が残るのを防ぐた
めに真空中でおこない、接着強度を得るために熱処理を
行い完成する。
In this method, an X-ray absorber thin film pattern is formed in the steps shown in FIGS. 3 (a) to 3 (e) as described above, and the silicon substrate whose surface is polished after the shape processing of the Si substrate is performed. After the silicon substrate 1 as a mask support is treated with dilute hydrofluoric acid, the reinforcing frame is made of dilute hydrofluoric acid and then directly joined. Bonding is performed in a vacuum to prevent air from remaining on the bonding surface, and heat treatment is performed to obtain bonding strength, thereby completing the bonding.

以上の工程により形成したX線マスクは、マスク中の
面内パターンの位置ずれを低減することができる。すな
わち、マスク支持体と補強枠に同じシリコンを用いるよ
うにすれば熱膨張係数の差による問題はなくなり、また
接着剤を使用していないため、接着剤が凝固する際の体
積変化によりマスク支持体と補強枠との間に発生する応
力や接着面全体に均一な接着力を得るのが難しい等の問
題もないため、格段にパターン精度が向上したものと思
われる。
The X-ray mask formed by the above steps can reduce the displacement of the in-plane pattern in the mask. That is, if the same silicon is used for the mask support and the reinforcing frame, the problem due to the difference in thermal expansion coefficient is eliminated, and since the adhesive is not used, the volume of the mask support changes due to the solidification of the adhesive. Since there is no problem such as the stress generated between the metal and the reinforcing frame and the difficulty in obtaining a uniform adhesive force over the entire adhesive surface, it is considered that the pattern accuracy is remarkably improved.

このように、直接接合法の採用により高精度X線マス
クの形成に成功したが、次の問題として、歩留まり低下
が実用化を阻む原因となっている。すなわち、超音波洗
浄の際に接合箇所が剥がれることがあり、このため70%
程度の歩留まりしか得ることができないという問題であ
る。
As described above, a high-precision X-ray mask has been successfully formed by adopting the direct bonding method. However, as a next problem, a decrease in yield is a factor preventing practical use. In other words, the joints may be peeled off during ultrasonic cleaning.
The problem is that only a small yield can be obtained.

この原因を究明すべく、透過型電子顕微鏡を用いて接
合面の断面を観察した。その結果接合面の研磨の際に、
接合面表面に研磨剤の混ざった厚さ50nm程度の粉砕層が
形成されており、これにより接着力が低下しているとい
うことを発見した。
In order to investigate the cause, a cross section of the bonding surface was observed using a transmission electron microscope. As a result, when polishing the joint surface,
It has been found that a ground layer having a thickness of about 50 nm mixed with an abrasive is formed on the surface of the joint surface, thereby reducing the adhesive strength.

(発明が解決しようとする課題) このようにX線マスク製造プロセスの中で、最後の補
強枠の接着の際にX線吸収体パターンの位置ずれが発生
し易いということが大きな問題となっている。
(Problems to be Solved by the Invention) As described above, in the X-ray mask manufacturing process, it is a major problem that the displacement of the X-ray absorber pattern is likely to occur at the time of bonding the last reinforcing frame. I have.

また、直接接合法により形成したX線マスクは位置ず
れを防止することができ高精度であるが、接合面の研磨
の際に、接合面表面に形成される研磨剤の混ざった厚さ
50nm程度の破砕層が、接着力の低下を引き起こし、歩留
まり低下の原因となっていた。
Further, the X-ray mask formed by the direct bonding method can prevent positional displacement and has high accuracy, but when polishing the bonding surface, the thickness of the mixed surface of the abrasive formed on the bonding surface is high.
The crushed layer of about 50 nm caused a decrease in the adhesive strength, which caused a decrease in the yield.

本発明は、マスク支持体またはX線透過性薄膜に補強
枠を接合する際の接着力の低下を防止し、X線マスクの
製造歩留まりの向上を図ることを目的とする。
SUMMARY OF THE INVENTION It is an object of the present invention to prevent a decrease in adhesive force when a reinforcing frame is joined to a mask support or an X-ray permeable thin film, and to improve the production yield of an X-ray mask.

[発明の構成] (課題を解決するための手段) 上記目的を達成するため、請求項1記載の発明は、 マスク支持体上にX線透過性薄膜を形成するX線透過
性薄膜形成工程と、前記X線透過性薄膜上にX線吸収体
薄膜を形成するX線吸収体薄膜形成工程と、前記X線吸
収体薄膜を所望の形状にパターニングするX線吸収体薄
膜パターン形成工程と、前記マスク支持体またはX線透
過性薄膜に補強枠を接合する接合工程とを含むX線マス
クの製造方法において、前記接合工程に先立ち、前記マ
スク支持体、前記X線透過性薄膜、前記補強枠の少なく
とも一方の接合面をケミカルドライエッチングまたは反
応性イオンエッチングする表面処理工程を具備し、前記
接合工程は、直接接合により行うことを特徴とする。
[Constitution of the Invention] (Means for Solving the Problems) In order to achieve the above object, the invention according to claim 1 includes an X-ray transparent thin film forming step of forming an X-ray transparent thin film on a mask support. An X-ray absorber thin film forming step of forming an X-ray absorber thin film on the X-ray transparent thin film; an X-ray absorber thin film pattern forming step of patterning the X-ray absorber thin film into a desired shape; A bonding step of bonding a reinforcing frame to the mask support or the X-ray permeable thin film, wherein the mask support, the X-ray permeable thin film, and the reinforcing frame are preceded by the bonding step. A surface treatment step of performing chemical dry etching or reactive ion etching on at least one bonding surface is provided, and the bonding step is performed by direct bonding.

(作用) 本発明では、マスク支持体またはX線透過性薄膜に補
強枠を接合する前に、接着面をケミカルドライエッチン
グまたは反応性イオンエッチングし、破砕層を除去し清
浄な表面とする工程を付加することにより、接合表面の
破砕層がケミカルドライエッチングまたは反応性イオン
エッチングによって除去され良好な表面状態で、接着が
なされるため、歩留まりが向上する。
(Operation) In the present invention, before bonding the reinforcing frame to the mask support or the X-ray permeable thin film, the bonding surface is subjected to chemical dry etching or reactive ion etching to remove a crushed layer to make a clean surface. By the addition, the crushed layer on the bonding surface is removed by chemical dry etching or reactive ion etching, and the bonding is performed in a good surface state, so that the yield is improved.

(実施例) 実施例1 以下本発明の実施例について図面を参照しつつ詳細に
説明する。
(Example) Example 1 Hereinafter, an example of the present invention will be described in detail with reference to the drawings.

この方法は、補強枠をX線マスク支持体に取り付けた
のち、X線吸収体薄膜パターンを形成するものである。
In this method, an X-ray absorber thin film pattern is formed after attaching a reinforcing frame to an X-ray mask support.

まず、高周波加熱方式のLPCVD装置を用い、SiCをコー
ティングしたグラファイト製サセプタ上に、両面研磨を
行った面方位(111)、厚さ600μmの3インチSi基板を
設置し、1100℃においてHC1ガスによりSi基板の気相エ
ッチングを施すことにより、Si基板上に存在する自然酸
化膜及び重金属類の汚染物を除去した。これにより、Si
基板の表面マスク清浄化処理が完了する。
First, a 3-inch Si substrate with a plane orientation (111) and a thickness of 600 μm polished on both sides was placed on a SiC-coated graphite susceptor using a high-frequency heating LPCVD apparatus. At 1100 ° C, HC1 gas was used. The natural oxide film and heavy metal contaminants present on the Si substrate were removed by subjecting the Si substrate to gas phase etching. This allows Si
The surface mask cleaning process of the substrate is completed.

次いで、第1図(a)に示す如く、Si原料としてシラ
ン(SiH4)、C原料としてアセチレン(C2H2)、キャリ
アガスとして水素(H2)の各ガスを供給して基板温度11
00℃にて、Si基板11上にSiC膜12を2μm堆積し、さら
に、シランと酸素を用いたLPCVD法によりSi基板11の裏
面にSiO2膜13を0.5μm堆積させた後、通常のフォトリ
ソグラフィ技術によりSiO2膜13の中央部に20mmφの開口
部を設けた。
Next, as shown in FIG. 1 (a), silane (SiH 4 ) as a Si raw material, acetylene (C 2 H 2 ) as a C raw material, and hydrogen (H 2 ) as a carrier gas are supplied to supply a substrate temperature of 11.
At 00 ° C., a 2 μm thick SiC film 12 is deposited on the Si substrate 11, and a 0.5 μm thick SiO 2 film 13 is deposited on the back surface of the Si substrate 11 by LPCVD using silane and oxygen. An opening of 20 mmφ was provided at the center of the SiO 2 film 13 by lithography technology.

次いで、第1図(b)に示すように、マグネトロンDC
スパッタリング装置によりSiC膜12上にW膜14を0.5μm
堆積させた。スパッタリングの電力は、1kwとし、ガス
圧力を密度の大きいW膜を形成できる低圧力側で、応力
が0となる3mTorrとした。このようにして形成したW膜
の応力はSi基板11の反りから測定した結果、3×108N/m
2であった。次に、Arをエネルギー180keVでW膜14にド
ーズ量3×1015atoms/cm2でイオン注入を行いW膜の応
力を0にした。
Next, as shown in FIG.
Sputtering W film 14 on SiC film 12 by 0.5μm
Deposited. The sputtering power was 1 kW, and the gas pressure was 3 mTorr at which the stress was 0 on the low pressure side where a W film having a high density could be formed. The stress of the W film thus formed was measured from the warpage of the Si substrate 11, and as a result, 3 × 10 8 N / m
Was 2 . Next, Ar ions were implanted into the W film 14 at an energy of 180 keV at a dose of 3 × 10 15 atoms / cm 2 to reduce the stress of the W film to zero.

次に、第1図(c)に示すように、SiO2膜でコーティ
ングされたシリコンからなる補強枠15とマスク支持体で
あるシリコン基板11とを鏡面研磨し、接着面の間で働く
原子間力により真空中で接合し(直接接合)固着した。
そして400℃3分間の熱処理を経て接着強度を確実なも
のとする。
Next, as shown in FIG. 1 (c), the reinforcing frame 15 made of silicon coated with the SiO 2 film and the silicon substrate 11 as a mask support are mirror-polished, and the atomic They were bonded (direct bonding) in vacuum by force and fixed.
Then, the adhesive strength is ensured through a heat treatment at 400 ° C. for 3 minutes.

次いで、第1図(d)に示す如く、W膜14上に電子ビ
ームレジスト16として膜厚0.6μmのCMS(クロロメチル
化ポリスチレン)を塗布し、N2雰囲気中150℃にてベー
キングすることにより電子ビームレジスト16中の溶媒を
除去した後、加速電圧50KeVの可変成形ビームを用いた
電子ビームリソグラフィによりドーズ量150μC/cm2にて
レジスト16を描画して所望のパターン(最小線幅0.2μ
m)を形成した。
Next, as shown in FIG. 1 (d), a 0.6 μm thick CMS (chloromethylated polystyrene) is applied as an electron beam resist 16 on the W film 14 and baked at 150 ° C. in an N 2 atmosphere. After the solvent in the electron beam resist 16 is removed, the resist 16 is drawn at a dose of 150 μC / cm 2 by electron beam lithography using a variable shaped beam with an acceleration voltage of 50 KeV to form a desired pattern (minimum line width 0.2 μm).
m) was formed.

次に、第1図(e)に示すように、ECR型プラズマエ
ッチングによりSF6+10%O2、ガス圧力5mTorr、マイク
ロ波パワー200Wで、レジスト16をマスクとしてW膜14を
異方性エッチングによりパターニングした。
Next, as shown in FIG. 1 (e), the W film 14 is anisotropically etched by ECR plasma etching with SF 6 + 10% O 2 , a gas pressure of 5 mTorr and a microwave power of 200 W using the resist 16 as a mask. Patterned.

そして第1図(f)に示すように、SiO2膜13をマスク
としてSi基板21をエッチングし、20mmφの開口部を形成
した。
Then, as shown in FIG. 1 (f), the Si substrate 21 was etched using the SiO 2 film 13 as a mask to form an opening of 20 mmφ.

なお、前記実施例では、補強枠とマスク支持体との接
合を直接接合によって行った例について説明したが、接
着剤を介して接合する際にも適用可能であり、この場合
特に有効である。
In the above-described embodiment, the example in which the joining between the reinforcing frame and the mask support is performed by direct joining has been described. However, the present invention is also applicable to joining via an adhesive, and this case is particularly effective.

また、前記実施例にはX線吸収体としてWを用いた
が、これに限定されるものではなく、Taやその窒化物あ
るいは炭化物、Au等を用いることも可能である。
Further, in the above embodiment, W was used as the X-ray absorber, but the present invention is not limited to this, and Ta, its nitride or carbide, Au or the like can be used.

また、X線透過性薄膜についてもSiCに限定されるこ
となくSiNx、BN、ボロンドープのSi等を用いることもで
きる。
Also, the X-ray transparent thin film is not limited to SiC, and SiN x , BN, boron-doped Si, or the like can be used.

さらに補強枠としてもシリコンに限定されることなく
シリコン化合物、パイレックスガラス等のガラスでもよ
い。
Further, the reinforcing frame is not limited to silicon, but may be a silicon compound or glass such as Pyrex glass.

加えて、直接接合に先立ち、研磨後の接合面をCDE
(ケミカルドライエッチング)法またはRIE(反応性イ
オンエッチング)法により処理し表面を破砕層を除去し
た後に接合するようにすればより有効である。
In addition, prior to direct bonding, the bonded surface after polishing is CDE
(Chemical dry etching) method or RIE (Reactive Ion Etching) method to remove the crushed layer on the surface and then join the surfaces is more effective.

このように、補強枠をX線マスク支持体に取り付けた
後、X吸収体パターンを形成することにより、補強枠の
接合の際に位置ずれを生じることもなく、高精度のX線
マスクを得ることができる。
As described above, by attaching the reinforcing frame to the X-ray mask support and then forming the X-absorber pattern, a high-precision X-ray mask can be obtained without causing displacement during joining of the reinforcing frame. be able to.

その他、本発明の要旨を逸脱しない範囲で種々変形し
て実施することも可能である。
In addition, various modifications can be made without departing from the scope of the present invention.

実施例2 次に本発明の第2の実施例について説明する。Embodiment 2 Next, a second embodiment of the present invention will be described.

この例ではX線マスク支持体と補強枠との直接接合に
先立ち、研磨後の接合面をRIE(反応制イオンエッチン
グ)法により処理し表面を破砕層を除去した後に接合す
るようにしている。
In this example, prior to direct bonding between the X-ray mask support and the reinforcing frame, the bonded surface after polishing is treated by RIE (reactive ion etching) to remove the crushed layer and then bond.

まず、高周波加熱方式を用いたLPCVD装置を用い、SiC
をコーティングしたグラファイト製サセプタ上に、両面
研磨を行った面方位(111)の3インチSi基板21を設置
し、1100℃においてHC1ガスによりSi基板の気相エッチ
ングを施すことにより、Si基板上に存在する自然酸化膜
及び重金属類の汚染物を除去した。これにより、Si基板
の表面マスク清浄化処理が完了する。
First, using a high-frequency heating LPCVD system, SiC
A 3-inch Si substrate 21 with a plane orientation (111) that has been polished on both sides is placed on a graphite susceptor coated with, and the Si substrate is subjected to gas-phase etching with HC1 gas at 1100 ° C. The existing native oxide and heavy metal contaminants were removed. Thereby, the surface mask cleaning treatment of the Si substrate is completed.

次に、第2図(a)に示すように、Si原料としてトリ
クロロシラン(SiHC13)、C原料としてプロパン(C
3H8)、キャリアガスとして水素(H2)の各ガスを供給
して基板温度1100℃にて、Si基板21上にSiC膜22を1.0μ
m堆積し、さらに、上記条件と同条件の下でSi基板21の
裏面にSiC膜23を0.5μm堆積させた後、通常のフォトリ
ソグラフィ技術によりSiC膜23の中央部に20mmφの開口
部を設けた。
Next, as shown in FIG. 2 (a), trichlorosilane (SiHC1 3) as the Si raw material, propane as C material (C
3 H 8), at a substrate temperature of 1100 ° C. by supplying the gas of hydrogen (H 2) is used as a carrier gas, 1.0 micron a SiC film 22 on the Si substrate 21
After depositing a 0.5 μm SiC film 23 on the back surface of the Si substrate 21 under the same conditions as those described above, an opening of 20 mmφ is provided at the center of the SiC film 23 by ordinary photolithography technology. Was.

次いで、第2図(b)に示すように、マグネトロンDC
スパッタリング装置によりSiC膜22上にW膜24を0.5μm
堆積させた。スパッタリングの電力は、1kwとし、ガス
圧力を密度の大きいW膜を形成できる低圧力側で、応力
が0となる3mTorrとした。このようにして形成したW膜
の応力をシリコン基板21の反りから測定した結果、3×
107N/m2であった。
Next, as shown in FIG.
Sputtering device W film 24 on SiC film 22 0.5μm
Deposited. The sputtering power was 1 kW, and the gas pressure was 3 mTorr at which the stress was 0 on the low pressure side where a W film having a high density could be formed. As a result of measuring the stress of the W film thus formed from the warpage of the silicon substrate 21, 3 ×
It was 10 7 N / m 2 .

続いて、このW膜24に、Arイオンをエネルギー180ke
V、3×1015atoms/cm2のドーズ量で注入し、W膜24の応
力を0となるようにした。
Subsequently, Ar ions are applied to the W film 24 at an energy of 180 ke.
V was implanted at a dose of 3 × 10 15 atoms / cm 2 to reduce the stress of the W film 24 to zero.

次に、第2図(c)に示すように、HF/HNO3の混合溶
液により、SiC膜23の開口部をマスクとしてSi基板21の
裏面エッチングを行なった。
Next, as shown in FIG. 2C, the back surface of the Si substrate 21 was etched with a mixed solution of HF / HNO 3 using the opening of the SiC film 23 as a mask.

次いで、第2図(d)に示す如く、W膜24上に電子ビ
ームレジスト25として膜厚0.6μmのCMS(クロロメチル
化ポリスチレン)を塗布し、N2雰囲気中150℃にてベー
キングすることにより電子ビームレジスト25中の溶媒を
除去した後、加速電圧50KeVの可変成形ビームを用いた
電子ビームリソグラフィによりドーズ量150μC/cm2にて
レジスト25を描画して所望のパターン(最小線幅0.2μ
m)を形成した。
Next, as shown in FIG. 2 (d), a 0.6 μm-thick CMS (chloromethylated polystyrene) is applied as an electron beam resist 25 on the W film 24 and baked at 150 ° C. in an N 2 atmosphere. After removing the solvent in the electron beam resist 25, the resist 25 is drawn at a dose of 150 μC / cm 2 by electron beam lithography using a variable shaped beam with an acceleration voltage of 50 KeV to obtain a desired pattern (minimum line width 0.2 μm).
m) was formed.

そして、第2図(e)に示すように、ECR型プラズマ
エッチングによりSF6+10%O2,ガス圧力5mTorr、マイク
ロ波パワー200Wで、レジスト25をマスクとしてW膜24を
異方性エッチングによりパターニングした。そして、CF
4ガスを用いた反応性イオンエッチングにより裏面のマ
スクSiCを除去したのち、表面研磨を行い、この研磨に
よって生じた破砕層をRIE装置を用いて除去した。この
ときのエッチングガスとしてはCF4+O2を用い、印加電
力は200Wとした。
Then, as shown in FIG. 2 (e), the W film 24 is patterned by anisotropic etching using a resist 25 as a mask with SF 6 + 10% O 2 , a gas pressure of 5 mTorr and a microwave power of 200 W by ECR type plasma etching. did. And CF
After the mask SiC on the back surface was removed by reactive ion etching using four gases, the front surface was polished, and the crushed layer generated by this polishing was removed using an RIE apparatus. At this time, CF 4 + O 2 was used as an etching gas, and the applied power was 200 W.

次に、シリコンからなる補強枠26を表面研磨し、この
研磨によって生じた破砕層をRIE装置を用いて除去し
た。このときのエッチングガスとしてはCF4+O2を用
い、印加電力は200Wとした。
Next, the surface of the reinforcing frame 26 made of silicon was polished, and a crushed layer generated by the polishing was removed using an RIE apparatus. At this time, CF 4 + O 2 was used as an etching gas, and the applied power was 200 W.

そしてこの補強枠26とマスク支持体であるシリコン基
板21とを、接着面を希弗酸で処理した後、直接接合を行
った。接合は接着面に空気が残るのを防ぐために真空中
でおこなった。
Then, the bonding surface of the reinforcing frame 26 and the silicon substrate 21 as a mask support was treated with dilute hydrofluoric acid, and then directly bonded. Bonding was performed in a vacuum to prevent air from remaining on the bonding surface.

最後に400℃3分間の熱処理を行った。ここで熱処理
を行うのは接着強度を増すためである。
Finally, heat treatment was performed at 400 ° C. for 3 minutes. The heat treatment is performed here to increase the adhesive strength.

以上の工程により形成したX線マスクの補強枠の剥が
れに関する歩留まりは93%となった。ちなみに従来の方
法による場合の歩留まりは70%であった。
The yield of peeling of the reinforcing frame of the X-ray mask formed by the above steps was 93%. Incidentally, the yield by the conventional method was 70%.

このように本発明の方法によれば、X線マスク支持体
および補強枠の接着面をあらかじめエッチングすること
により破砕層を除去し清浄な表面を得た後、直接接合を
行っているため、接合強度が大幅に増大し、剥がれを防
いでいるものと考えられる。
As described above, according to the method of the present invention, the bonding surface of the X-ray mask support and the reinforcing frame is previously etched to remove the crushed layer and obtain a clean surface, and then the direct bonding is performed. It is considered that the strength was greatly increased and peeling was prevented.

なお、前記実施例ではマスク支持体と補強枠との両方
をエッチングしたが、いずれか一方でもよい。また、エ
ッチング方法についても、RIE法のみならず、CF4あるい
はCF4+O2等をエッチングガスとして用いたCDE法等によ
っても良い。
In this embodiment, both the mask support and the reinforcing frame are etched, but either one may be used. The etching method may be not only the RIE method but also a CDE method using CF 4 or CF 4 + O 2 as an etching gas.

なお、本発明は上述した各実施例に限定されるもので
はない。例えば、X線吸収体薄膜としてはWに限らず、
Ta,Mo及びこれらの窒化物及び炭化物を用いることもで
きる。X線透過性薄膜としてSiC膜を用いたが、SiNX,B
N,ボロンドープしたSi基板を用いることができる。
Note that the present invention is not limited to the above-described embodiments. For example, the X-ray absorber thin film is not limited to W,
Ta, Mo and their nitrides and carbides can also be used. Using SiC film as an X-ray transparent film but, SiN X, B
An N, boron doped Si substrate can be used.

さらに、補強枠も、シリコンに限定されること無く、
シリコン化合物やパイレックスガラスなどのガラスでも
よい。
Furthermore, the reinforcing frame is not limited to silicon,
A glass such as a silicon compound or Pyrex glass may be used.

また、前記実施例ではマスク支持体と補強枠との直接
接合について説明したが、X線透過性薄膜に補強枠を接
合する場合にも適用可能である。
In the above embodiment, the direct joining of the mask support and the reinforcing frame has been described. However, the present invention is also applicable to the case where the reinforcing frame is joined to the X-ray transparent thin film.

その他、本発明の要旨を逸脱しない範囲で、種々変形
して実施することができる。
In addition, various modifications can be made without departing from the scope of the present invention.

〔発明の効果〕〔The invention's effect〕

以上説明してきたように、本発明では、マスク支持体
またはX線透過性薄膜に補強枠を接合する前に、接着面
をケミカルドライエッチングまたは反応性イオンエッチ
ングし、破砕層を除去して清浄な表面を得ることによ
り、剥がれもなく、歩留まりが向上する。
As described above, in the present invention, before bonding the reinforcing frame to the mask support or the X-ray transparent thin film, the bonding surface is subjected to chemical dry etching or reactive ion etching to remove the crushed layer and clean the surface. By obtaining the surface, there is no separation and the yield is improved.

【図面の簡単な説明】[Brief description of the drawings]

第1図(a)乃至第1図(f)は本発明の第1の実施例
のX線マスクの製造工程を示す図、第2図(a)乃至第
2図(f)は本発明の第2の実施例のX線マスクの製造
工程を示す図、第3図(a)乃至第3図(f)は従来例
のX線マスクの製造工程を示す図である。 1,11,21……シリコン基板、2,12,13,22,23……X線透過
膜、4,14,24……X線吸収膜パターン、5,16,25……CMS
レジスト、6,15,26……補強枠、7……接着剤。
1 (a) to 1 (f) are views showing a manufacturing process of an X-ray mask according to a first embodiment of the present invention, and FIGS. 2 (a) to 2 (f) are drawings of the present invention. FIGS. 3 (a) to 3 (f) are views showing a manufacturing process of the X-ray mask of the second embodiment, and FIGS. 3 (a) to 3 (f) are diagrams showing the manufacturing process of the conventional X-ray mask. 1,11,21 ... Silicon substrate, 2,12,13,22,23 ... X-ray transparent film, 4,14,24 ... X-ray absorbing film pattern, 5,16,25 ... CMS
Resist, 6,15,26 ... Reinforcing frame, 7 ... Adhesive.

───────────────────────────────────────────────────── フロントページの続き (58)調査した分野(Int.Cl.7,DB名) H01L 21/027 G03F 1/16 ──────────────────────────────────────────────────続 き Continued on front page (58) Field surveyed (Int.Cl. 7 , DB name) H01L 21/027 G03F 1/16

Claims (1)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】マスク支持体上にX線透過性薄膜を形成す
るX線透過性薄膜形成工程と、 前記X線透過性薄膜上にX線吸収体薄膜を形成するX線
吸収体薄膜形成工程と、 前記X線吸収体薄膜を所望の形状にパターニングするX
線吸収体薄膜パターン形成工程と、 前記マスク支持体またはX線透過性薄膜に補強枠を接合
する接合工程とを含むX線マスクの製造方法において、 前記接合工程に先立ち、前記マスク支持体、前記X線透
過性薄膜、前記補強枠の少なくとも一方の接合面をケミ
カルドライエッチングまたは反応性イオンエッチングす
る表面処理工程を具備し、 前記接合工程は、直接接合により行うこと を特徴とするX線マスクの製造方法。
1. An X-ray transparent thin film forming step of forming an X-ray transparent thin film on a mask support, and an X-ray absorber thin film forming step of forming an X-ray absorber thin film on the X-ray transparent thin film X for patterning the X-ray absorber thin film into a desired shape.
In a method for manufacturing an X-ray mask, comprising: a step of forming a line absorber thin film pattern; and a step of joining a reinforcing frame to the mask support or the X-ray transparent thin film, prior to the joining step, the mask support, An X-ray mask comprising: a surface treatment step of performing chemical dry etching or reactive ion etching on at least one bonding surface of the X-ray transparent thin film and the reinforcing frame; and the bonding step is performed by direct bonding. Production method.
JP26169390A 1990-09-28 1990-09-28 X-ray mask manufacturing method Expired - Fee Related JP3032262B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP26169390A JP3032262B2 (en) 1990-09-28 1990-09-28 X-ray mask manufacturing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP26169390A JP3032262B2 (en) 1990-09-28 1990-09-28 X-ray mask manufacturing method

Publications (2)

Publication Number Publication Date
JPH04137718A JPH04137718A (en) 1992-05-12
JP3032262B2 true JP3032262B2 (en) 2000-04-10

Family

ID=17365402

Family Applications (1)

Application Number Title Priority Date Filing Date
JP26169390A Expired - Fee Related JP3032262B2 (en) 1990-09-28 1990-09-28 X-ray mask manufacturing method

Country Status (1)

Country Link
JP (1) JP3032262B2 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2634714B2 (en) * 1991-08-05 1997-07-30 信越化学工業株式会社 Method of manufacturing X-ray mask structure
JP2768595B2 (en) * 1992-05-20 1998-06-25 信越化学工業株式会社 Method of manufacturing X-ray mask structure
JP3775745B2 (en) * 1994-10-07 2006-05-17 株式会社渡辺商行 Reticle

Also Published As

Publication number Publication date
JPH04137718A (en) 1992-05-12

Similar Documents

Publication Publication Date Title
JP2823276B2 (en) Method for manufacturing X-ray mask and apparatus for controlling internal stress of thin film
US5291536A (en) X-ray mask, method for fabricating the same, and pattern formation method
JP3121574B2 (en) Method of manufacturing a film mask having a mask field
US5888846A (en) Method for microfabricating diamond
JP3032262B2 (en) X-ray mask manufacturing method
JPH0775219B2 (en) Method of manufacturing X-ray exposure mask
JPH0992602A (en) Mask structure and production thereof
JPH03173116A (en) X-ray mask and manufacture thereof
JP3195328B2 (en) X-ray mask and method of manufacturing X-ray mask
JP3036320B2 (en) Method of manufacturing transmission mask for charged beam exposure
JPH02503239A (en) Monolithic channel mask with amorphous/single crystal structure
JPH0536590A (en) X-ray mask and manufacture of x-ray mask
JPH02252229A (en) X-ray exposure mask and its manufacture
JPH06177017A (en) Manufacture of x-ray mask
JP2004311839A (en) Method for manufacturing mask blanks
JP2543927B2 (en) X-ray mask manufacturing method
JP3148798B2 (en) Manufacturing method of mask for charged particle beam exposure
JPS5923104B2 (en) Manufacturing method for soft X-ray exposure mask
JPH0684764A (en) Manufacture of x-ray mask and instrument for measuring stress of x-ray mask
JPH0536591A (en) Manufacture of x-ray mask
JPS63120420A (en) Manufacture of x-ray mask
JPS63136518A (en) Manufacture of x-ray mask
JPH05267129A (en) Mask for x-ray exposure and manufacture thereof
JP2886573B2 (en) X-ray mask and manufacturing method thereof
JPH0794386A (en) Transmission mask for charged beam exposure and its manufacture thereof

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees