JPH03211753A - Semiconductor manufacturimg device - Google Patents

Semiconductor manufacturimg device

Info

Publication number
JPH03211753A
JPH03211753A JP2007572A JP757290A JPH03211753A JP H03211753 A JPH03211753 A JP H03211753A JP 2007572 A JP2007572 A JP 2007572A JP 757290 A JP757290 A JP 757290A JP H03211753 A JPH03211753 A JP H03211753A
Authority
JP
Japan
Prior art keywords
wafer
electrostatic chuck
electrodes
negative
arm
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007572A
Other languages
Japanese (ja)
Other versions
JP2867526B2 (en
Inventor
Takahiro Kawaguchi
貴弘 河口
Yasushi Ishimaru
石丸 靖
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2007572A priority Critical patent/JP2867526B2/en
Publication of JPH03211753A publication Critical patent/JPH03211753A/en
Application granted granted Critical
Publication of JP2867526B2 publication Critical patent/JP2867526B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Abstract

PURPOSE:To effectively utilize or discharge the residual charge of a wafer so as to facilitate the secession of the wafer by providing a high voltage power source with a polarity changeover means, and applying positive or negative voltages to electrodes or applying homopolar voltage to the residual charge of a wafer. CONSTITUTION:When the polarity changeover means 2f and 2g change over the positive/negative poles of respective high voltage power sources 2a and 2b between output terminals 2c and 2d and an earth terminal 2e to suck a wafer 6 with an electrostatic chuck 1, the voltage is applied so that for example an electrode 1b may be positive and an electrode 1c negative. Taking the case the that the wafer 6 is RIE-processed, the wafer 6 is charged in negative, bathed in the shower of electrons being charge particles, and negative residual charge 7a stand at the surface of the wafer 6. Thereupon, negative voltage is applied to two electrodes 1b and 1c by changing over the polarity changeover means 2f and 2g. The negative charge induced in the insulating film 1a of an electrostatic chuck 1 and the residual charge 7a of the wafer 7a repel each other with Coulomb repulsive forces functioning, so the wafer 6 can easily secede.

Description

【発明の詳細な説明】 〔概 要〕 半導体製造装置に関し、 ウェーハの残留電荷を効果的に活用したり除電したりし
て、静電チャックからのウェーハの離脱が容易になるこ
とを目的とし、 静電チャックと、電源装置とを有し、前記静電チャック
は、ウェーハを吸着するものであって、吸着面に絶縁膜
と、絶縁膜の下に交互に配設された電極と、電極から導
出された端子とを有するものであり、前記電源装置は、
電極に直流電圧を印加するものであって、2つの高圧電
源と、端子に接続される出力端子と、接地端子と、極性
切替え手段とを有するものであり、前記極性切替え手段
は、一方が接地端子、他方が出力端子に極性切替え可能
に接続されるように、高圧電源の夫々に設けられるもの
であり、前記極性切替え手段は、静電チャックに吸着さ
れているウェーハが離脱される際、ウェーハが有する残
留電荷と同極性の電圧を出力端子を介して電極に印加す
るものであるように構成する、また、静電チャックと、
搬送アームと、継電器とを有し、前記静電チャックは、
ウェーハを吸着するものであって、装置本体に内設され
ているものであり、前記搬送アームは、ウェーハを静電
チャックに着脱するものであって、装置本体に気密可能
に貫通支持されたアーム駆動部と、装置本体に内設され
、かつアーム駆動部に旋回および昇降可能に枢支された
アーム本体と、アーム本体の先端に静電チャックに対面
するように設けられたウェーハトレイとを有するもので
あり、前記ウェーハトレイは、アーム駆動部と電気的に
接続されているものであり、前記継電器は、装置本体と
電気的に絶縁されたアーム駆動部を接地するものであっ
て、常時閉成されており、前記継電器は、搬送アームが
静電チャックに吸着されているウェーハを受け取る際、
ウェーハトレイがつ工−ハに当接する直前に開成され、
かつ当接した直後に閉成されるものであるように構成す
る。
[Detailed Description of the Invention] [Summary] The present invention relates to semiconductor manufacturing equipment, and an object of the present invention is to effectively utilize the residual charge of the wafer and eliminate the charge, thereby facilitating the removal of the wafer from the electrostatic chuck. The electrostatic chuck has an electrostatic chuck and a power supply device, and the electrostatic chuck attracts a wafer, and includes an insulating film on the attracting surface, electrodes arranged alternately under the insulating film, and The power supply device has a lead-out terminal, and the power supply device has a lead-out terminal.
The device applies a DC voltage to the electrode, and has two high-voltage power supplies, an output terminal connected to the terminal, a ground terminal, and a polarity switching means, one of which is grounded. The terminal is provided in each of the high-voltage power supplies so that the other terminal is connected to the output terminal in a polarity-switchable manner, and the polarity switching means is configured to switch the wafer An electrostatic chuck configured to apply a voltage having the same polarity as the residual charge to the electrode via the output terminal;
The electrostatic chuck includes a transfer arm and a relay, and the electrostatic chuck includes:
The transfer arm is for adsorbing the wafer and is installed inside the apparatus main body, and the transfer arm is for attaching and detaching the wafer to and from the electrostatic chuck, and is supported through the apparatus main body in an airtight manner. It has a drive unit, an arm body that is installed inside the apparatus body and pivoted to the arm drive unit so as to be able to rotate and move up and down, and a wafer tray that is provided at the tip of the arm body so as to face the electrostatic chuck. The wafer tray is electrically connected to the arm drive section, and the relay is for grounding the arm drive section, which is electrically insulated from the main body of the device, and is normally closed. The relay is configured such that when the transfer arm receives a wafer attracted to the electrostatic chuck,
The wafer tray is opened just before it comes into contact with the wafer,
In addition, the structure is such that it closes immediately after abutment.

〔産業上の利用分野〕[Industrial application field]

本発明は、半導体製造装置に係わり、特につ工−ハの離
脱が容易な静電チャックに関する。
The present invention relates to semiconductor manufacturing equipment, and more particularly to an electrostatic chuck that allows easy removal of a tool.

近年、エレクトロニクスの発展は目ざましいものがある
が、その発展は、半導体デバイスの技術革新に負うとこ
ろが大きい。
The development of electronics has been remarkable in recent years, and this development is largely due to technological innovations in semiconductor devices.

中でも、シリコン半導体を用いた集積回路の大規模・高
集積化は、非常に急速に推移しており、■チップ内に集
積される素子数は、メモリ素子の容量で見て、数年単位
で4倍に拡大している。
In particular, the large scale and high integration of integrated circuits using silicon semiconductors is progressing extremely rapidly, and the number of elements integrated into a chip is increasing every few years in terms of memory element capacity. It has expanded four times.

それに伴い、シリコンウェーハからデバイスに仕上げる
までの一連の工程の中で、いわゆるウェーハプロセスと
呼ばれるパターニングが終了するまでの工程で、ウェー
ハの取り扱いを如何に効率よく、しかも、安定に行うか
が、生産性向上の上から重要である。
Along with this, in the series of processes from silicon wafers to finished devices, production is dependent on how efficiently and stably wafers can be handled during the so-called wafer process, which is the process up to the completion of patterning. This is important from the perspective of improving sexual performance.

ウェーハプロセスには、一般に、酸化、レジスト処理、
露光、現像、エツチング、不純物導入といった幾つかの
工程が繰り返されるが、その工程の各所でウェーハの保
持と搬送が行われる。
Wafer processing generally includes oxidation, resist processing,
Several steps such as exposure, development, etching, and impurity introduction are repeated, and the wafer is held and transported at various points during these steps.

このウェーハを保持する治具には各種あるが、その1つ
に、静電荷のクーロン力を利用した静電チャックがある
There are various types of jigs for holding the wafer, one of which is an electrostatic chuck that utilizes the Coulomb force of electrostatic charges.

静電チャックは、真空を用いて吸着する真空チャックを
行うことができない真空装置の中などにおいてウェーハ
処理を行うときに用いられることが多く、例えば、エツ
チング工程のRIE (反応性イオンエツチング)処理
装置などに装備されて用いられる。
Electrostatic chucks are often used when processing wafers in vacuum equipment that cannot perform vacuum chucks using vacuum. For example, electrostatic chucks are used in RIE (reactive ion etching) processing equipment for etching processes. It is equipped and used.

〔従来の技術〕[Conventional technology]

第3図は従来の静電チャックが装備された装置の一例を
示す斜視図であり、第4図は第3図の静電チャックの一
例を示す斜視図、第5図は第4図のX−X断面図である
FIG. 3 is a perspective view showing an example of a device equipped with a conventional electrostatic chuck, FIG. 4 is a perspective view showing an example of the electrostatic chuck shown in FIG. 3, and FIG. -X sectional view.

図中、■は静電チャック、3は搬送アーム、3aはアー
ム駆動部、3cはウェーハトレイ、5は装置本体、6は
ウェーハである。
In the figure, ■ is an electrostatic chuck, 3 is a transfer arm, 3a is an arm drive section, 3c is a wafer tray, 5 is an apparatus main body, and 6 is a wafer.

第3図において、装置本体5は例えばドライエツチング
の一種であるRIE処理装置などの半導体製造装置であ
り、装置本体5の上方は大気の雰囲気で、下方は処理室
5aである。
In FIG. 3, an apparatus main body 5 is a semiconductor manufacturing apparatus such as an RIE processing apparatus, which is a type of dry etching, and the upper part of the apparatus main body 5 is an atmospheric atmosphere, and the lower part is a processing chamber 5a.

装置本体5には、下向きに静電チャック1か取り付けら
れており、そこにウェーハ6が吸着されてRIE処理さ
れる。
An electrostatic chuck 1 is attached to the apparatus main body 5 facing downward, and a wafer 6 is attracted thereto and subjected to RIE processing.

一方、装置本体5の処理室5aには搬送アーム3が内設
されている。この搬送アーム3は、アーム駆動部3aと
、そのアーム駆動部3aに枢支されて旋回したり昇降し
たりするアーム本体3bと、そのアーム本体3bの先端
に設けられたウェーハトレイ3cとから構成されている
On the other hand, a transfer arm 3 is installed inside the processing chamber 5a of the apparatus main body 5. This transfer arm 3 is composed of an arm drive section 3a, an arm body 3b that is pivoted by the arm drive section 3a and rotates and moves up and down, and a wafer tray 3c provided at the tip of the arm body 3b. has been done.

そして、ウェーハトレイ3cがぐるっと回って静電チャ
ックlの真下に(ると上昇して静電チャックlに当接し
、静電チャックlにウェーハ6を渡して吸着させたり、
静電チャック1に吸着されているウェーハ6を受け取っ
たりする。
Then, the wafer tray 3c rotates around until it is directly below the electrostatic chuck l (then it rises and contacts the electrostatic chuck l, and the wafer 6 is passed to the electrostatic chuck l and adsorbed,
The wafer 6 held on the electrostatic chuck 1 is received.

第4図と第5図において、静電チャック1は、工作機械
の被加工物を吸着するものには方形の形状もあるが、シ
リコンウェーハなどを吸着する形り、中には例えばシリ
コンゴムなどが充填されている。そして、ウェーハ6を
吸着する凸状の表面には、膜厚が100〜300μmの
絶縁膜1aが被覆されている。
In FIGS. 4 and 5, the electrostatic chuck 1 has a rectangular shape for attracting the workpiece of a machine tool, but it also has a shape for attracting silicon wafers, etc. is filled. The convex surface that attracts the wafer 6 is coated with an insulating film 1a having a thickness of 100 to 300 μm.

この絶縁膜1aは、例えばプラスチックやゴムのような
耐熱性はないが軟らかい材料とかセラミックのような耐
熱性がある硬い材料とがで構成されている。その絶縁膜
1aを剥いでみると、その下には電極1b、 lcが設
けられている。
The insulating film 1a is made of, for example, a soft material that is not heat resistant, such as plastic or rubber, or a hard material that is heat resistant, such as ceramic. When the insulating film 1a is peeled off, electrodes 1b and lc are provided underneath.

この電極1b、 lcのパターン形状は、円を2つに割
った形状であったり、九十九折り形状であったり、吸着
させる目的などによって種々の形態が採られており、こ
こでは、同心円状の櫛歯状パターンの電極1b、 lc
、が交互に配置された形状になっている。
The pattern shapes of the electrodes 1b and lc have various forms depending on the purpose of adsorption, such as the shape of a circle divided into two, the shape of a ninety-nine fold, etc. comb-shaped pattern electrodes 1b, lc
, are arranged alternately.

それぞれの端子1d、 leに上敷kVの高い直流電圧
か印加されると、絶縁膜1aに静電荷が誘起され、ウェ
ーハ6との間にクーロン力が作用して吸着が行われる。
When a high DC voltage of overlay kV is applied to each terminal 1d, le, static charges are induced in the insulating film 1a, and a Coulomb force acts between the wafer 6 and the wafer 6, thereby causing adsorption.

ところが、例えばRIE処理のように、静電チャックl
に吸着されて処理されるウェー/1Gが、例えば電子の
ような荷電粒子7によって叩かれると、ウェーハ6自体
がセルフバイアスによって電荷を帯びて残留電荷7aと
して残ってしまう。
However, for example, in RIE processing, electrostatic chuck l
When the wafer/1G to be processed is struck by charged particles 7 such as electrons, the wafer 6 itself becomes charged due to self-bias and remains as a residual charge 7a.

その結果、静電チャックlの電極ib、1cに印加して
いる直流電圧を断っても、ウェーハ6が静電チャック1
から容易に離脱しな(なることが間々起こる。
As a result, even if the DC voltage applied to the electrodes ib and 1c of the electrostatic chuck l is cut off, the wafer 6 is
Do not easily withdraw from the situation (this happens from time to time).

この対策として、図示してないが、例えばリフトピンな
どを用いてウェーハ6を機械的に離脱させようとすると
、ウェーハ6が割れたり、ウェーハ6が静電チャックl
から離脱した瞬間に剥離放電が起こったりして、ウェー
ハ6が損傷してしまうことが間々起こる。
As a countermeasure for this, although not shown, if an attempt is made to mechanically remove the wafer 6 using, for example, a lift pin, the wafer 6 may crack or the wafer 6 may be removed from the electrostatic chuck.
The moment the wafer 6 is separated from the wafer 6, a peeling discharge occurs and the wafer 6 is often damaged.

〔発明か解決しようとする課題〕[Invention or problem to be solved]

以上述べたように、半導体製造装置に内設された静電チ
ャックに吸着されたウェーハは、そのつ工−ハの処理が
RIE処理などのときにはウェーハ自体に残留電荷が残
ってしまい、静電チャックから離脱し難い問題があった
As mentioned above, when a wafer is attracted to an electrostatic chuck installed inside a semiconductor manufacturing equipment, a residual charge remains on the wafer itself when the processing process is RIE processing, etc. There was a problem that was difficult to break away from.

そうかといって、ウェーハを機械的に離脱させようとす
ると、剥離放電が起こったりしてウェーハが損傷してし
まう問題があった。
However, if an attempt is made to mechanically remove the wafer, there is a problem in that peeling discharge occurs and the wafer is damaged.

本発明は、このウェーハの残留電荷を効果的に活用した
り除電したりして、ウェーハの離脱が容易な静電チャッ
クを有する半導体製造装置を提供することを目的として
いる。
SUMMARY OF THE INVENTION An object of the present invention is to provide a semiconductor manufacturing apparatus having an electrostatic chuck that allows the wafer to be easily removed by effectively utilizing or eliminating the residual charge on the wafer.

〔課題を解決するための手段〕[Means to solve the problem]

上で述べた課題は、 静電チャックと、電源装置とを有し、 前記静電チャックは、ウェーハを吸着するものであって
、吸着面に絶縁膜と、絶縁膜の下に交互に配設された電
極と、電極から導出された端子とを有するものであり、 前記電源装置は、電極に直流電圧を印加するものであっ
て、2つの高圧電源と、端子に接続される出力端子と、
接地端子と、極性切替え手段とを有するものであり、 前記極性切替え手段は、一方が接地端子、他方が出力端
子に極性切替え可能に接続されるように、高圧電源の夫
々に設けられるものであり、前記極性切替え手段は、静
電チャックに吸着されているウェーハが離脱される際、
ウエーノ\が有する残留電荷と同極性の電圧を出力端子
を介して電極に印加するものであるように構成された半
導体製造装置、また、 静電チャックと、搬送アームと、継電器とを有し、 前記静電チャックは、ウェーハを吸着するものであって
、装置本体に内設されているものであり、前記搬送アー
ムは、ウェーハを静電チャックに着脱するものであって
、装置本体に気密可能に貫通支持されたアーム駆動部と
、装置本体に内設され、かつアーム駆動部に旋回および
昇降可能に枢支されたアーム本体と、アーム本体の先端
に静電チャックに対面するように設けられたウェーハト
レイとを有するものであり、 前記ウェーハトレイは、アーム駆動部と電気的に接続さ
れているものであり、 前記継電器は、装置本体と電気的に絶縁されたアーム駆
動部を接地するものであって、常時閉成されており、 前記継電器は、搬送アームが静電チャックに吸着されて
いるウェーハを受け取る際、ウェーハトレイがウェーハ
に当接する直前に開成され、かつ当接した直後に閉成さ
れるものであるように構成された半導体製造装置によっ
て解決される。
The problem described above is that the electrostatic chuck has an electrostatic chuck and a power supply device, and the electrostatic chuck is for attracting a wafer, and an insulating film is disposed on the attracting surface and an insulating film is disposed alternately under the insulating film. and a terminal led out from the electrode, the power supply device applies a DC voltage to the electrode, and includes two high-voltage power supplies, an output terminal connected to the terminal,
It has a grounding terminal and a polarity switching means, and the polarity switching means is provided on each of the high voltage power supplies so that one side is connected to the grounding terminal and the other side is connected to the output terminal so that the polarity can be switched. , the polarity switching means, when the wafer adsorbed by the electrostatic chuck is detached,
A semiconductor manufacturing device configured to apply a voltage of the same polarity as the residual charge possessed by Ueno\ to an electrode via an output terminal, further comprising an electrostatic chuck, a transfer arm, and a relay, The electrostatic chuck is for adsorbing the wafer and is installed inside the apparatus main body, and the transfer arm is for attaching and detaching the wafer to the electrostatic chuck, and is airtight to the apparatus main body. an arm drive section that is supported through the device; an arm body that is installed inside the device body and pivoted to the arm drive section so as to be able to rotate and move up and down; and an arm drive section that is provided at the tip of the arm body so as to face the electrostatic chuck. and a wafer tray, the wafer tray being electrically connected to the arm drive section, and the relay grounding the arm drive section which is electrically insulated from the apparatus main body. The relay is always closed, and when the transfer arm receives a wafer attracted to an electrostatic chuck, the relay is opened immediately before the wafer tray contacts the wafer, and is closed immediately after the contact. The present invention is solved by a semiconductor manufacturing apparatus configured to make the present invention possible.

〔作 用〕[For production]

静電チャックに吸着されたウェーハを、例えばエツチン
グの一種であるRIE処理のように荷電粒子によって叩
(と、ウェーハ自体が電荷を帯びて静電チャックから離
脱し難くなるのに対して、まず、第一の本発明において
は、ウェーハに溜まったこのような残留電荷を積極的に
利用して、静電チャックから容易にウェーハを離脱させ
るようにしている。
If a wafer attracted to an electrostatic chuck is struck by charged particles, for example in RIE processing, which is a type of etching, the wafer itself becomes charged and becomes difficult to separate from the electrostatic chuck. In the first aspect of the present invention, such residual charges accumulated on the wafer are actively utilized to easily remove the wafer from the electrostatic chuck.

すなわち、ウェーハの残留電荷の極性と同極性の電圧を
静電チャックの電極に印加し、同極性同士の電荷による
クーロン斥力によってウェーハを静電チャックから離脱
させるようにしている。
That is, a voltage having the same polarity as the residual charge on the wafer is applied to the electrode of the electrostatic chuck, and the wafer is separated from the electrostatic chuck by the Coulomb repulsion caused by the charges having the same polarity.

そのために、2つの高圧電源を具えた直流の電源装置の
それぞれの高圧電源に極性切替え手段を設け、ウェーハ
を静電チャックに吸着する際には、2つの電極に正負の
電圧を印加し、ウェーハを静電チャックから離脱させる
際には、2つの電極にウェーハの残留電荷と同極性の電
圧を印加するようにしている。
For this purpose, a polarity switching means is provided in each high voltage power supply of a DC power supply device equipped with two high voltage power supplies, and when a wafer is attracted to an electrostatic chuck, positive and negative voltages are applied to the two electrodes, and the wafer is When separating the wafer from the electrostatic chuck, a voltage of the same polarity as the residual charge of the wafer is applied to the two electrodes.

こうして、クーロン斥力を利用すれば、荷電されたウェ
ーハを容易に静電チャックから離脱させることができる
In this way, by utilizing Coulomb repulsion, the charged wafer can be easily separated from the electrostatic chuck.

次いで、第二の本発明においては、ウェーハに溜まった
残留電荷を、静電チャックにウェーハを着脱させる搬送
アームのウェーハトレイに放電することなく漏洩させる
ようにしている。
Next, in the second aspect of the present invention, the residual charge accumulated on the wafer is leaked without being discharged to the wafer tray of the transfer arm that attaches and detaches the wafer to the electrostatic chuck.

すなわち、搬送アームを装置本体から電気的に絶縁し、
しかもアーム駆動部とアーム本体、ウェーハトレイから
なる搬送アーム全体を導通するようにしている。そして
、装置本体から外に露出しているアーム駆動部を継電器
を介して常時接地できるようにしている。
In other words, the transfer arm is electrically isolated from the main body of the device,
Furthermore, the entire transfer arm consisting of the arm drive section, arm body, and wafer tray is electrically connected. The arm drive section exposed outside of the main body of the device can be grounded at all times via a relay.

一方、ウェーハトレイは、106から10”Ωcm程度
の体積比抵抗を有する材料を用いて、ウェーハの残留電
荷との間に放電が起こるような急激な電位差が生じない
ようにしている。こ\ではその材料として5iC(シリ
コンカーバイド)を用いるようにしている。
On the other hand, the wafer tray is made of a material with a volume resistivity of about 106 to 10" Ωcm to prevent a sudden potential difference between the wafer and the residual charge that would cause a discharge to occur. 5iC (silicon carbide) is used as the material.

ウェーハの離脱動作に際しては、搬送アームが静電チャ
ックからウェーハを離脱させるために旋回・上昇して、
ウェーハトレイがウェーハに当接する直前に、継電器を
開成して搬送アーム全体を接地から浮かせるようにして
いる。そして、つニーハトレイがウェーハに当接した直
後に継電器を閉成して、ウェーハの残留電荷を比較的体
積比抵抗の低いSiC製のウェーハトレイから徐々に漏
洩させるようにしている。
During the wafer detachment operation, the transfer arm rotates and rises to detach the wafer from the electrostatic chuck.
Immediately before the wafer tray contacts the wafers, a relay is opened to lift the entire transfer arm off the ground. Immediately after the wafer tray comes into contact with the wafer, the relay is closed to gradually leak the residual charge on the wafer from the SiC wafer tray, which has a relatively low volume resistivity.

こうすると、放電によるウェーハの損傷を防ぐことがで
きる。
This can prevent damage to the wafer due to discharge.

このように、本発明においては、静電チャックに吸着さ
れながら処理されて残留電荷を有するつ工−ハに対して
、その残留電荷のクーロン斥力を利用するとか、あるい
はウェーハと当接するつニーハトレイの体積比抵抗を比
較的高くするとともに、搬送アームを接地と断続して残
留電荷を徐々に漏洩させるとかして、静電チャックの離
脱に関わるウェーハの損傷を防いでいる。
As described above, in the present invention, for a wafer that has been processed while being attracted to an electrostatic chuck and has a residual charge, the Coulomb repulsion of the residual charge is utilized, or the wafer is placed in contact with the wafer. In addition to making the volume resistivity relatively high, the transfer arm is disconnected from the ground to gradually leak residual charge, thereby preventing damage to the wafer due to detachment of the electrostatic chuck.

〔実施例〕〔Example〕

第1図は本発明の一実施例を説明する構成図、第2図は
本発明の他の実施例を説明する斜視図である。
FIG. 1 is a configuration diagram illustrating one embodiment of the present invention, and FIG. 2 is a perspective view illustrating another embodiment of the present invention.

図中、lは静電チャック、2は電源装置、3は搬送アー
ム、4は継電器、5は装置本体、6はウェーハである。
In the figure, l is an electrostatic chuck, 2 is a power supply device, 3 is a transfer arm, 4 is a relay, 5 is an apparatus main body, and 6 is a wafer.

実施例:1 第1図において、静電チャックlの主要部は、ウェーハ
6の吸着面である絶縁膜1aと、その下に埋め込まれた
電極1b、 Icとから構成されており、絶縁膜1aの
直径は、吸着するウェーハ6の直径より1周り小さくな
っている。
Example: 1 In FIG. 1, the main part of the electrostatic chuck 1 is composed of an insulating film 1a, which is the suction surface for the wafer 6, and electrodes 1b and Ic buried under the insulating film 1a. The diameter of the wafer 6 is smaller than the diameter of the wafer 6 to be attracted.

絶縁膜1aは、例えば膜厚が100〜300μmの絶縁
性の高い材料からなり、例えばシリコンゴムのような軟
らかい材料とかアルミナセラミックのような硬い材料な
ど用いられ、目的に応じて使い分けられている。
The insulating film 1a is made of a highly insulating material with a film thickness of 100 to 300 μm, for example, and a soft material such as silicone rubber or a hard material such as alumina ceramic is used, depending on the purpose.

電極1b、 lcには、種々のパターン形状があるが、
こ\では、同心円状の櫛歯状パターンの電極1b、lc
が用いられており、それぞれの電極1b、 lcから端
子1d、 leが出ていて、直流の高電圧が印加できる
ようになっている。
There are various pattern shapes for the electrodes 1b and lc, but
In this case, concentric comb-like pattern electrodes 1b and lc are used.
Terminals 1d and 1e come out from the electrodes 1b and 1c, respectively, so that a high DC voltage can be applied.

一方、電源装置2は、例えば2kVの直流電圧を出力す
る2つの高圧電源2a、2bと、端子1d、 leにそ
れぞれ接続されている2つの出力端子2c、2dと、2
つずつのベクセル接点をもったスイッチからなる極性切
替え手段2f、2gと、接地端子2eとから構成されて
いる。
On the other hand, the power supply device 2 includes two high-voltage power supplies 2a and 2b that output a DC voltage of, for example, 2 kV, and two output terminals 2c and 2d connected to the terminals 1d and le, respectively.
It is composed of polarity switching means 2f, 2g consisting of switches each having a vexel contact, and a ground terminal 2e.

そして、極性切替え手段2[,2gが、それぞれの高圧
電源2a、2bの正極/負極を、出力端子2C12dと
接地端子2eとの間で切り替え、静電チャックlにウェ
ーハ6を吸着する際には、例えば電極1bが正で電極1
cが負になるように電圧が印加される。
Then, the polarity switching means 2[, 2g switches the positive/negative electrodes of the respective high voltage power supplies 2a, 2b between the output terminal 2C12d and the ground terminal 2e, and when the wafer 6 is attracted to the electrostatic chuck l. , for example, if electrode 1b is positive and electrode 1
A voltage is applied so that c becomes negative.

ウェーハ6がRIE処理される場合を例にすると、ウェ
ーハ6は荷電粒子7である電子のジャワを浴びて負に荷
電され、負の残留電荷7aがウェーハ6の表面に溜まる
。そこで、極性切替え手段2【、2gを切り換えて、図
示したように2つの電極tb、lcに負の電圧を印加す
る。
For example, when the wafer 6 is subjected to the RIE process, the wafer 6 is negatively charged by being bombarded with electrons, which are the charged particles 7, and a negative residual charge 7a is accumulated on the surface of the wafer 6. Therefore, the polarity switching means 2[, 2g are switched to apply a negative voltage to the two electrodes tb and lc as shown.

この極性切替え手段2「、2gのスイッチの切替えは、
図示してない制御系によって自動的に制御されるように
なっている。
The switching of this polarity switching means 2', 2g is as follows:
It is automatically controlled by a control system (not shown).

こうして、静電チャック1の絶縁膜1aに誘起された負
の電荷Ifとウェーハ6の残留電荷7aとでクーロン斥
力が働いて反発し合うので、ウェーハ6が容易に離脱す
る。
In this way, the negative charge If induced in the insulating film 1a of the electrostatic chuck 1 and the residual charge 7a of the wafer 6 repel each other due to Coulomb repulsion, so that the wafer 6 is easily detached.

実施例:2 第2図において、搬送アーム3は、アーム駆動部3aと
、アーム駆動部3aに枢支されて旋回したり上下動した
りするアーム本体3bと、アーム本体3bの先端に支持
されて、静電チャック1の真下でウェーハ6を静電チャ
ックlに着脱させるウェーハトレイ3cとから構成され
ている。
Embodiment 2 In FIG. 2, the transfer arm 3 includes an arm drive section 3a, an arm body 3b that is pivoted by the arm drive section 3a and rotates and moves up and down, and is supported at the tip of the arm body 3b. and a wafer tray 3c directly below the electrostatic chuck 1 for attaching and detaching the wafer 6 to and from the electrostatic chuck l.

そして、搬送アーム3は、例えばAl製で全体が導通さ
れており、ウェーハトレイ3cは、比較的体積比抵抗の
低いSiC製である。
The transfer arm 3 is made of Al, for example, and is electrically connected throughout, and the wafer tray 3c is made of SiC, which has a relatively low volume resistivity.

装置本体5は、例えばRIEを行う処理室5aの上壁で
ある。そして、アーム駆動部3aは、例えばふっ素糸樹
脂で作ったガスケット5bを介在させて気密を保つとと
もに、装置本体5と電気的に絶縁されるように貫通支持
されている。そして、アーム駆動部3aの上部は、大気
中に突出しており、常時閉成している継電器4を介して
接地できるようになっている。
The apparatus main body 5 is, for example, the upper wall of a processing chamber 5a in which RIE is performed. The arm drive section 3a is supported through the device main body 5 so as to be electrically insulated and kept airtight by interposing a gasket 5b made of, for example, fluorine thread resin. The upper part of the arm driving part 3a protrudes into the atmosphere and can be grounded via a relay 4 which is always closed.

アーム本体3bやウェーハトレイ30などは装置本体5
の中に配設されている。
The arm body 3b, wafer tray 30, etc. are included in the device body 5.
is located inside.

実施例1と同様に負の残留電荷7aを有するウェーハ6
に対して、搬送アーム3を駆動してウェーハトレイ3c
を接近させ、ウェーハトレイ3cがウェーハ6に当接す
る1秒前に継電器4を開成して搬送アーム3全体を接地
から浮かす。そして、ウェーハトレイ3cがウェーハ6
に当接した0、2秒後に高電圧を切断するとともに、継
電器4を閉成して搬送アーム3全体を接地する。
Wafer 6 having negative residual charge 7a as in Example 1
, the transfer arm 3 is driven to move the wafer tray 3c.
1 second before the wafer tray 3c contacts the wafer 6, the relay 4 is opened to lift the entire transfer arm 3 from the ground. Then, the wafer tray 3c holds the wafer 6
The high voltage is cut off 0.2 seconds after contact with the transfer arm 3, and the relay 4 is closed to ground the entire transfer arm 3.

その結果、約1秒後にはウェーハ6の残留電荷6aが除
電され、ウェーハ6に損傷を与える放電は全く見られな
い。
As a result, the residual charge 6a on the wafer 6 is removed after about one second, and no discharge that damages the wafer 6 is observed.

こ\では、RIE処理室を例としたり、静電チャックに
同心円状の櫛歯状パターンの電極を用いたりしたが、種
々の変形か可能である。
In this case, an RIE processing chamber is used as an example, and a concentric comb-like pattern of electrodes is used for the electrostatic chuck, but various modifications are possible.

また、継電器を開閉や除電のタイミングなどは、例えば
ウェーハに溜まる残留電荷の量とかウェーハトレイや搬
送アームの対接地インピーダンスなどとかによって、種
々の変形が可能である。
Further, the timing of opening/closing the relay and removing static electricity can be varied in various ways depending on, for example, the amount of residual charge accumulated on the wafer, the grounding impedance of the wafer tray and the transfer arm, and the like.

〔発明の効果〕〔Effect of the invention〕

以上述べたように、静電チャックに吸着されたウェーハ
が例えばRIE処理のような荷電粒子によって叩かれて
電荷を帯びて残留電荷が溜まり、静電チャックから離脱
し難くなったウェーハに対して、本発明においては、ま
ず、静電チャックにこの電荷と同極性の電圧を印加して
、クーロン斥力によって離脱し易(している。
As mentioned above, for wafers that have been attracted to an electrostatic chuck and are struck by charged particles during RIE processing and become charged, residual charges accumulate, and it becomes difficult for the wafer to separate from the electrostatic chuck. In the present invention, first, a voltage having the same polarity as this charge is applied to the electrostatic chuck so that the chuck is easily detached due to Coulomb repulsion.

さらに、ウェーハを静電チャックに着脱させる搬送アー
ム全体を装置本体から絶縁して継電器を介して接地でき
るようにするとともに、ウェーハトレイを比較的体積比
抵抗の低いSiC製にし、継電器の接地タイミングを制
御して、ウェー”に溜まった残留電荷を放電が起こらな
いように徐々に除電してい(。
Furthermore, the entire transfer arm that attaches and detaches wafers to and from the electrostatic chuck is insulated from the main body of the apparatus so that it can be grounded via a relay, and the wafer tray is made of SiC, which has a relatively low volume resistivity, to adjust the timing of the relay's grounding. The residual charge accumulated in the wafer is gradually removed to prevent discharge.

こうして、例えば機械的に離脱してウェー71が破損し
たり、ウェーハトレイとの間で放電してウェーハが損傷
したりする従来の障害を防ぐことができる。
In this way, conventional failures such as, for example, mechanical detachment and damage to the wafer 71 or electrical discharge between the wafer tray and the wafer can be avoided.

従って、本発明は、半導体製造工程における歩留り向上
に寄与するところが大である。
Therefore, the present invention greatly contributes to improving the yield in semiconductor manufacturing processes.

【図面の簡単な説明】[Brief explanation of drawings]

第1図は本発明の一実施例を説明する構成図、第2図は
本発明の他の実施例を説明する斜視図、第3図は従来の
静電チャックが装備された装置の一例を示す斜視図、 第4図は第3図の静電チャックの一例を示す斜視図、 第5図は第4図のX−X断面図、 である。 図において、 lは静電チャック、  1aは絶縁膜、lb、 lcは
電極、    ld、 leは端子、2は電源装置、 
   2a、2bは高圧電源、2C12dは出力端子、
  2eは接地端子、2f  2gは極性切替え手段、 3は搬送アーム、   3aはアーム駆動部、3Mアー
ム本体、    3cはウニ/’I’レイ、4は継電器
、      5は装置本体、6はウェーハ である。 木金明の一實内i脅・1Σ説明オう、脣(石12第 図 \ 、コー#良送アーム 従来r嘘″t+1ッ2ボ諷・蝉1樅た漱1の一分11示
す剥7見バΣ亮 図
FIG. 1 is a block diagram explaining one embodiment of the present invention, FIG. 2 is a perspective view explaining another embodiment of the present invention, and FIG. 3 is an example of a device equipped with a conventional electrostatic chuck. 4 is a perspective view showing an example of the electrostatic chuck shown in FIG. 3; FIG. 5 is a sectional view taken along line XX in FIG. 4. In the figure, l is an electrostatic chuck, 1a is an insulating film, lb, lc are electrodes, ld, le are terminals, 2 is a power supply device,
2a and 2b are high voltage power supplies, 2C12d is an output terminal,
2e is a ground terminal, 2f and 2g are polarity switching means, 3 is a transfer arm, 3a is an arm drive unit, 3M arm body, 3c is a sea urchin/'I' lay, 4 is a relay, 5 is a device body, and 6 is a wafer. . Mikage Akira's actual threat, 1 Σ explanation, 脣 (stone 12 fig. Miba Σ Ryozu

Claims (1)

【特許請求の範囲】 1)静電チャック(1)と、電源装置(2)とを有し、 前記静電チャック(1)は、ウェーハ(6)を吸着する
ものであって、吸着面に絶縁膜(1a)と、該絶縁膜(
1a)の下に交互に配設された電極(1b、1c)と、
該電極(1b、1c)から導出された端子(1d、1e
)とを有するものであり、 前記電源装置(2)は、前記電極(1b、1c)に直流
電圧を印加するものであって、2つの高圧電源(2a、
2b)と、前記端子(1d、1e)に接続される出力端
子(2c、2d)と、接地端子(2e)と、極性切替え
手段(2f、2g)とを有するものであり、前記極性切
替え手段(2f、2g)は、一方が前記接地端子(2e
)、他方が前記出力端子(2c、2d)に極性切替え可
能に接続されるように、前記高圧電源(2a、2b)の
夫々に設けられるものであり、前記極性切替え手段(2
f、2g)は、前記静電チャック(1)に吸着されてい
る前記ウェーハ(6)が離脱される際、該ウェーハ(6
)が有する残留電荷と同極性の電圧を出力端子(2c、
2d)を介して前記電極(1b、1c)に印加するもの
であることを特徴とする半導体製造装置。 2)静電チャック(1)と、搬送アーム(3)と、継電
器(4)とを有し、 前記静電チャック(1)は、ウェーハ(6)を吸着する
ものであって、装置本体(5)に内設されているもので
あり、 前記搬送アーム(3)は、ウェーハ(6)を前記静電チ
ャック(1)に着脱するものであって、前記装置本体(
5)に気密可能に貫通支持されたアーム駆動部(3a)
と、該装置本体(5)に内設され、かつ該アーム駆動部
(3a)に旋回および昇降可能に枢支されたアーム本体
(3b)と、該アーム本体(3b)の先端に前記静電チ
ャック(1)に対面するように設けられたウェーハトレ
イ(3c)とを有するものであり、前記ウェーハトレイ
(3c)は、前記アーム駆動部(3a)と電気的に接続
されているものであり、前記継電器(4)は、前記装置
本体(5)と電気的に絶縁された前記アーム駆動部(3
a)を接地するものであって、常時閉成されており、 前記継電器(4)は、前記搬送アーム(3)が前記静電
チャック(1)に吸着されている前記ウェーハ(6)を
受け取る際、前記ウェーハトレイ(3c)が該ウェーハ
(6)に当接する直前に開成され、かつ当接した直後に
閉成されるものである ことを特徴とする半導体製造装置。
[Claims] 1) It has an electrostatic chuck (1) and a power supply device (2), the electrostatic chuck (1) is for adsorbing a wafer (6), and the electrostatic chuck (1) is for adsorbing a wafer (6). An insulating film (1a) and an insulating film (
electrodes (1b, 1c) arranged alternately under 1a);
Terminals (1d, 1e) led out from the electrodes (1b, 1c)
), and the power supply device (2) applies a DC voltage to the electrodes (1b, 1c), and includes two high-voltage power supplies (2a, 1c).
2b), an output terminal (2c, 2d) connected to the terminal (1d, 1e), a ground terminal (2e), and polarity switching means (2f, 2g), the polarity switching means (2f, 2g), one of which is the ground terminal (2e
), the other is provided in each of the high voltage power supplies (2a, 2b) such that the other is connected to the output terminal (2c, 2d) in a polarity switchable manner, and the polarity switching means (2
f, 2g), when the wafer (6) adsorbed by the electrostatic chuck (1) is removed, the wafer (6)
) output terminal (2c,
2d) A semiconductor manufacturing apparatus characterized in that a voltage is applied to the electrodes (1b, 1c) via the electrodes (1b, 1c). 2) It has an electrostatic chuck (1), a transfer arm (3), and a relay (4). The transfer arm (3) is installed in the apparatus main body (5), and the transfer arm (3) is for attaching and detaching the wafer (6) to and from the electrostatic chuck (1).
5) An arm drive section (3a) that is airtightly penetrated and supported by the
and an arm body (3b) which is installed inside the apparatus body (5) and pivotably supported by the arm drive section (3a) so as to be able to turn and rise; The wafer tray (3c) is provided to face the chuck (1), and the wafer tray (3c) is electrically connected to the arm drive section (3a). , the relay (4) is connected to the arm drive section (3) which is electrically insulated from the device main body (5).
a), which is always closed, and the relay (4) receives the wafer (6) from which the transfer arm (3) is attracted to the electrostatic chuck (1). The semiconductor manufacturing apparatus is characterized in that the wafer tray (3c) is opened immediately before it comes into contact with the wafer (6), and is closed immediately after the wafer tray (3c) comes into contact with the wafer (6).
JP2007572A 1990-01-16 1990-01-16 Semiconductor manufacturing equipment Expired - Fee Related JP2867526B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007572A JP2867526B2 (en) 1990-01-16 1990-01-16 Semiconductor manufacturing equipment

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007572A JP2867526B2 (en) 1990-01-16 1990-01-16 Semiconductor manufacturing equipment

Publications (2)

Publication Number Publication Date
JPH03211753A true JPH03211753A (en) 1991-09-17
JP2867526B2 JP2867526B2 (en) 1999-03-08

Family

ID=11669526

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007572A Expired - Fee Related JP2867526B2 (en) 1990-01-16 1990-01-16 Semiconductor manufacturing equipment

Country Status (1)

Country Link
JP (1) JP2867526B2 (en)

Cited By (188)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5325261A (en) * 1991-05-17 1994-06-28 Unisearch Limited Electrostatic chuck with improved release
JP2016171291A (en) * 2015-03-16 2016-09-23 株式会社ディスコ Decompression processing apparatus
JP2016171292A (en) * 2015-03-16 2016-09-23 株式会社ディスコ Decompression processing apparatus
CN108470851A (en) * 2018-03-26 2018-08-31 京东方科技集团股份有限公司 Substrate processing method using same and substrate board treatment
US10317832B2 (en) 2017-02-20 2019-06-11 Canon Kabushiki Kaisha Image forming apparatus to suppress toner adhering to guiding members
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
WO2022061947A1 (en) * 2020-09-27 2022-03-31 北京京仪自动化装备技术有限公司 Transmission manipulator
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition

Cited By (211)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5325261A (en) * 1991-05-17 1994-06-28 Unisearch Limited Electrostatic chuck with improved release
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN105990087A (en) * 2015-03-16 2016-10-05 株式会社迪思科 Decompression processing apparatus
JP2016171292A (en) * 2015-03-16 2016-09-23 株式会社ディスコ Decompression processing apparatus
JP2016171291A (en) * 2015-03-16 2016-09-23 株式会社ディスコ Decompression processing apparatus
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10317832B2 (en) 2017-02-20 2019-06-11 Canon Kabushiki Kaisha Image forming apparatus to suppress toner adhering to guiding members
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
CN108470851A (en) * 2018-03-26 2018-08-31 京东方科技集团股份有限公司 Substrate processing method using same and substrate board treatment
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
WO2022061947A1 (en) * 2020-09-27 2022-03-31 北京京仪自动化装备技术有限公司 Transmission manipulator
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Also Published As

Publication number Publication date
JP2867526B2 (en) 1999-03-08

Similar Documents

Publication Publication Date Title
JPH03211753A (en) Semiconductor manufacturimg device
KR100378187B1 (en) A wafer stage including electro-static chuck and method for dechucking wafer using the same
JP5323317B2 (en) Electrostatic chuck method
KR100286622B1 (en) Electrostatic chuck and its use
US5790365A (en) Method and apparatus for releasing a workpiece from and electrostatic chuck
JP6649689B2 (en) Decompression processing apparatus and wafer holding method
KR19980024679A (en) Electrostatic chuck and sample processing method and apparatus using the same
US6760213B2 (en) Electrostatic chuck and method of treating substrate using electrostatic chuck
JP2004047511A (en) Method for releasing, method for processing, electrostatic attracting device, and treatment apparatus
JP3191139B2 (en) Sample holding device
JP3230821B2 (en) Electrostatic chuck with pusher pin
JPH06326180A (en) Releasing device for electrostatically attracted material
JPH077072A (en) Method and mechanism for unloading substrate in electrostatic chuck device
JP2978470B2 (en) Electrostatic suction device and method of detaching object
JPH0451542A (en) Electrostatically attracting method
JPH01112745A (en) Wafer separating method in semiconductor manufacturing device
US20220130706A1 (en) Etching apparatus and methods of cleaning thereof
JPH0722499A (en) Method and apparatus for manufacturing semiconductor
JP3315197B2 (en) Plasma processing method
JPH0513556A (en) Electrostatic chuck
JPH05291384A (en) Electrostatic attraction method
JP4399756B2 (en) Method and apparatus for removing object to be attracted from electrostatic chuck
JPS6325706B2 (en)
JPH04117188A (en) Electrostatic attracting device and separating method for substrate
KR100286333B1 (en) Plasma etching apparatus

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees