JPH01305374A - Method for testing propagation delay in logic circuit - Google Patents

Method for testing propagation delay in logic circuit

Info

Publication number
JPH01305374A
JPH01305374A JP63135503A JP13550388A JPH01305374A JP H01305374 A JPH01305374 A JP H01305374A JP 63135503 A JP63135503 A JP 63135503A JP 13550388 A JP13550388 A JP 13550388A JP H01305374 A JPH01305374 A JP H01305374A
Authority
JP
Japan
Prior art keywords
flip
flop
propagation delay
path
test
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP63135503A
Other languages
Japanese (ja)
Inventor
Koji Ikeda
光二 池田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP63135503A priority Critical patent/JPH01305374A/en
Publication of JPH01305374A publication Critical patent/JPH01305374A/en
Pending legal-status Critical Current

Links

Abstract

PURPOSE:To test a propagation delay by designating an input terminal FF and an output terminal FF in this order with a first means, changing the state of the input terminal FF with a second means, and receiving the system data of the output terminal FF with a third means. CONSTITUTION:FFs 101, 102 and 103 are sequentially specified with an address coder 111. The FFs are initialized at '0,' '1' and '0.' Then, the FF 101 is specified with the coder 111 in order to change the state of the FF 101. As a scan data, '1' is inputted. When a clock pulse is applied to a first-phase scanning lock pin 17, a changing signal is inputted into a path under test 100. The FF 103 is specified with an address coder 112. A clock pulse is applied to a second phase scanning lock 18 so that system data are received after the elapse of the maximum propagation delay time allowed for the path 100 from the change in signal in the FF 101. When there is no defective propagation delay in the path 100, '1' is taken-in into the FF 103. When there is a defect, '0' is inputted therein. Finally, the state of the FF 103 is observed and judged.

Description

【発明の詳細な説明】 〔産業上の利用分野〕 本発明は論理回路の受入れ検査に係り、特に伝播遅延不
良の検査に好適な回路構造とテスト方法に関する。
DETAILED DESCRIPTION OF THE INVENTION [Field of Industrial Application] The present invention relates to acceptance testing of logic circuits, and particularly to a circuit structure and testing method suitable for testing propagation delay defects.

〔従来の技術〕[Conventional technology]

従来、論理回路の伝播遅延テスト方法については、アイ
・イー・イー・イー、第14回フォールトートレラント
・コンピユーテイング研究会予稿集の第146頁から第
149頁(IEtiH,Proc、ofl 4 th 
FTC3,PP、146−149)において論じられて
いる。
Conventionally, regarding propagation delay testing methods for logic circuits, IEtiH, Proceedings of the 14th Fault Tolerant Computing Study Group, pages 146 to 149 (IEtiH, Proc, ofl 4th
FTC3, PP, 146-149).

上記方法は、特に、入力ピンからフリップフロップまで
の経路、フリップフロップから出力ピンまでの経路、さ
らにフリップフロップからフリップフロップまでの経路
の伝播遅延テストについて提供されている。この中で、
フリップフロップからフリップフロップまでの経路の伝
播遅延テスト方法について、第2図から第4図を用いて
説明する。
The above method is particularly provided for propagation delay testing of paths from input pins to flip-flops, from flip-flops to output pins, and from flip-flops to flip-flops. In this,
A propagation delay testing method for paths from flip-flops to flip-flops will be explained using FIGS. 2 to 4.

第2図はフリップフロップを有する論理回路の一部であ
る。回路内部のフリップフロップは外部との信号のやり
とりを容易に行うことができるスキャン構造(特にここ
ではシフトスキャン構造)を形成している。フリップフ
ロップを特定の値に初期化したいとき、スキャンインデ
ータエツジピン24に値を与え、2つのスキャンクロッ
クエツジピン25.26を交互にオン・オフさせて、所
定のフリップフロップに値を格納する。例えば。
FIG. 2 shows part of a logic circuit having flip-flops. Flip-flops inside the circuit form a scan structure (in particular, a shift scan structure here) that can easily exchange signals with the outside. When you want to initialize a flip-flop to a specific value, give a value to the scan-in data edge pin 24, turn on and off the two scan clock edge pins 25 and 26 alternately, and store the value in the predetermined flip-flop. . for example.

フリップフロップ211,212,213をそれぞれ′
″0′″  111 II 、  l(Q 11に初期
化する手順を第3図のタイムチャートを併用して説明す
る。まず最初に、スキャンインデータエツジピン24に
′0″を入力しく303−1)、第1相スキヤンクロツ
ク25をオン・オフすることにより(301−1)、フ
リップフロップ211に′0″′を格納する(311−
1)。続いて、第2相スキヤングロツク26をオン・オ
フして(302−1)、フリップフロップ221にもI
I Ojlを格納する(321−1)。次に、スキャン
インデータエツジピン24に111”を入力しく303
−2)、第1相スキヤンクロツク25をオン・オフする
ことにより(301−2)、フリップフロップ211に
は# 171(311−2)、フリップフロップ212
にはIt OII(312−1)をそれぞれ格納する。
Flip-flops 211, 212, 213, respectively'
``0'''' 111 II, l (Q The procedure for initializing to 11 will be explained using the time chart of FIG. 3. First, input ``0'' to the scan-in data edge pin 24. ), by turning on and off the first phase scan clock 25 (301-1), '0''' is stored in the flip-flop 211 (311-1).
1). Next, the second phase scanning lock 26 is turned on and off (302-1), and the flip-flop 221 is also turned on.
I Ojl is stored (321-1). Next, input 111" to the scan-in data edge pin 24.
-2), by turning on and off the first phase scan clock 25 (301-2), the flip-flop 211 has #171 (311-2), the flip-flop 212
It OII (312-1) is stored in each.

再び、第2相スキヤングロツク26をオン・オフして(
302−2)、フリップフロップ221には”1”(3
21−2)、フリップフロップ222には“O”(32
2−1)をそれぞれ格納する。最後に、スキャンデータ
エツジピン24に10″を入力しく303−3)、第1
相スキヤンクロツク25をオン・オフすることにより(
301−3)、フリップフロップ211には“0” (
311−3)、フリップフロップ212には11” (
312−2)、フリップフロップ213には“O”  
(313−1)をそれぞれ格納し、初期化を完了する。
Turn on and off the second phase scanning lock 26 again (
302-2), the flip-flop 221 has “1” (3
21-2), “O” (32
2-1) respectively. Finally, input 10'' to the scan data edge pin 24 (303-3), and
By turning on and off the phase scan clock 25 (
301-3), the flip-flop 211 has “0” (
311-3), flip-flop 212 has 11” (
312-2), “O” in the flip-flop 213
(313-1) and complete the initialization.

又、フリップフロップの状態を観測したいとき。Also, when you want to observe the state of a flip-flop.

2つのスキャングロックを交互にオン・オフさせ各フリ
ップフロップの状態をシフトさせ、スキャンアウトピン
29から観測する。フリップフロップの状態をシフトさ
せる手順は前述の初期化における手順と同様なので(但
し、スキャンデータを考慮する必要がない)割愛する。
The two scan clocks are turned on and off alternately to shift the state of each flip-flop, which is observed from the scan out pin 29. The procedure for shifting the states of the flip-flops is the same as the procedure for initialization described above (however, it is not necessary to take scan data into account), so it will be omitted.

今、この回路の中で、フリップフロップ211の出力か
らアンドゲート203を経て、フリップフロップ213
のデータ入力ピンに到る経路(以降被験経路と呼ぶ)の
伝播遅延テストを考える。
Now, in this circuit, from the output of the flip-flop 211 to the AND gate 203, the output of the flip-flop 211 is
Consider a propagation delay test on the path (hereinafter referred to as the test path) leading to the data input pin of .

テスト方法を概説する。時刻toにフリップフロップ2
11の出力を変化させ、変化信号がフリップフロップ2
13のデータ入力ピンに伝播するように他の信号を与え
(例えばアンドゲート203の第2人力をII I I
Iにする)、時刻toから被験経路の許容される最大遅
延時間(これはあらかじめ計算しておく)経過後、フリ
ップフロップ213のデータ入力ピンの変化の様子を観
測する。
Outline the test method. Flip-flop 2 at time to
11 is changed, and the changing signal is sent to flip-flop 2.
13 data input pins (for example, the second input of AND gate 203 to II I I
After the maximum allowable delay time of the test route (this is calculated in advance) has elapsed from the time to, the change in the data input pin of the flip-flop 213 is observed.

次に、第4図に示すタイムチャートを用いて、テスト方
法を詳細する。テストでは最初フリップフロップ211
,212,213をそれぞれ“Q II。
Next, the test method will be explained in detail using the time chart shown in FIG. In the test, the first flip-flop 211
, 212, and 213 respectively as “Q II.

HI II 、  11 Q IIに初期化する。初期
化の方法は上述した通りである。
Initialize to HI II, 11 Q II. The initialization method is as described above.

初期化が完了すると、フリップフロップ211の出力を
システムクロックを用いて変化させる。
When the initialization is completed, the output of the flip-flop 211 is changed using the system clock.

即ち、ゲート201の出力がII I IIになる(4
01)ように外部入力値をあらかじめ設定しておき、シ
ステムクロック22を印加する(402)ことにより、
時刻toにフリップフロップ221の出力をOから1に
変化させる(403)。
That is, the output of the gate 201 becomes II I II (4
By setting the external input value in advance as shown in 01) and applying the system clock 22 (402),
At time to, the output of the flip-flop 221 is changed from O to 1 (403).

フリップフロップ212の出力は# I IIなので、
信号の変化は被験経路を伝播し、フリップフロップ21
3のデータ入力ピンに現れる(404−1 。
Since the output of the flip-flop 212 is #I II,
The signal change propagates through the path under test and flip-flop 21
3 data input pin (404-1).

404−2)。404-2).

従って、時刻toから被験経路に許容される最大遅延時
間経過後フリップフロップ213のデータ入力ピンの信
号を格納する様にシステムクロック21を印加すると(
405)、フリップフロップ213には、被験経路に遅
延不良がないとき1′1” (406−1)、遅延不良
があるときIt OIt(406−2)が格納される。
Therefore, when the system clock 21 is applied so as to store the signal at the data input pin of the flip-flop 213 after the maximum delay time allowed for the path under test has elapsed from the time to (
405), 1'1'' (406-1) is stored in the flip-flop 213 when there is no delay defect in the path under test, and It_OIt (406-2) is stored when there is a delay defect.

最後に第2相スキヤングロツク26を印加して、フリッ
プフロップ213の状態をフリップフロップ223に書
込むことにより、スキャンアウトピン29にフリップフ
ロップ213の状態をスキャンアウトし、観測すること
により被験経路の不良の有無を判定する。
Finally, by applying the second phase scan block 26 and writing the state of the flip-flop 213 to the flip-flop 223, the state of the flip-flop 213 is scanned out to the scan-out pin 29 and observed. Determine whether or not there is a defect.

〔発明が解決しようとする課題〕[Problem to be solved by the invention]

上記従来方法では以下に示す2つの問題がある。 The above conventional method has the following two problems.

第1の問題は2組合せ回路前後のフリップフロップが同
じクロックで動作する(同相転送と呼ぶ)ように設計さ
れている回路では正しいテスト結果が保証されないこと
である。第5図及び第6図を用いて上記の例を示す。
The first problem is that correct test results cannot be guaranteed in a circuit designed so that the flip-flops before and after the two combinational circuits operate with the same clock (referred to as in-phase transfer). The above example will be illustrated using FIGS. 5 and 6.

第5図はスキャンイン/アウト可能なフリップフロップ
501,502,503及びアンドゲート511,51
2から構成された回路である。このうち、フリップフロ
ップ50’lと502は同相転送である。
FIG. 5 shows flip-flops 501, 502, 503 and AND gates 511, 51 that can scan in/out.
This circuit consists of 2 parts. Among these, flip-flops 50'l and 502 are in-phase transfer.

この回路において、フリップフロップ502からフリッ
プフロップ503に到る経路の伝播遅延テストを第6図
に示すタイムチャートを併用して考察する。ここで、被
験経路には遅延不良がないと仮定する。
In this circuit, a propagation delay test of the path from flip-flop 502 to flip-flop 503 will be considered using the time chart shown in FIG. Here, it is assumed that there is no delay defect on the test route.

まず、スキャン回路を用いて、フリップフロップ501
,502,503をそれぞれ1”。
First, using a scan circuit, the flip-flop 501
, 502 and 503 are each 1”.

# Q IF、′0”に初期化する。# Q IF, initialize to '0''.

次に、被験経路に変化信号を入力するため、システムク
ロックエツジピン51にクロックパルスを印加する(6
01)。しかし、ここで、フリップフロップ501のデ
ータ入力ピンにIt OItが現れていたならば、上記
のクロックパルスによって、フリップフロップ501の
出力が“1”から“0″に変化する(602)。この信
号変化がフリップフロップ502のデータ入力ピンに伝
播しく603)だとき、システムクロックエツジピン5
1がまだオフになっていなければ、−旦at Ouから
111 Itに変化したフリップフロップ502の出力
は再びit Onに変化してしまう(604−2)。従
って、システムクロックエツジピン52にクロックパル
スを印加する(605)とき、フリップフロップ503
のデータ入力ピンには“1”(606−1)ではなく“
0” (606−2)が現れ、“0′″を格納して(6
07−2)遅延不良と判定される。
Next, in order to input a change signal to the path under test, a clock pulse is applied to the system clock edge pin 51 (6
01). However, if It_OIt appears at the data input pin of the flip-flop 501, the output of the flip-flop 501 changes from "1" to "0" by the above clock pulse (602). When this signal change propagates to the data input pin of flip-flop 502 (603), the system clock edge pin 5
1 has not yet been turned off, the output of the flip-flop 502, which has changed from -at Ou to 111 It, changes again to it On (604-2). Therefore, when applying a clock pulse to the system clock edge pin 52 (605), the flip-flop 503
The data input pin of “1” (606-1)
0” (606-2) appears, stores “0′” and stores (606-2).
07-2) It is determined that there is a delay failure.

尚、実動作時はテスト時より幅の狭いクロックパルスを
用いるので問題はない。
Note that during actual operation, a narrower clock pulse is used than during testing, so there is no problem.

第2の問題はテストパターン生成の手間が大きいことで
ある。その理由は、変化信号を作成するフリップフロッ
プのデータ入力値や、状態(出力)を一定にさせたいフ
リップフロップの入力値を設定するため、被験経路を含
まない組合せ回路部分も考慮してテストパターン生成す
るからである。
The second problem is that generating test patterns takes a lot of effort. The reason for this is that in order to set the data input value of the flip-flop that creates the changing signal and the input value of the flip-flop whose state (output) is to be kept constant, the test pattern is designed in consideration of the combinational circuit parts that do not include the path under test. This is because it generates.

〔課題を解決するための手段〕[Means to solve the problem]

上記の2つの問題は1回路内部の任意のフリップフロッ
プの順序対を指定する第1の手段と、その第1の手段で
指定した第1のフリップフロップに対して、システムク
ロック及びシステムデータとは独立にそのフリップフロ
ップの状態を変化させる第2の手段と、上記第1の手段
で指定した第2のフリップフロップに対して、システム
クロックとは独立にシステムデータを取込む第3の手段
を具備した回路に於いて、テストを行う経路に対し、そ
の経路の入力端及び出力端が共にフリップフロップであ
るとき、その入力端フリップフロップ及び出力端フリッ
プフロップをその順に上記第1の手段で指定し、上記第
2の手段を用いて入力端フリップフロップの状態を変化
させ、被験経路上に変化信号を伝播させ、上記第3の手
段によって出力端フリップフロップのシステムデータを
取込むことにより変化信号の伝播するタイミングを調べ
て伝播遅延をテストすることにより、達成される。
The above two problems are the first means of specifying an ordered pair of flip-flops within one circuit, and the system clock and system data for the first flip-flop specified by the first means. A second means for independently changing the state of the flip-flop, and a third means for fetching system data independently from the system clock to the second flip-flop designated by the first means. In the circuit that has been tested, when both the input end and the output end of the path to be tested are flip-flops, specify the input end flip-flop and the output end flip-flop in that order using the first means above. , by changing the state of the input end flip-flop using the second means and propagating the change signal on the path under test, and by taking in the system data of the output end flip-flop using the third means. This is achieved by examining the propagation timing and testing the propagation delay.

〔作用〕[Effect]

入出力端がフリップフロップである経路の伝播遅延テス
トに於いて、変化信号を作成するフリップフロップは、
第1の手段により唯一指定され、第2の手段によりシス
テムクロックと無関係に変化信号を作成させるため、同
相転送があっても他のフリップフロップの状態変化を引
き起こさない。
In a propagation delay test for a path where the input and output terminals are flip-flops, the flip-flop that creates the changing signal is
Since the first means uniquely specifies the change signal and the second means creates the change signal independently of the system clock, even if there is an in-phase transfer, the states of other flip-flops do not change.

一方、変化信号の伝播するタイミングを調べるフリップ
フロップは、第1の手段により唯一指定され、第3の手
段によりシステムクロックに無関係にシステムデータを
取込むので、同相転送があっても他のフリップフロップ
の状態変化を引き起こさない。
On the other hand, the flip-flop that examines the propagation timing of the change signal is uniquely designated by the first means, and the third means takes in system data regardless of the system clock, so even if there is in-phase transfer, other flip-flops does not cause a change in state.

また、テストパターン生成時に於いて、変化信号を作成
するフリップフロップは、第2の手段によりシステムデ
ータと無関係に変化信号を作成するため、被験経路を含
まない実動作との組合せ回路部分を考慮する必要がない
In addition, when generating a test pattern, the flip-flop that creates the change signal uses the second means to create the change signal regardless of the system data, so the combinational circuit part with the actual operation that does not include the test path is considered. There's no need.

被験経路に対して、変化信号を入力端で作成し。Create a changing signal at the input end for the path under test.

経路上に変化を伝播させ、出力端で変化のタイミングを
測定するので伝播遅延テストを行うことができる。
Propagation delay tests can be performed by propagating changes along the path and measuring the timing of the changes at the output end.

〔実施例〕〔Example〕

以下、本発明の一実施例を第1図、第7図、第8図、及
び第9図により説明する。第1図の回路に用いられてい
るフリップフロップは第7図に示すように簡鵬な回路を
付加した拡張フリップフロップである。このフリップフ
ロップの動作は第8図に示すように、スキャングロック
76.77が共にオフ状態である実動作時は、従来フリ
ップフロップ(第7図70)と同じ動作をする(81゜
82)。しかし、スキャンクロックを利用するテスト時
では、第1相スキヤングロツク76または第2相スキヤ
ンクロツク77がオンのとき、アドレスデコード信号7
4がオンならばスキャンデータを取込み(83,84)
、アドレスデコード信号75がオンならばシステムデー
タを取込む(85゜86)機能をもっている。第1図で
は、上記のフリップフロップを利用するために、2つの
アドレスデコーダ111,112を設置し、デコードし
た信号線をそれぞれ各フリップフロップのアドレスデコ
ード信号線74,75に接続している。また、2つのス
キャンクロック17.18を各フリップフロップのそれ
ぞれ第1相スキヤンクロツク信号線(第7図76)、第
2相スキヤングロツク信号線(第7図77)に接続して
いる。スキャンデータエツジビン14は各フリップフロ
ップのスキャンデータ信号線(第7図73)に接続して
いる。
An embodiment of the present invention will be described below with reference to FIGS. 1, 7, 8, and 9. The flip-flop used in the circuit of FIG. 1 is an extended flip-flop to which a simple circuit is added as shown in FIG. As shown in FIG. 8, this flip-flop operates in the same manner as the conventional flip-flop (70 in FIG. 7) during actual operation when both scan locks 76 and 77 are in the OFF state (81.degree. 82). However, during a test using a scan clock, when the first phase scan clock 76 or the second phase scan clock 77 is on, the address decode signal 7
If 4 is on, import scan data (83, 84)
, if the address decode signal 75 is on, it has a function of reading system data (85°86). In FIG. 1, in order to utilize the above flip-flop, two address decoders 111 and 112 are installed, and decoded signal lines are connected to address decode signal lines 74 and 75 of each flip-flop, respectively. Further, two scan clocks 17 and 18 are connected to the first phase scan clock signal line (76 in FIG. 7) and the second phase scan clock signal line (77 in FIG. 7) of each flip-flop, respectively. The scan data edge bin 14 is connected to the scan data signal line (73 in FIG. 7) of each flip-flop.

今、第1図のフリップフロップ101から、フリップフ
ロップ101と同相なフリップフロップ103に到る経
路100の伝播遅延テスト方法について述べる。まず、
フリップフロップ101゜102.103を、アドレス
デコーダ111で順次指定し、スキャンデータエツジビ
ン14及び第1相スキヤンクロツク信7を用いてそれぞ
れ“0″。
Now, a method for testing the propagation delay of the path 100 from the flip-flop 101 in FIG. 1 to the flip-flop 103 which is in phase with the flip-flop 101 will be described. first,
Flip-flops 101, 102, and 103 are sequentially designated by the address decoder 111, and set to "0" using the scan data edge bin 14 and the first phase scan clock signal 7.

411 II 、  IJQj+に初期化する。次に、
フリップフロップ101の状態を変化させるため、アド
レスデコーダ111でこのフリップフロップ111を指
定しく第9図903)、スキャンデータとしてIf 1
 ++を入力しく第9図904)、第1相スキヤングロ
ツクビン17にクロックパルスを印加する(第9図90
1)ことにより、被験経路100に変化信号を入力する
(第9図905)。このとき、フリップフロップ103
の第1相スキヤングロツク信号線にもパルスが現れる(
第9図906)が、アドレスデコーダ111で指定され
ていないのでスキャンデータを取込むことはない。一方
、アドレスデコーダ112でフリップフロップ103を
指定しく第9図908)、フリップフロップ101で信
号が変化してから被験経路100の許容される最大伝播
遅延時間経過後にシステムデータを取込むように第2相
スキヤングロツク18にクロックパルスを印加する(第
9図907)。被験経路100に伝播遅延不良がなけれ
ば(第9図909−1)フリップフロップ103は“1
”を取込み(第9図910−1)、不良があれば(第9
図909−2)フリップフロップ103は10″′を取
り込む(第9図910−2)。このとき、フリップフロ
ップ101の第2相スキヤングロツク信号線にもパルス
が現れる(第9図902)が、アドレスデコーダ112
で指定されていないのでシステムデータを取込むことは
ない。最後に、フリップフロップ103の状態をスキャ
ンアウトして観測することにより、伝播遅延不良の有無
を判定する。
411 II, initialize to IJQj+. next,
In order to change the state of the flip-flop 101, the address decoder 111 specifies this flip-flop 111 (903 in FIG. 9) and reads If 1 as scan data.
904 in FIG. 9) and apply a clock pulse to the first phase scanning block bin 17 (904 in FIG. 9).
1), a change signal is input to the test route 100 (905 in FIG. 9). At this time, the flip-flop 103
A pulse also appears on the first phase scanned clock signal line (
906) in FIG. 9 is not specified by the address decoder 111, so scan data is not taken in. On the other hand, the address decoder 112 specifies the flip-flop 103 (908 in FIG. 9), and the second address decoder 112 designates the flip-flop 103 so that the system data is taken in after the signal changes in the flip-flop 101 and after the maximum allowable propagation delay time of the path under test 100 has elapsed. A clock pulse is applied to the phase scanning block 18 (907 in FIG. 9). If there is no propagation delay defect in the test path 100 (909-1 in FIG. 9), the flip-flop 103 becomes “1”.
” (910-1 in Figure 9), and if there is a defect (910-1),
909-2) The flip-flop 103 takes in 10'' (910-2 in FIG. 9). At this time, a pulse also appears on the second phase scanning clock signal line of the flip-flop 101 (902 in FIG. 9). , address decoder 112
Since it is not specified, system data will not be imported. Finally, by scanning out and observing the state of the flip-flop 103, it is determined whether there is a propagation delay defect.

上記の例ではスキャンクロックを2つ用意したが、スキ
ャングロックのクロックスキューを低減するように回路
が設計されているならば、スキャンクロックを1つにす
ることにより、外部ピン数を低減できる。このときのテ
スト方法は、上記の第1相スキヤンクロツクまたは第2
相スキヤンクロツクの操作を1つのスキャンクロックで
行う点以外は上記の方法と同じである。
In the above example, two scan clocks are provided, but if the circuit is designed to reduce the clock skew of the scan clock, the number of external pins can be reduced by using one scan clock. The test method at this time is the above-mentioned first phase scan clock or second phase scan clock.
This method is the same as the above method except that the phase scan clock is operated by one scan clock.

また、2つのアドレスデコーダを用いる代わりに第10
図に示すように、1つのアドレスデコーダ1001とこ
のアドレスデコーダでデコードされた各信号をレジスタ
ライトイネーブル線1012からのタイミング信号で取
込むレジスタ群1002とレジスタライトイネーブル線
1012を用いることによってもテストを行うことがで
きる。アドレスデコーダ1001でデコードしたアドレ
スデコード信号線を第7図で示される各フリップフロッ
プのアドレスデコード信号線74に、また、レジスタか
らの出力信号線1022をアドレスデコード信号線75
に(またはこの逆の対応)接続する。テスト方法は、初
期化するフリップフロップをアドレスデコーダ1001
またはアドレスデコーダ1001の情報を格納させたレ
ジスタ群1002で指定すること、信号を変化させるフ
リップフロップをアドレスデコーダ1001で指定する
こと、信号を取込むフリップフロップをあらかじめアド
レスデコーダ1001で指定したアドレス情報をレジス
タライトイネーブル線1012をオンすることにより格
納させたレジスタ群1002で指定すること以外は上記
の手法と同様である6〔発明の効果〕 本発明によれば、伝播遅延テストを行う経路の入力端が
フリップフロップである場合被験経路に変化信号を入力
するため被験経路の入力端であるフリップフロップの状
態を変化させるとき、また、被験経路の出力端がフリッ
プフロップである場合被験経路の出力端であるフリップ
フロップに変化信号を取込むとき、他のフリップフロッ
プの状態を変化させないので同相転送があっても誤動作
することはない。
Also, instead of using two address decoders, the 10th
As shown in the figure, the test can also be performed by using one address decoder 1001, a register group 1002 that takes in each signal decoded by this address decoder using a timing signal from a register write enable line 1012, and a register write enable line 1012. It can be carried out. The address decode signal line decoded by the address decoder 1001 is connected to the address decode signal line 74 of each flip-flop shown in FIG.
(or its converse counterpart). The test method is to use address decoder 1001 to initialize the flip-flop.
Alternatively, the information of the address decoder 1001 can be specified by the register group 1002 that stores the information, the flip-flop that changes the signal can be specified by the address decoder 1001, and the flip-flop that takes in the signal can be specified by the address information specified in advance by the address decoder 1001. The method is similar to the above method except that the specification is made using the stored register group 1002 by turning on the register write enable line 1012.6 [Effects of the Invention] According to the present invention, the input terminal of the path on which the propagation delay test is performed is a flip-flop, when changing the state of the flip-flop at the input end of the test path in order to input a change signal to the test path, and when the output end of the test path is a flip-flop, at the output end of the test path. When a change signal is taken into a flip-flop, the states of other flip-flops are not changed, so even if there is in-phase transfer, there is no malfunction.

また、被験経路の入力端がフリップフロップの場合被験
経路の入力端であるフリップフロップの状態を変化させ
るのにシステムデータを用いないのでテストパターンを
求めるとき被験経路の入力端であるフリップフロップの
前段論理を考慮しなくてよい。
In addition, when the input end of the test path is a flip-flop, system data is not used to change the state of the flip-flop, which is the input end of the test path, so when determining the test pattern, the stage before the flip-flop that is the input end of the test path No need to consider logic.

さらに、システムクロック系論理に回路を付加していな
いので実動作時に余分な遅延が生じない。
Furthermore, since no circuit is added to the system clock system logic, no extra delay occurs during actual operation.

【図面の簡単な説明】[Brief explanation of the drawing]

第1図は本発明の回路図、第2図及び第5図は従来の回
路図、第3図及び第4図は第2図の回路の中の経路の従
来法による伝播遅延テストの手順を示したタイムチャー
ト、第6図は第5図に示した回路の従来法による伝播遅
延テストの手順を示したタイムチャート、第7図及び第
8図はそれぞれ本発明の一実施例で用いるフリップフロ
ップの構成とその動作を示す図、第9図は第1図で示し
た回路の本発明による伝播遅延テストの手順を示したタ
イムチャート、第10図は本発明の一実施例の変形例に
用いる回路図である。 1・・・論理回路、11.12・・・システムクロック
エツジピン、13・・・システムデータエツジピン、1
4・・・スキャンデータエツジピン、15.16・・・
アドレス指定エツジピン、17・・・第1相スキヤンク
ロツクエツジピン、18・・・第2相スキヤンクロツク
エツジピン、19・・・スキャンアウトエツジピン、1
00・・・被験経路、101〜103・・・フリップフ
ロップ、111,112・・・アドレスデコーダ、11
3・・・マルチプレクサ。 第1図 第2図 第3図 7リヅア7’h1’223Φ出f7         
            :  323−1第4図 ンスtム70−ノア22 第5図 第6図 「37図 第9図
FIG. 1 is a circuit diagram of the present invention, FIGS. 2 and 5 are conventional circuit diagrams, and FIGS. 3 and 4 show the procedure for a conventional propagation delay test of the path in the circuit of FIG. 6 is a time chart showing the procedure of a conventional propagation delay test for the circuit shown in FIG. FIG. 9 is a time chart showing the procedure of the propagation delay test according to the present invention for the circuit shown in FIG. 1, and FIG. 10 is used for a modification of one embodiment of the present invention. It is a circuit diagram. 1...Logic circuit, 11.12...System clock edge pin, 13...System data edge pin, 1
4...Scan data edge pin, 15.16...
Addressing edge pin, 17... 1st phase scan lock edge pin, 18... 2nd phase scan lock edge pin, 19... scan out edge pin, 1
00...Test route, 101-103...Flip-flop, 111, 112...Address decoder, 11
3...Multiplexer. Fig. 1 Fig. 2 Fig. 3 Fig. 7 Rizua 7'h1'223Φout f7
: 323-1 Fig. 4 Stum 70-Noah 22 Fig. 5 Fig. 6 "37 Fig. 9

Claims (1)

【特許請求の範囲】[Claims] 1、回路内部の任意のフリップフロップの順序対を指定
する第1の手段と、該第1の手段で指定した第1のフリ
ップフロップに対してシステムクロックおよびシステム
データと独立に該フリップフロップの状態を変化させる
第2の手段と、上記第1の手段で指定した第2のフリッ
プフロップに対してシステムクロックと独立にシステム
データを取込む第3の手段を有する論理回路の伝播遅延
テスト方法に於いて、テストを行う経路に対し、該経路
の入力端および出力端が共にフリップフロップであると
き、該入力端フリップフロップおよび該出力端フリップ
フロップをその順に上記第1の手段で指定し、上記第2
の手段を用いて該入力端フリップフロップの状態を変化
させ、該経路上に変化信号を伝播させ、上記第3の手段
によつて該出力端フリップフロップのシステムデータを
取込むことにより該変化信号の伝播するタイミングを調
べて伝播遅延をテストすることを特徴とする論理回路の
伝播遅延テスト方法。
1. A first means for specifying an ordered pair of arbitrary flip-flops in the circuit, and a state of the first flip-flop specified by the first means independently of the system clock and system data. A method for testing a propagation delay of a logic circuit, comprising a second means for changing the second flip-flop designated by the first means, and a third means for inputting system data independently of the system clock. and when the input end and the output end of the path to be tested are both flip-flops, specify the input end flip-flop and the output end flip-flop in that order by the first means, and 2
By changing the state of the input end flip-flop using the third means, propagating the change signal on the path, and taking in the system data of the output end flip-flop by the third means, the change signal is changed. 1. A propagation delay testing method for a logic circuit, characterized in that the propagation delay is tested by examining the propagation timing of the logic circuit.
JP63135503A 1988-06-03 1988-06-03 Method for testing propagation delay in logic circuit Pending JPH01305374A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP63135503A JPH01305374A (en) 1988-06-03 1988-06-03 Method for testing propagation delay in logic circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP63135503A JPH01305374A (en) 1988-06-03 1988-06-03 Method for testing propagation delay in logic circuit

Publications (1)

Publication Number Publication Date
JPH01305374A true JPH01305374A (en) 1989-12-08

Family

ID=15153280

Family Applications (1)

Application Number Title Priority Date Filing Date
JP63135503A Pending JPH01305374A (en) 1988-06-03 1988-06-03 Method for testing propagation delay in logic circuit

Country Status (1)

Country Link
JP (1) JPH01305374A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009159503A (en) * 2007-12-27 2009-07-16 Nec Corp Monitor circuit and power reduction system

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009159503A (en) * 2007-12-27 2009-07-16 Nec Corp Monitor circuit and power reduction system

Similar Documents

Publication Publication Date Title
JP2556017B2 (en) Logic integrated circuit
US5422891A (en) Robust delay fault built-in self-testing method and apparatus
JP2626920B2 (en) Scan test circuit and semiconductor integrated circuit device using the same
JP2725258B2 (en) Integrated circuit device
WO1994029958A1 (en) Dual latch clocked lssd and method
JPH0323871B2 (en)
JPH02300826A (en) Operation of inspection system
JPH0572290A (en) Semiconductor integrated circuit
KR920001083B1 (en) A circuit which tests easily logic circuits
US6822914B2 (en) Circuits and methods for generating high frequency extended test pattern data from low frequency test pattern data input to an integrated circuit memory device
JPS61272668A (en) Test facilitation system
JPH01305374A (en) Method for testing propagation delay in logic circuit
JPH04118570A (en) Logical circuit and testing its delay
JP2002141414A (en) Device and method for testing integrated circuit
KR100396096B1 (en) Test circuit for semiconductor integrated circuit
JP2785506B2 (en) Scan circuit
JPH07174821A (en) Inspecting method for boundery scanning cell and testing circuit
JPS6210390B2 (en)
JPS6161428B2 (en)
JP2751382B2 (en) Shift path control system
JPH08237141A (en) Serial-parallel converter
JPH06194416A (en) Diagnostic system and method of logic circuit including sequential circuit
JPH0261569A (en) Serial shift register
JPS6324503Y2 (en)
JPH04361179A (en) Semiconductor integrated circuit device