JP7480429B2 - 複数の層を使用した再帰フローガス分配スタックの製造 - Google Patents

複数の層を使用した再帰フローガス分配スタックの製造 Download PDF

Info

Publication number
JP7480429B2
JP7480429B2 JP2023512339A JP2023512339A JP7480429B2 JP 7480429 B2 JP7480429 B2 JP 7480429B2 JP 2023512339 A JP2023512339 A JP 2023512339A JP 2023512339 A JP2023512339 A JP 2023512339A JP 7480429 B2 JP7480429 B2 JP 7480429B2
Authority
JP
Japan
Prior art keywords
bonded
metal
parameters
data
metal plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2023512339A
Other languages
English (en)
Other versions
JP2023533606A (ja
Inventor
スミット アガルワル,
アナンタ ケー. スブラマニ,
ヤン クオ,
シヴァ チャンドラセカール,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2023533606A publication Critical patent/JP2023533606A/ja
Application granted granted Critical
Publication of JP7480429B2 publication Critical patent/JP7480429B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K20/00Non-electric welding by applying impact or other pressure, with or without the application of heat, e.g. cladding or plating
    • B23K20/002Non-electric welding by applying impact or other pressure, with or without the application of heat, e.g. cladding or plating specially adapted for particular articles or work
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K20/00Non-electric welding by applying impact or other pressure, with or without the application of heat, e.g. cladding or plating
    • B23K20/02Non-electric welding by applying impact or other pressure, with or without the application of heat, e.g. cladding or plating by means of a press ; Diffusion bonding
    • B23K20/023Thermo-compression bonding
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K20/00Non-electric welding by applying impact or other pressure, with or without the application of heat, e.g. cladding or plating
    • B23K20/22Non-electric welding by applying impact or other pressure, with or without the application of heat, e.g. cladding or plating taking account of the properties of the materials to be welded
    • B23K20/227Non-electric welding by applying impact or other pressure, with or without the application of heat, e.g. cladding or plating taking account of the properties of the materials to be welded with ferrous layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K20/00Non-electric welding by applying impact or other pressure, with or without the application of heat, e.g. cladding or plating
    • B23K20/22Non-electric welding by applying impact or other pressure, with or without the application of heat, e.g. cladding or plating taking account of the properties of the materials to be welded
    • B23K20/233Non-electric welding by applying impact or other pressure, with or without the application of heat, e.g. cladding or plating taking account of the properties of the materials to be welded without ferrous layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K31/00Processes relevant to this subclass, specially adapted for particular articles or purposes, but not covered by only one of the preceding main groups
    • B23K31/003Processes relevant to this subclass, specially adapted for particular articles or purposes, but not covered by only one of the preceding main groups relating to controlling of welding distortion
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K31/00Processes relevant to this subclass, specially adapted for particular articles or purposes, but not covered by only one of the preceding main groups
    • B23K31/006Processes relevant to this subclass, specially adapted for particular articles or purposes, but not covered by only one of the preceding main groups relating to using of neural networks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/21Design or setup of recognition systems or techniques; Extraction of features in feature space; Blind source separation
    • G06F18/214Generating training patterns; Bootstrap methods, e.g. bagging or boosting
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/21Design or setup of recognition systems or techniques; Extraction of features in feature space; Blind source separation
    • G06F18/217Validation; Performance evaluation; Active pattern learning techniques
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/24Classification techniques
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • G06N3/084Backpropagation, e.g. using gradient descent

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Theoretical Computer Science (AREA)
  • Data Mining & Analysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Evolutionary Computation (AREA)
  • Artificial Intelligence (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Software Systems (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Evolutionary Biology (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Medical Informatics (AREA)
  • Computational Linguistics (AREA)
  • Biophysics (AREA)
  • Biomedical Technology (AREA)
  • Molecular Biology (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)
  • General Factory Administration (AREA)
  • Analytical Chemistry (AREA)
  • Pathology (AREA)
  • Immunology (AREA)
  • Biochemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Description

[001]本開示は、製造に関し、より具体的には、複数の層を使用する製造に関する。
[002]基板処理システムなどの製造システムは、製品を製造するための構成要素を含む。いくつかの構成要素は、互いに固定された複数の材料層である。多層構成要素のいくつかは、処理チャンバへの流れを提供するチャネルを形成する。
[003]以下は、本開示の簡略化された概要であり、本開示のいくつかの態様の基本的な理解を提供するためのものである。この概要は、本開示の網羅的な要約ではない。これは、本開示の主要点又は重要要素を特定するためのものでも、本開示の特定の実施態様の何らかの範囲又は特許請求の何らかの範囲を規定するためのものでもない。この概要の唯一の目的は、本開示の概念の一部を、後述するより詳細な説明の導入部として、簡略化した形態で提示することである。
[004]本開示の1つの態様では、方法は、複数の金属板に関連する1つ又は複数のパラメータを受信することを含む。本方法は、1つ又は複数のパラメータに基づいて、複数の金属板に関連する複数の予測変形値を決定することを更に含む。複数の予測変形値の各々は、複数の金属板のうちの対応する金属板に対応している。本方法は、複数の予測変形値に基づいて、複数の金属板を拡散接合させ、接合金属板構造を製造することを更に含む。
[005]本開示の別の態様では、実行されると、複数の金属板に関連する1つ又は複数のパラメータを受信することを含む動作を処理デバイスに実行させる命令を記憶する、非一時的機械可読記憶媒体が提供される。動作は、1つ又は複数のパラメータに基づいて、複数の金属板に関連する複数の予測変形値を決定することを更に含む。複数の予測変形値の各々は、複数の金属板のうちの対応する金属板に対応している。動作は、複数の予測変形値に基づいて、複数の金属板を拡散接合させ、接合金属板構造を製造することを更に含む。
[006]本開示の別の態様では、システムは、メモリと、メモリに連結された処理デバイスとを含む。処理デバイスは、複数の金属板に関連する1つ又は複数のパラメータを受信することを実行する。処理デバイスは、1つ又は複数のパラメータに基づいて、複数の金属板に関連する複数の予測変形値を決定することを更に実行する。複数の予測変形値の各々は、複数の金属板のうちの対応する金属板に対応している。処理デバイスは、複数の予測変形値に基づいて、複数の金属板を拡散接合させ、接合金属板構造を製造することを更に実行する。
[007]本開示は、添付図面の図において、限定ではなく、例として例示されている。
特定の実施形態による、例示的なシステムアーキテクチャを示すブロック図である。 特定の実施形態による、機械学習モデルのためのデータセットを作成するためのデータセット生成器を示す。 特定の実施形態による、予測データを決定することを例示するブロック図である。 A~Eは、特定の実施形態による、金属板を示す。 特定の実施形態による、接合金属板構造の製造に関連する方法のフロー図である。 特定の実施形態による、接合金属板構造の製造に関連する方法のフロー図である。 特定の実施形態による、接合金属板構造の製造に関連する方法のフロー図である。 特定の実施形態による、接合金属板構造の製造に関連する方法のフロー図である。 特定の実施形態による、コンピュータシステムを示すブロック図である。
[0014]本明細書では、複数の層を使用して再帰フローガス分配スタック(例えば、接合金属板構造、シャワーヘッドなど)を製造することを対象とする技術が説明される。
[0015]基板処理システムなどの製造システムは、製品を製造するための構成要素を含む。いくつかの構成要素は、互いに固定された、いくつかの材料層である。多層構成要素のいくつかは、処理チャンバに流れを提供するチャネルを形成する。例えば、基板処理チャンバの処理チャンバにガス流を供給するように構成されたいくつかのシャワーヘッドは、材料の層から作られる。
[0016]従来、金属層(アルミニウムなど)は、粒子や微量金属汚染の原因となる充填材料を用いて、ろう付け又は溶接されている。従来の積層された構成要素は、充填材量を用いてろう付け又は溶接されている部分と、シール又はガスケットを介して、ろう付け又は溶接されている部分に連結されている部分とを含む。これらの従来の方法によって作られた構成要素は、流れが遅い(例えば、ガスパージ時間が短縮される)。例えば、基板に対して100対の窒化処理と酸化処理が実行される場合、パージ動作は200回となるだろう。各基板の200回のパージ動作の各々のガスパージ時間が長くなると、基板を製造する時間が長くなり、歩留まりが低下し、エネルギー消費が増加する。従来の方法によって作られた構成要素は、粒子(例えば、充填材料からの)を有し、この粒子が除去されると、基板が汚染されるようになり、歩留まりや品質が低下する。
[0017]本明細書に開示されるデバイス、システム、及び方法は、複数の層を使用する再帰フローガス分配スタック(例えば、接合金属板構造、シャワーヘッドなど)の製造を提供する。処理デバイスは、金属板に関連するパラメータを受信する。パラメータは、金属板の寸法、金属板の孔パターン、金属板の設計、金属板を拡散接合するための圧力、金属板を拡散接合するための温度、別々に拡散接合するための金属板のサブセット、接合した金属板構造を処理するための熱の冷却速度、及び/又は同種のものの1つ又は複数を含む。処理デバイスは、1つ又は複数のパラメータに基づいて、金属板に関連する予測変形値を決定する。いくつかの例では、処理デバイスは、圧力値における各金属板の予測変形値を決定する。処理デバイスは、予測変形値に基づいて、金属板を拡散接合させ、金属板構造を製造する。予測変形値の各々が閾値を満たす(例えば、接合金属板構造とともに使用される、Oリングなどのガスケットの偏向よりも小さい)ことに応じて、処理デバイスは、すべての金属板を同時に(例えば、高い圧力で)拡散接合させる。予測変形値が閾値を満たさない(例えば、接合金属板構造と共に使用されるOリングなどのガスケットの偏向よりも大きい)ことに応答して、処理デバイスは、金属板の異なるサブセットをまとめて拡散接合させ(例えば、すべての金属板をまとめて同時に拡散接合するよりも低い圧力で)、接合されたサブセットを形成し、次に、接合されたサブセットが拡散接合される(例えば、すべての金属板をまとめて同時に拡散接合するよりも低い圧力で)。
[0018]いくつかの実施形態では、機械学習モデルは、過去の金属板に関連する過去のパラメータのデータ入力と、過去の金属板に関連する過去の性能データ(例えば、検査結果、使用結果など)のターゲット出力とを用いて訓練され、訓練された機械学習モデルを生成する。新しい接合金属板構造の製造に関連するパラメータが訓練された機械学習モデルに入力され、訓練された機械学習モデルの出力(例えば、予測性能データ(予測パフォーマンスデータ))に基づいて是正措置が実行される。いくつかの実施形態では、是正措置は、接合金属板構造の製造に関連するパラメータを更新すること、警告を提供すること、接合金属板構造の製造を中断すること、及び/又は同種のことを含む。
[0019]本開示の態様は、技術的な利点をもたらす。本開示は、従来の方法により製造された構成要素よりも増加した流量(例えば、より低いガスパージ時間)を有する接合金属板構造(例えば、基板処理システムにおける処理チャンバのためのシャワーヘッド)を製造することを提供する。これにより、歩留まりが向上し、エネルギー消費が削減される。本開示は、従来の方法で製造された構成要素よりも、使用中に除去される粒子が少ない接合金属板構造を製造することを提供する。これにより、基板の汚染が減り、歩留まりが向上し、基板の品質が向上する。本開示は、従来のシステムよりも最適化された接合金属板構造を製造するための更新されたパラメータを決定することを提供する。
[0020]図1は、特定の実施形態による、例示的なシステム100(例示的なシステムアーキテクチャ)を示すブロック図である。システム100は、クライアントデバイス120、製造機器124、センサ126、計測機器128、予測サーバ112、及びデータストア140を含む。いくつかの実施形態では、予測サーバ112は、予測システム110の一部である。いくつかの実施形態では、予測システム110は、サーバマシン170及び180を更に含む。
[0021]いくつかの実施形態では、クライアントデバイス120、製造機器124、センサ126、計測機器128、予測サーバ112、データストア140、サーバマシン170、及び/又はサーバマシン180のうちの1つ又は複数は、是正措置を行うために予測データ168(例えば、処理チャンバの健全性を示す出力)を生成するためにネットワーク130を介して互いに連結される。いくつかの実施形態では、ネットワーク130は、クライアントデバイス120に、予測サーバ112、データストア140、及び他の公的に利用可能なコンピューティングデバイスへのアクセスを提供する公衆ネットワークである。いくつかの実施形態では、ネットワーク130は、クライアントデバイス120に、製造機器124、センサ126、計測機器128、データストア140、及び他の私的に利用可能なコンピューティングデバイスへのアクセスを提供する私設ネットワークである。いくつかの実施形態では、ネットワーク130は、1つ又は複数のワイドエリアネットワーク(WAN)、ローカルエリアネットワーク(LAN)、有線ネットワーク(例えば、イーサネットネットワーク)、無線ネットワーク(例えば、802.11ネットワーク又はWi-Fiネットワーク)、セルラーネットワーク(例えば、ロングタームエボリューション(LTE)ネットワーク)、ルータ、ハブ、スイッチ、サーバコンピュータ、クラウドコンピューティングネットワーク、及び/又はこれらの組合せを含む。
[0022]いくつかの実施形態では、クライアントデバイス120は、パーソナルコンピュータ(PC)、ラップトップ、携帯電話、スマートフォン、タブレットコンピュータ、ネットブックコンピュータなどのコンピューティングデバイスを含む。いくつかの実施形態では、クライアントデバイス120は、是正措置構成要素122を含む。クライアントデバイス120は、ユーザがデータ(例えば、製造機器124に関連する指示、製造機器124に関連する是正措置など)を生成、表示、又は編集することのうちの1つ又は複数を実行可能にするオペレーティングシステムを含む。
[0023]いくつかの実施形態では、是正措置構成要素122は、製造機器124に関連する指示のユーザ入力を(例えば、クライアントデバイス120を介して表示されるグラフィカルユーザインターフェース(GUI)を介して)受信する。いくつかの実施形態では、是正措置構成要素122は、指示を予測システム110に送信し、予測システム110から出力(例えば、予測データ168)を受信し、出力に基づいて製造機器124に関連する是正措置を決定し、是正措置を実行させる。いくつかの実施形態では、是正措置構成要素122は、製造機器124に関連するパラメータ142(例えば、現在のパラメータ152)を(例えば、データストア140などから)取得し、製造機器124に関連するパラメータ142(例えば、現在のパラメータ152)を予測システム110に提供する。いくつかの実施形態では、是正措置構成要素122は、データストア140にパラメータ142を記憶し、予測サーバ112は、データストア140からそのパラメータ142を取得する。いくつかの実施形態では、予測サーバ112は、訓練された機械学習モデル190の出力(例えば、予測データ168)をデータストア140に記憶し、クライアントデバイス120は、データストア140からその出力を取得する。いくつかの実施形態では、是正措置構成要素122は、予測システム110から是正措置の指示を受信し、是正措置を実行させる。
[0024]いくつかの実施形態では、是正措置は、計算プロセス制御(CPC)、統計プロセス制御(SPC)(例えば、3シグマのグラフと比較するためのSPCなど)、高度プロセス制御(APC)、モデルベースのプロセス制御、予防運用保守(preventative operative maintenance)、設計最適化、製造パラメータの更新、フィードバック制御、機械学習修正などのうちの1つ又は複数と関連している。
[0025]いくつかの実施形態では、是正措置は、接合金属板構造の製造に関連するパラメータを更新することを含む。いくつかの実施形態では、是正措置は、警告(例えば、予測データ168が、製品、構成要素、製造機器124、又は処理チャンバの健全性などの予測された異常を示す場合、基板処理に接合金属板構造を使用しないための警告)を提供することを含む。いくつかの実施形態では、是正措置は、フィードバック制御を提供すること(例えば、予測された異常を示す予測データ168に応答してパラメータを修正すること)を含む。いくつかの実施形態では、是正措置は、機械学習を提供すること(例えば、予測データ168に基づいて、接合金属板構造の製造の1つ又は複数のパラメータの修正をもたらすこと)を含む。
[0026]いくつかの実施形態では、予測サーバ112、サーバマシン170、及びサーバマシン180は各々、ラックマウントサーバ、ルータコンピュータ、サーバコンピュータ、パーソナルコンピュータ、メインフレームコンピュータ、ラップトップコンピュータ、タブレットコンピュータ、デスクトップコンピュータ、グラフィック処理ユニット(GPU)、アクセラレータ特定用途向け集積回路(accelerator Application-Specific Integrated Circuit(ASIC))(例えば、テンソルプロセッシングユニット(Tensor Processing Unit(TPU))などの1つ又は複数の計算デバイスを含む。
[0027]予測サーバ112は、予測構成要素114を含む。いくつかの実施形態では、予測構成要素114は、パラメータ142を受信し(例えば、クライアントデバイス120から受信する、データストア140から取り出すなど)、パラメータ142に基づいて製造機器124に関連する是正措置を実行するための出力(例えば、予測データ168)を生成する。いくつかの実施形態では、予測構成要素114は、1つ又は複数の訓練された機械学習モデル190を使用して、パラメータ142に基づいて、是正措置を実行するための出力を決定する。いくつかの実施形態では、訓練された機械学習モデル190は、過去のパラメータ144及び過去の性能データ162を使用して訓練される。
[0028]いくつかの実施形態では、予測システム110(例えば、予測サーバ112、予測構成要素114)は、教師あり機械学習(例えば、教師ありデータセット、性能データ160は、処理チャンバに較正及び/又は設置におけるエラーがあったかどうかの表示を含む、など)を使用して、予測データ168を生成する。いくつかの実施形態では、予測システム110は、半教師あり学習(例えば、半教師ありデータセット、性能データ160は予測割合である、など)を使用して、予測データ168を生成する。いくつかの実施形態では、予測システム110は、教師なし機械学習(例えば、教師なしデータセット、クラスタリング、性能データ160に基づくクラスタリングなど)を使用して、予測データ168を生成する。
[0029]いくつかの実施形態では、製造機器124(例えば、クラスタツール)は、基板処理システム(例えば、統合処理システム)の一部である。製造機器124は、コントローラ、エンクロージャシステム(例えば、基板キャリア、前方開口型統一ポッド(FOUP)、自動教示FOUP、プロセスキットエンクロージャシステム、基板エンクロージャシステム、カセットなど)、サイドストレージポッド(SSP)、アライナデバイス(例えば、アライナチャンバ)、ファクトリインターフェース(例えば、機器フロントエンドモジュール(EFEM))、ロードロック、移送チャンバ、1つ又は複数の処理チャンバ、ロボットアーム(例えば、移送チャンバに配置される、フロントインターフェースに配置される、など)、及び/又は同種のもののうちの1つ又は複数を含む。エンクロージャシステム、SSP、ロードロックは、ファクトリインターフェースに装着され、ファクトリインターフェースに配置されたロボットアームは、エンクロージャシステム、SSP、ロードロック、ファクトリインターフェースの間でコンテンツ(例えば、基板、プロセスキットリング、キャリア、検証ウエハなど)を移送する。アライナデバイスは、コンテンツを位置合わせするために、ファクトリインターフェースに配置される。ロードロックと処理チャンバは移送チャンバに装着され、移送チャンバに配置されたロボットアームは、ロードロック、処理チャンバ、移送チャンバの間でコンテンツ(例えば、基板、プロセスキットリング、キャリア、検証ウエハなど)を移送する。いくつかの実施形態では、製造機器124は、基板処理システムの構成要素を含む。いくつかの実施形態では、製造機器124は、基板処理システムで使用される1つ又は複数の構成要素を製造するために使用される。いくつかの実施形態では、製造機器124は、接合金属板構造(例えば、基板処理システムの処理チャンバで使用されるシャワーヘッド)を製造するために使用され且つ/又はこれを含む。
[0030]いくつかの実施形態では、センサ126は、製造機器124に関連するパラメータ142を提供する。いくつかの実施形態では、センサ126は、センサ値(例えば、過去のセンサ値、現在のセンサ値)を提供する。いくつかの実施形態では、センサ126は、圧力センサ、温度センサ、流量センサ、及び/又は同種のもののうちの1つ又は複数を含む。いくつかの実施形態では、パラメータは、機器の健全性及び/又は製品の健全性(例えば、製品品質)のために使用される。いくつかの実施形態では、パラメータ142は、ある期間にわたって受信される。
[0031]いくつかの実施形態では、センサ126は、他のタイプのパラメータ142を提供する追加のセンサを含む。いくつかの実施形態では、パラメータ142は、漏れ率、温度、圧力、流量(例えば、ガス流)、ポンプ効率、間隔(SP)、高周波無線周波数(High Frequency Radio Frequency(HFRF))、電流、電力、電圧、及び/又は同種のもののうちの1つ又は複数の値を含む。いくつかの実施形態では、パラメータ142は、ハードウェアパラメータ(例えば、製造機器124のサイズ、タイプなどの設定値若しくは構成要素)又は製造機器のプロセスパラメータなどの製造パラメータに関連付けられているか又は製造パラメータを示している。いくつかの実施形態では、製造機器124が製造プロセス(例えば、製品又は構成要素を処理するときの装置の読み取り)を実行している間、製造機器124が製造プロセスを実行する前、及び/又は製造機器124が製造プロセスを実行した後に、パラメータ142が提供される。いくつかの実施形態では、製造機器124が密閉された環境を提供している(例えば、拡散接合チャンバ、基板処理システム、及び/又は処理チャンバが閉鎖されている)間に、パラメータ142が提供される。
[0032]いくつかの実施形態では、パラメータ142(例えば、過去のパラメータ144、現在のパラメータ152など)は、(例えば、クライアントデバイス120によって、及び/又は予測サーバ112によって)処理される。いくつかの実施形態では、パラメータ142の処理は、特徴を生成することを含む。いくつかの実施形態では、特徴は、パラメータ142のパターン(例えば、傾斜、幅、高さ、ピークなど)又はパラメータ142からの値の組み合わせ(例えば、電圧及び電流から得られる電力など)である。いくつかの実施形態では、パラメータ142は特徴を含み、特徴は、是正措置実行のための予測データ168を得るために予測構成要素114によって使用される。
[0033]いくつかの実施形態では、計測機器128は、製造機器124の製品に対応する計測データ(例えば、検査データ)を決定するために使用される。いくつかの例では、製造機器124による拡散接合、熱処理、機械加工、表面機械加工、及び/又は同種のものの後に、接合金属板構造の1つ又は複数の部分(例えば、各接合界面)を検査するために、接合金属板構造及び計測機器128が使用される。いくつかの実施形態では、計測機器128は、走査音響顕微鏡法(SAM)、超音波検査、X線検査、及び/又はコンピュータ断層撮影(CT)検査のうちの1つ又は複数を実行する。いくつかの例では、製造機器124が基板上に1つ又は複数の層を堆積させた後に、計測機器128は、処理された基板の品質(例えば、層の厚さ、層の均一性、層の層間間隔、及び/又は同種のもののうちの1つ又は複数)を決定するために使用される。いくつかの実施形態では、計測機器128は、撮像装置(例えば、SAM機器、超音波機器、X線機器、CT機器、及び/又は同種のもの)を含む。
[0034]いくつかの実施形態では、データストア140は、メモリ(例えば、ランダムアクセスメモリ)、ドライブ(例えば、ハードドライブ、フラッシュドライブ)、データベースシステム、又はデータを記憶可能な別のタイプの構成要素若しくはデバイスである。いくつかの実施形態では、データストア140は、複数のコンピューティングデバイス(例えば、複数のサーバコンピュータ)に及ぶ複数のストレージ構成要素(例えば、複数のドライブ又は複数のデータベース)を含む。いくつかの実施形態では、データストア140は、パラメータ142、性能データ160、及び/又は予測データ168のうちの1つ又は複数を記憶する。
[0035]パラメータ142は、過去のパラメータ144と現在のパラメータ152を含む。いくつかの実施形態では、パラメータは、圧力値、圧力範囲、金属板の寸法、金属板の設計、金属板の孔パターン、温度値、温度範囲、検査データを閾値データと比較するための比較パラメータ、閾値データ、冷却速度値、冷却速度範囲、及び/又は同種のもののうちの1つ又は複数を含む。
[0036]性能データ160は、過去の性能データ162及び現在の性能データ164を含む。いくつかの実施形態では、性能データ160は、製造機器124が適切に機能しているかどうかを示すものである。いくつかの例では、性能データ160は、接合金属板構造が適切に設計されているか、適切に製造されているか、及び/又は適切に機能しているかを示すものである。いくつかの実施形態では、性能データ160の少なくとも一部は、製造機器124によって製造される製品の品質と関連している。いくつかの実施形態では、性能データ160の少なくとも一部は、計測機器128からの計測データに基づいている(例えば、過去の性能データ162は、適切に処理された基板を示す計測データ、基板の特性データ、歩留まりなどに対応する処理チャンバにおいて正しく製造された接合金属板構造を示す)。いくつかの実施形態では、性能データ160の少なくとも一部は、製造機器124の検査に基づいている(例えば、実際の検査の検証に基づく現在の性能データ164)。いくつかの実施形態では、性能データ160は、絶対値(例えば、接合界面の検査データは、計算値による閾値データの欠落を示し、変形値は、計算値によって閾値の変形値が欠落している)又は相対値(例えば、接合界面の検査データは、閾値データが5%欠落し、変形は閾値変形が5%欠落している)の表示を含む。いくつかの実施形態では、性能データ160は、閾値のエラー(例えば、製造における少なくとも5%のエラー、フローにおける少なくとも5%のエラー、変形における少なくとも5%のエラー、仕様限界)を満たすことを示すものである。
[0037]いくつかの実施形態では、クライアントデバイス120は、性能データ160(例えば、製品データ、機器データ)を提供する。いくつかの例では、クライアントデバイス120は、製品の異常(例えば、不良品)及び/又は製造機器124の異常(例えば、構成要素の故障、メンテナンス、エネルギー使用、類似構成要素と比較した構成要素の変動など)を示す性能データ160を(例えば、ユーザ入力に基づいて)提供する。いくつかの実施形態では、性能データ160は、正常又は異常であった、製造された製品の量を含む(例えば、98%の正常製品)。いくつかの実施形態では、性能データ160は、正常又は異常と予測される、製造されている製品の量を示す。いくつかの実施形態では、性能データ160は、製品の以前のバッチの歩留まり、平均歩留まり、予測歩留まり、不良品又は非不良品の予測量、又は同種のもののうちの1つ又は複数を含む。いくつかの例では、製品の第1のバッチに関する歩留まりが98%であること(例えば、製品の98%が正常であり、2%が異常であった)に応答して、クライアントデバイス120は、製品の次のバッチが98%の歩留まりを有することを示す性能データ160を提供する。
[0038]過去のデータは、過去のパラメータ144及び/又は過去の性能データ162のうちの1つ又は複数(例えば、機械学習モデル190を訓練するための少なくとも一部)を含む。現在のデータは、予測データ168が生成される(例えば、是正措置を実行するために)現在のパラメータ152及び/又は現在の性能データ164のうちの1つ又は複数(例えば、過去のデータを使用してモデル190を訓練することに続き、訓練された機械学習モデル190に入力される少なくとも一部)を含む。いくつかの実施形態では、現在のデータは、訓練された機械学習モデル190を保持するために使用される。
[0039]いくつかの実施形態では、予測データ168は、製造機器124及び/又は構成要素(例えば、接合金属板構造)の予測性能データを示している。いくつかの例では、予測データ168は、流量、結合、偏向、及び/又は同種のものにおける予測エラーを示す。いくつかの実施形態では、予測データ168は、予測エラー値がエラー閾値より大きいかどうかを示す。いくつかの実施形態では、予測データ168は、エラーの絶対値又は相対値を示す。いくつかの実施形態では、予測データ168は、予測特性データ(例えば、現在のパラメータ152を使用して製造される製品又は製造された製品のデータ)、予測計測データ(例えば、現在のパラメータ152を使用して製造される製品又は製造された製品の仮想計測データ)、異常の表示(例えば、異常な製品、異常な構成要素、異常な製造機器124、異常なエネルギー使用、異常な設置、異常な較正など)、異常又はエラーの1つ又は複数の原因、及び/又は製造機器124の構成要素の寿命終了の表示のうちの1つ又は複数に関連する。
[0040]誤って製造された構成要素(例えば、接合金属板構造)を決定するために製品を計測することは、使用される時間、使用される計測機器128、消費されるエネルギー、計測データの送信に使用される帯域幅、計測データを処理するプロセッサオーバーヘッドなどの点でコストがかかる。パラメータ142を入力し、予測データ168の出力を受信することによって、システム100は、現在のパラメータ152に対する現在の性能データ164を生成するために計測機器128を使用する、コストがかかるプロセスを回避するという技術的利点を有する。
[0041]不良品をもたらす製造プロセス(例えば、不良品となった接合金属板構造などの不良構成要素)を実行することは、時間、エネルギー、製品、構成要素、製造機器124、不良品をもたらす構成要素を特定し、新しい構成要素を製造し、古い構成要素を廃棄する費用などでコストがかかる。パラメータ142を入力し、予測データ168の出力を受信し、予測データ168に基づいて是正措置を実行することによって、システム100は、不良構成要素の製造、特定、及び廃棄のコストを回避する技術的利点を有する。
[0042]いくつかの実施形態では、製造パラメータは、リソース(例えば、エネルギー、冷却剤、ガスなど)の消費の増加、製品を製造する時間の増加、構成要素の故障の増加、不良品の量の増加などのコストがかかる結果を有する製品を製造するために最適ではない(例えば、不正確に較正されるなど)。パラメータ142を訓練された機械学習モデル190に入力し、予測データ168の出力を受信し、製造パラメータを更新する是正措置を実行する(例えば、予測データ168に基づいて)ことによって、システム100は、最適でない製造パラメータのコストのかかる結果を回避するために最適な製造パラメータを使用する技術的利点を有する。
[0043]いくつかの実施形態では、予測システム110は、サーバマシン170及びサーバマシン180を更に含む。サーバマシン170は、1つ又は複数の機械学習モデル190を訓練、検証、及び/又は試験するためのデータセット(例えば、データ入力のセット及びターゲット出力のセット)を生成可能なデータセット生成器172を含む。データセット生成器172のいくつかの工程が、図2及び図5Bに関して以下に詳細に説明される。いくつかの実施形態では、データセット生成器172は、過去のデータ(例えば、過去のパラメータ144及び過去の性能データ162)を、訓練セット(例えば、過去のデータの60パーセント)、検証セット(例えば、過去のデータの20パーセント)、及び試験セット(例えば、過去のデータの20パーセント)に分割する。いくつかの実施形態では、予測システム110は(例えば、予測構成要素114を介して)、複数のセットの特徴を生成する。いくつかの例では、第1のセットの特徴は、データセット(例えば、訓練セット、検証セット、及び試験セット)の各々に対応する第1のセットのタイプのパラメータ(例えば、第1のセットのセンサ、第1のセットのセンサからの値の第1の組み合わせ、第1のセットのセンサからの値における第1のパターンからの)に対応し、第2のセットの特徴は、データセットの各々に対応する、第2のセットのタイプのパラメータ(例えば、第1のセットのセンサとは異なる第2のセットのセンサ、第1の組み合わせとは異なる第2の組み合わせの値、第1のパターンとは異なる第2のパターンからの)に対応する。
[0044]サーバマシン180は、訓練エンジン182、検証エンジン184、選択エンジン185、及び/又は試験エンジン186を含む。いくつかの実施形態では、エンジン(例えば、訓練エンジン182、検証エンジン184、選択エンジン185、及び試験エンジン186)は、ハードウェア(例えば、回路、専用論理、プログラマブル論理、マイクロコード、処理デバイスなど)、ソフトウェア(処理デバイス、汎用コンピュータシステム、又は専用マシンで実行する命令など)、ファームウェア、マイクロコード、又はこれらの組合せを指す。訓練エンジン182は、データセット生成器172からの訓練セットに関連する1つ又は複数のセットの特徴を使用して、機械学習モデル190を訓練可能である。いくつかの実施形態では、訓練エンジン182は、複数の訓練された機械学習モデル190を生成し、各訓練された機械学習モデル190は、訓練セットの特徴の別個のセット(例えば、センサの別個セットからのパラメータ)に対応する。いくつかの例では、第1の訓練された機械学習モデルは、すべての特徴(例えば、X1~X5)を使用して訓練され、第2の訓練された機械学習モデルは、特徴の第1のサブセット(例えば、X1、X2、X4)を使用して訓練され、第3の訓練された機械学習モデルは、特徴の第1のサブセットと部分的に重なる特徴の第2のサブセット(例えば、X1、X3、X4、及びX5)を使用して訓練された。
[0045]検証エンジン184は、データセット生成器172からの検証セットの対応するセットの特徴を使用して、訓練された機械学習モデル190を検証可能である。例えば、訓練セットの第1のセットの特徴を用いて訓練された第1の訓練された機械学習モデル190は、検証セットの第1のセットの特徴を用いて検証される。検証エンジン184は、検証セットの対応するセットの特徴に基づいて、訓練された機械学習モデル190の各々の精度を決定する。検証エンジン184は、閾値精度を満たさない精度を有する訓練された機械学習モデル190を破棄する。いくつかの実施形態では、選択エンジン185は、閾値精度を満たす精度を有する1つ又は複数の訓練された機械学習モデル190を選択可能である。いくつかの実施形態では、選択エンジン185は、訓練された機械学習モデル190のうち最も高い精度を有する訓練された機械学習モデル190を選択可能である。
[0046]試験エンジン186は、データセット生成器172からの試験セットの対応するセットの特徴を使用して、訓練された機械学習モデル190を試験することができる。例えば、訓練セットの第1のセットの特徴を用いて訓練された第1の訓練された機械学習モデル190は、試験セットの第1のセットの特徴を用いて試験される。試験エンジン186は、試験セットに基づいて、全ての訓練された機械学習モデルの中で最も精度が高い、訓練された機械学習モデル190を決定する。
[0047]いくつかの実施形態では、機械学習モデル190は、データ入力と対応するターゲット出力(それぞれの訓練入力に対する正解)を含む訓練セットを使用して訓練エンジン182によって作成されるモデルアーティファクトを指す。データ入力をターゲット出力(正解)にマッピングするデータセットのパターンが見つけられ、機械学習モデル190には、これらのパターンを捕捉するマッピングが提供される。いくつかの実施形態では、機械学習モデル190は、サポートベクターマシン(SVM)、放射基底関数(RBF)、クラスタリング、教師あり機械学習、半教師あり機械学習、教師なし機械学習、k最近傍法アルゴリズム(k-NN)、線形回帰、ランダムフォレスト、ニューラルネットワーク(例えば、人工ニューラルネットワーク)等のうちの1つ又は複数を用いる。いくつかの実施形態では、機械学習モデル190は、多変量解析(MVA)モデルである。
[0048]予測構成要素114は、現在のパラメータ152を訓練された機械学習モデル190に提供し、訓練された機械学習モデル190を入力上で実行して、1つ又は複数の出力を取得する。予測構成要素114は、訓練された機械学習モデル190の出力から予測データ168を決定(例えば、抽出)し、予測データ168が現在のパラメータ152における製造機器124の現在の性能データ164(例えば、モデル190)に対応するという信頼度(level of confidence)を示す信頼データを出力から決定(例えば、抽出)可能である。いくつかの実施形態では、予測構成要素114又は是正措置構成要素122は、予測データ168に基づいて、製造機器124に関連する是正措置を実行させるかどうかを決定するために信頼データを使用する。
[0049]信頼データは、予測データ168が、現在のパラメータ152における製造機器124の現在の性能データ164(例えば、モデル190)に対応するという信頼度を含む又は示す。1つの例では、信頼度は0から1までの実数であり、0は、予測データ168が現在のパラメータ152に関連する現在の性能データ164に対応するという信頼がないことを示し、1は、予測データ168が現在のパラメータ152に関連する現在の性能データ164に対応するという絶対的信頼を示している。いくつかの実施形態では、システム100は、基板を処理し、計測機器128を使用して現在の性能データ164を決定する代わりに、予測システム110を使用して予測データ168を決定する。いくつかの実施形態では、閾値レベルを下回る信頼度を示す信頼データに応答して、システム100は、基板の処理を実行させ、計測機器128に電流性能データ164を生成させる。信頼データが、所定の数のインスタンス(例えば、インスタンスの割合、インスタンスの頻度、インスタンスの総数など)について閾値レベルを下回る信頼度を示すことに応答して、予測構成要素114は、(例えば、現在のパラメータ152及び現在の性能データ164などに基づいて)訓練された機械学習モデル190を再訓練させる。
[0050]限定ではなく例示の目的で、本開示の態様は、過去のデータ(例えば、過去のパラメータ144及び過去の性能データ162)を使用して1つ又は複数の機械学習モデル190を訓練し、予測データ168(例えば、現在の性能データ164)を決定するために1つ又は複数の訓練された機械学習モデル190に現在のデータ(例えば、現在のパラメータ152)を入力することについて説明する。他の実施態様では、ヒューリスティックモデル又はルールベースモデルが、(例えば、訓練された機械学習モデルを使用せずに)予測データ168を決定するために使用される。予測構成要素114は、過去のパラメータ144及び過去の性能データ162をモニタする。いくつかの実施形態では、図2のデータ入力210に関して説明される情報のいずれかが、ヒューリスティックモデル又はルールベースモデルにおいてモニタされるか、又はその他の方法で使用される。
[0051]いくつかの実施形態では、クライアントデバイス120、予測サーバ112、サーバマシン170、及びサーバマシン180の機能は、より少ない数のマシンによって提供される。例えば、いくつかの実施形態では、サーバマシン170及び180は単一のマシンに統合されるが、いくつかの他の実施形態では、サーバマシン170、サーバマシン180、及び予測サーバ112は単一のマシンに統合される。いくつかの実施形態では、クライアントデバイス120及び予測サーバ112は、単一のマシンに統合される。
[0052]一般に、クライアントデバイス120、予測サーバ112、サーバマシン170、及びサーバマシン180によって実行されるもの「として1つの実施形態で説明される機能は、適切であれば、他の実施形態でも、予測サーバ112で実行することができる。加えて、特定の構成要素に帰属される機能性は、共に動作する異なる又は複数の構成要素によって実行することができる。例えば、いくつかの実施形態では、予測サーバ112は、予測データ168に基づいて是正措置を決定する。別の例では、クライアントデバイス120は、訓練された機械学習モデルからの出力に基づいて、予測データ168を決定する。
[0053]更に、特定の構成要素の機能は、共に動作する異なる又は複数の構成要素によって実行することができる。いくつかの実施形態では、予測サーバ112、サーバマシン170、又はサーバマシン180の1つ又は複数は、適切なアプリケーションプログラミングインターフェース(API)を通して他のシステム又はデバイスに提供されるサービスとしてアクセスされる。
[0054]いくつかの実施形態では、「ユーザ」は、単一の個人として表される。しかしながら、本開示の他の実施形態は、「ユーザ」が複数のユーザ及び/又は自動化されたソースによって制御されるエンティティであることを包含する。いくつかの例では、管理者のグループとして連合した個々のユーザの集合が「ユーザ」とみなされる。
[0055]本開示の実施形態は、製造設備(例えば、基板処理設備)において是正措置を実行するための予測データ168を生成する観点から議論されているが、いくつかの実施形態では、本開示はまた、概して、構成要素を製造する正しいパラメータを検証することに適用することができる。実施形態は、概して、異なるタイプのデータに基づくパラメータ(例えば、設計パラメータ、製造パラメータなど)の検証に適用することができる。
[0056]図2は、特定の実施形態による、機械学習モデル(例えば、図1のモデル190)ためのデータセットを作成するためのデータセット生成器272(例えば、図1のデータセット生成器172)を示す。いくつかの実施形態では、データセット生成器272は、図1のサーバマシン170の一部である。
[0057]データセット生成器272(例えば、図1のデータセット生成器172)は、機械学習モデル(例えば、図1のモデル190)のためのデータセットを作成する。データセット生成器272は、過去のパラメータ244(例えば、図1の過去のパラメータ144)及び過去の性能データ262(例えば、図1の過去の性能データ162)を使用してデータセットを作成する。図2のシステム200は、データセット生成器272、データ入力210、及びターゲット出力220を示す。
[0058]いくつかの実施形態では、データセット生成器272は、1つ又は複数のデータ入力210(例えば、訓練入力、検証入力、試験入力)と、データ入力210に対応する1つ又は複数のターゲット出力220とを含むデータセット(例えば、訓練セット、検証セット、試験セット)を生成する。また、データセットは、データ入力210をターゲット出力220にマッピングするマッピングデータを含む。データ入力210は、“特徴”、“属性”、又は“情報”とも称される。いくつかの実施形態では、データセット生成器272は、データセットを訓練エンジン182、検証エンジン184、又は試験エンジン186に提供し、データセットは、機械学習モデル190を訓練、検証、又は試験するために使用される。訓練セットを生成するいくつかの実施形態は、図5Bに関して更に説明される。
[0059]いくつかの実施形態では、データセット生成器272は、データ入力210及びターゲット出力220を生成する。いくつかの実施形態では、データ入力210は、1つ又は複数の過去のパラメータ244のセットを含む。いくつかの実施形態では、過去のパラメータ244は、1つ又は複数のタイプのセンサからのパラメータ、1つ又は複数のタイプのセンサからのパラメータの組み合わせ、1つ又は複数のタイプのセンサからのパラメータからのパターン、金属板の寸法、及び/又は同様のもののうちの1つ又は複数を含む。
[0060]いくつかの実施形態では、データセット生成器272は、第1の機械学習モデルを訓練、検証、又は試験するために、第1の過去のパラメータ244Aのセットに対応する第1のデータ入力を生成し、データセット生成器272は、第2の機械学習モデルを訓練、検証、又は試験するために、第2の過去のパラメータ244Bのセットに対応する第2のデータ入力を生成する。
[0061]いくつかの実施形態では、データセット生成器272は、(例えば、回帰問題(regression problem)の分類アルゴリズムで使用するために)データ入力210又はターゲット出力220の1つ又は複数を離散化する(例えば、セグメント化する)。データ入力210又はターゲット出力220の離散化(例えば、スライディングウインドウによるセグメント化)は、変数の連続値を離散値に変換する。いくつかの実施形態では、データ入力210の離散値は、ターゲット出力220(例えば、離散性能データ262)を得るための離散過去パラメータ244を示す。
[0062]機械学習モデルを訓練、検証、又は試験するためのデータ入力210及びターゲット出力220は、特定の設備(例えば、特定の基板製造設備)についての情報を含む。いくつかの例では、過去のパラメータ244及び過去の性能データ262は、同じ製造設備についてのものである。
[0063]いくつかの実施形態では、機械学習モデルを訓練するために使用される情報は、特定の特性を有する製造設備の特定のタイプの製造機器124からのものであり、訓練された機械学習モデルが、特定のグループの特性を共有する1つ又は複数の構成要素に関連する現在のパラメータ(例えば、現在のパラメータ152)の入力に基づいて、製造機器124の特定のグループの結果を決定できるようにする。いくつかの実施形態では、機械学習モデルを訓練するために使用される情報は、2つ以上の製造設備からの構成要素に対するものであり、訓練された機械学習モデルが、1つの製造設備からの入力に基づいて構成要素に対する結果を決定できるようにする。
[0064]いくつかの実施形態では、データセットを生成し、そのデータセットを使用して機械学習モデル190を訓練、検証、又は試験した後に、機械学習モデル190を更に訓練、検証、又は試験する(例えば、図1の現在の性能データ164)か、又は調整する(例えば、ニューラルネットワークにおける接続重みなど、機械学習モデル190の入力データに関連する重みを調整する)。
[0065]図3は、特定の実施形態による、予測データ368(例えば、図1の予測データ168)を生成するためのシステム300を示すブロック図である。システム300は、是正措置(例えば、製造機器124に関連する、接合金属板構造の製造に関連する)をもたらすための予測データ368(例えば、図1のモデル190)を決定するために用いられる。
[0066]ブロック310において、システム300(例えば、図1の予測システム110)は、過去のデータ(例えば、図1のモデル190の過去のパラメータ344及び過去の性能データ362)のデータ分割を(例えば、図1のサーバマシン170のデータセット生成器172を介して)実行し、訓練セット302、検証セット304、及び試験セット306を生成する。いくつかの例では、訓練セットは過去のデータの60%であり、検証セットは過去のデータの20%であり、試験セットは過去のデータの20%である。システム300は、訓練セット、検証セット、及び試験セットの各々について、複数のセットの特徴を生成する。いくつかの例では、過去のデータが、20個のセンサ(例えば、図1のセンサ126)及び100個の製品(例えば、20個のセンサからのパラメータに各々が対応する製品)からのパラメータに由来する特徴を含む場合、第1のセットの特徴はセンサ1~10であり、第2のセットの特徴はセンサ11~20であり、訓練セットは製品1~60であり、検証セットは製品61~80であり、試験セットは製品81~100である。この例では、訓練セットの第1のセットの特徴は、製品1~60のセンサ1~10からのパラメータとなるだろう。
[0067]ブロック312において、システム300は、訓練セット302を使用して、モデル訓練を(例えば、図1の訓練エンジン182を介して)実行する。いくつかの実施形態では、システム300は、訓練セット302の複数のセットの特徴(例えば、訓練セット302の第1のセットの特徴、訓練セット302の第2のセットの特徴など)を使用して、複数のモデルを訓練する。例えば、システム300は、機械学習モデルを訓練して、訓練セットにおける第1のセットの特徴(例えば、製品1~60についてのセンサ1~10からのパラメータ)を使用して、第1の訓練された機械学習モデルを生成し、訓練セットにおける第2のセットの特徴(例えば、製品1~60についてのセンサ11~20からのパラメータ)を使用して、第2の訓練された機械学習モデルを生成する。いくつかの実施形態では、第1の訓練された機械学習モデル及び第2の訓練された機械学習モデルは、組み合わされて、第3の訓練された機械学習モデル(例えば、いくつかの実施形態では、それ自体で第1又は第2の訓練された機械学習モデルよりも良好な予測器である)を生成する。いくつかの実施形態では、モデルの比較に使用されるセットの特徴は重複する(例えば、第1のセットの特徴はセンサ1~15からのパラメータであり、第2のセットの特徴はセンサ5~20である)。いくつかの実施形態では、特徴の様々な順列とモデルの組み合わせを有するモデルを含む数百のモデルが生成される。
[0068]ブロック314において、システム300は、検証セット304を使用して、(例えば、図1の検証エンジン184を介して)モデル検証を実行する。システム300は、検証セット304の対応するセットの特徴を用いて、訓練されたモデルの各々を検証する。例えば、システム300は、検証セットにおける第1のセットの特徴(例えば、製品61~80のセンサ1~10からのパラメータ)を用いて、第1の訓練された機械学習モデルを検証し、検証セットにおける第2のセットの特徴(例えば、製品61~80のセンサ11~20からのパラメータ)を用いて、第2の訓練された機械学習モデルを検証する。いくつかの実施形態では、システム300は、ブロック312で生成された数百のモデル(例えば、特徴の様々な順列を有するモデル、モデルの組み合わせなど)を検証する。ブロック314において、システム300は、(例えば、モデル検証を介して)1つ又は複数の訓練されたモデルの各々の精度を決定し、訓練されたモデルの1つ又は複数が閾値精度を満たす精度を有しているかどうかを決定する。訓練されたモデルのいずれも閾値精度を満たす精度を有していないとの決定に応答して、フローはブロック312に戻り、システム300は、訓練セットの特徴の異なるセットを使用してモデル訓練を実行する。訓練されたモデルの1つ又は複数が閾値精度を満たす精度を有しているとの決定に応答して、フローはブロック316に続く。システム300は、(例えば、検証セットに基づく)閾値精度未満の精度を有している訓練された機械学習モデルを破棄する。
[0069]ブロック316において、システム300は、(例えば、図1の選択エンジン185を介して)モデル選択を実行して、閾値精度を満たす1つ又は複数の訓練されたモデルのうち、最も高い精度を有するもの(例えば、ブロック314の検証に基づいて、選択されたモデル308)を決定する。閾値精度を満たす2つ以上の訓練されたモデルが同じ精度を有するとの決定に応答して、フローはブロック312に戻り、システム300は、最高の精度を有する訓練されたモデルを決定するために、特徴の更に洗練されたセットに対応する更に洗練された訓練セットを使用してモデル訓練を実行する。
[0070]ブロック318において、システム300は、選択されたモデル308を試験するために、試験セット306を使用して(例えば、図1の試験エンジン186を介して)モデル試験を実行する。システム300は、試験セットの第1のセットの特徴(例えば、製品81~100のセンサ1~10からのパラメータ)を使用して、第1の訓練された機械学習モデルを試験して、第1の訓練された機械学習モデルが閾値精度を満たすと判定する(例えば、試験セット306の第1のセットの特徴に基づいて)。選択されたモデル308の精度が閾値精度を満たさない(例えば、選択されたモデル308は、訓練セット302及び/又は検証セット304に過度に適合し、試験セット306などの他のデータセットに適用できない)ことに応答して、フローはブロック312に続き、システム300が、特徴の異なるセット(例えば、異なるセンサからのパラメータ)に対応する異なる訓練セットを使用して、モデル訓練(例えば、再訓練)を実行する。選択されたモデル308が試験セット306に基づく閾値精度を満たす精度を有するとの決定に応答して、フローはブロック320に続く。少なくともブロック312において、モデルは過去のデータのパターンを学習して予測を行い、ブロック318において、システム300は、残りのデータ(例えば、試験セット306)にモデルを適用して、予測を試験する。
[0071]ブロック320において、システム300は、訓練されたモデル(例えば、選択されたモデル308)を使用して、現在のパラメータ352(例えば、図1の現在のパラメータ152)を受信し、製造機器124及び/又は接合金属板構造の製造に関連する是正措置を実行するための予測データ368(例えば、図1の予測データ168)を、訓練されたモデルの出力から決定する(例えば、抽出する)。いくつかの実施形態では、現在のパラメータ352は、過去のパラメータにおける同じタイプの特徴に対応する。いくつかの実施形態では、現在のパラメータ352は、選択されたモデル308を訓練するために使用される過去のパラメータにおける特徴のタイプのサブセットと同じタイプの特徴に対応する。
[0072]いくつかの実施形態では、現在のデータが受信される。いくつかの実施形態では、現在のデータは、現在の性能データ364(例えば、図1の現在の性能データ164)を含む。いくつかの実施形態では、現在のデータは、計測機器(例えば、図1の計測機器128)から、又はユーザ入力を介して、受信される。モデル308は、現在のデータに基づいて再訓練される。いくつかの実施形態では、新しいモデルは、現在のデータ及び現在のパラメータ352に基づいて訓練される。
[0073]いくつかの実施形態では、工程310~320の1つ又は複数は、様々な順序で、及び/又は本明細書で提示及び説明されない他の工程とともに、行われる。いくつかの実施形態では、工程310~320のうちの1つ又は複数は実行されない。例えば、いくつかの実施形態では、ブロック310のデータ分割、ブロック314のモデル検証、ブロック316のモデル選択、及び/又はブロック318のモデル試験のうちの1つ又は複数は、実行されない。
[0074]図4A~4Eは、特定の実施形態による、金属板402を示す。図4Aは、特定の実施形態による金属板402A~Cの上面図を示す。図4Bは、特定の実施形態による金属板402A~Iの側面図を示す。図4Cは、特定の実施形態による、金属板402A~Iを含む接合金属板構造400の側面図を示す。図4Dは、特定の実施形態による、接合金属板構造400の接合界面404A(例えば、金属板402Aと402Bとの間の接合界面404A)の画像420である。図4Eは、特定の実施形態による、接合板構造400のデフォルト接合界面404aの画像430である。
[0075]いくつかの実施形態では、金属板402は、アルミニウム(例えば、アルミニウム6061、アルミニウム合金、ニッケル、ニッケル合金、及び/又は鉄合金のうちの1つ又は複数で作られる。いくつかの実施形態では、金属板402は、層と呼ばれる。いくつかの実施形態では、接合金属板構造400は、拡散接合を用いて共に接合された離散的な個々の層を使用して製造されたガス分配スタックである。いくつかの実施形態では、化学気相堆積(CVD)又は原子層堆積(ALD)ベースのプロセスを使用して製造した後に、スタック全体が層(例えば、酸化アルミニウムなどの酸化物及び/又はセラミック層)でコーティングされる。いくつかの実施形態では、接合金属板構造400は、ALDコーティングプロセス中にガスでパージすることによって選択的にコーティングされる(例えば、孔やチャネルの内面のコーティングを回避するために)。いくつかの実施形態では、接合金属プレート構造400は、金属プレート402の漸進的追加を使用して厚さ全体に達し、従来の構造の別個の構成要素及びOリングの使用を排除する漸進的方法によって形成される。
[0076]いくつかの実施形態では、2つ以上の金属板402が、共に拡散接合されることになる。いくつかの実施形態では、3つ以上の金属板402がまとめて拡散接合される。いくつかの実施形態では、5つ以上の金属板402がまとめて拡散接合される。いくつかの実施形態では、8つ以上の金属板402がまとめて拡散接合される。いくつかの実施形態では、9つ以上の金属板402がまとめて拡散接合される。いくつかの実施形態では、拡散接合は、金属板402と接触する追加の材料(例えば、充填材、シール、ガスケット、Oリング、及び/又は同種のもの)なしに行われる。従来のシステムでは、処理チャンバ内での使用中に腐食する可能性のある(例えば、ハロゲンプラズマ中で腐食する)追加的材料(例えば、充填材、高シリコン含有の充填材)を使用する。拡散接合金属板402は、従来のシステムの接合界面の腐食を回避し(例えば、充填材を使用しないことにより)、粒子を回避し、微量金属を減少させる。
[0077]いくつかの実施形態では、接合金属板構造400は、ガス分配スタック、再帰フローガス分配スタック、シャワーヘッド、基板処理システムの処理チャンバに流れを供給する構成要素、ヒータ板、シールドプレート、ヒータを埋め込んだ構造、チャネル(例えば、冷却、加熱、流体流の提供などのための)を形成する構造、層構造、積層構造、などのうちの1つ又は複数を含む。従来、シャワーヘッドスタックは、異なる構成要素(例えば、遮蔽板、面板など)がシール及びOリングを介して接合される別々の構成要素(例えば、互いに接合されていない)であり、シャワーヘッドスタックを通るガス流が遅くなり(例えば、プロセス工程が完了した後にガスをパージするのに2秒以上かかり)、不均一性を引き起こし、コンダクタンスを抑制する。いくつかの実施形態では、接合金属板構造400は、まとめて拡散接合される完全なシャワーヘッドスタックであり(例えば、別々の遮蔽板、面板等を含まない)、シャワーヘッドスタックを通して、より速いガス流(例えば、プロセス動作が完了した後にシャワーヘッドスタックからガスをパージするのに約0.2秒未満であり、プロセス動作が完了した後にシャワーヘッドスタックからガスをパージする時間が約90%減少)をもたらし、均一性を増加させ、コンダクタンスが増す。いくつかの実施形態では、基板上に、交互に(例えば、1つの酸化物層、次に1つの窒化物層、次に1つの酸化物層、など)約200の層を堆積するために、接合金属板構造400が使用される。接合金属板構造400を通過するガス流が速くなると、従来のシステムよりも基板を製造するのに使用される時間が大幅に短縮される。いくつかの実施形態では、接合金属板構造400は、従来の構造よりも層流である(例えば、乱流が少ない)流れを有する。
[0078]いくつかの実施形態では、製造プロセス(例えば、方法500A)は、シール又はガスケット(例えば、Oリング)を追加することなく、新しい分離したプレナムを作成する追加的層が追加できるようにする。いくつかの実施形態では、接合金属板構造400は、非消耗品シャワーヘッドスタックであり、ここで消耗品スクリーン(例えば、低コストの消耗品スクリーン)がプロセスキャビティからシャワーヘッドを保護するために使用される。
[0079]いくつかの実施形態では、接合金属板構造400は、基板処理システムの処理チャンバ内のCVD又はALDのためのシャワーヘッドである。いくつかの実施形態では、シャワーヘッドは、約0.2秒以下のガスパージ時間を有している。いくつかの実施形態では、接合金属板構造400は、高いコンダクタンスで均一なガス流を有している。いくつかの実施形態では、接合金属板構造400は、反応性ガス化学物質を分離するために、2つ以上のフローチャネルを有している。いくつかの実施形態では、接合金属板構造400は、非消耗シャワーヘッドスタックである(例えば、欠陥性能が改善し、従来のシャワーヘッドスタックよりも欠陥が少ない)。いくつかの実施形態では、接合金属板構造400は、一体型スタック(例えば、9つの層の一体型スタック)である。
[0080]いくつかの実施形態では、金属板402は、円形の外周を有する(例えば、円筒形状である)。いくつかの実施形態では、金属板402の1つ又は複数は、孔410(例えば、金属板402の上面から底面に向かうチャネル)及び/又は凹部412(例えば、金属板402の表面から部分的に金属板402を貫通する)を形成する。
[0081]いくつかの実施形態では、2つ以上の金属板は、孔410及び/又は凹部412の異なるパターン(例えば、異なるサイズ、異なる量など)を有する。いくつかの実施形態では、接合金属板構造400は、(例えば、シール、ガスケット、及び/又はOリングを使用せずに)孔410及び/又は凹部412によって形成された、分離したチャネル(例えば、内部チャネル、フローチャネル)を有する。いくつかの実施形態では、接合金属板構造400(例えば、分離したプレナムを含む)は、シール及び/又はガスケット(例えば、Oリング)を追加せずに形成される。いくつかの実施形態では、2つ以上のチャネルが互いに分離している(例えば、異なるチャネルからの反応性ガス化学物質は、結合金属板構造400内で互いに混ざらない)。
[0082]いくつかの実施形態では、金属板402は、金属板402を位置合わせする位置合わせフィーチャ(例えば、ピンなどの凹部及び凸部)を有する。いくつかの例では、金属板402の1つ又は複数の上面は、凸部(例えば、金属板に圧入されるピン)などの位置合わせフィーチャを有し、金属板402のうちの1つ又は複数の下面は、凹部(例えば、下面に穿孔及び/又は機械加工される)などの位置合わせフィーチャを形成する。凸部が凹部に嵌合することで、金属板402の位置合わせを行う。
[0083]金属板402を拡散接合するために、金属板402の予測される変形(例えば、金属板の位置合わせフィーチャなど)が閾値を超えないことに対応する圧力(例えば、圧力値、圧力範囲)が選択される。
[0084]金属板402は、充填材を使用せずにまとめて拡散接合され、接合界面404A~Hでまとめて結合された金属板402を有する接合金属板構造400を生成する。いくつかの実施形態では、接合界面404A~Hは、接合金属板構造400の性能データを決定するために検査される。
[0085]図4D~Eを参照すると、画像420は、接合金属板構造400の接合界面404Aの画像(例えば、SAM画像、CT画像、X線画像など)である。いくつかの実施形態では、画像430は、デフォルトの結合金属板構造(例えば、適切に機能している、適切に結合されているなどの結合金属状態構造)のデフォルトの接合界面404Aの画像である。いくつかの実施形態では、画像420及び430は、より強い拡散接合に対応するより暗い部分と、より弱い拡散接合又は拡散接合がないことに対応するより明るい部分とを有する。
[0086]いくつかの実施形態では、画像430が(例えば、デフォルトのパラメータに基づいて、訓練された機械学習モデルに基づいて、など)生成される。いくつかの実施形態では、画像430は、閾値のピクセル値を有する。画像420は、画像430と比較(例えば、ピクセルごとに比較)され、画像420と画像430との間の変動を決定する。変動が閾値変動(例えば、比較パラメータ)を満たす(例えば、未満である)ことに応答して、接合金属板構造が使用される。変動が閾値変動(例えば、比較パラメータ)を満たさない(例えば、閾値変動より大きい)ことに応答して、是正措置が実行される(例えば、接合金属板構造の廃棄、パラメータの更新など)。
[0087]図4Eに示すように、画像430は、金属板402Bの凹部412A及び金属板402Aの孔410に対応する、より明るい部分(例えば、金属板402A~Bが互いに拡散接合していない部分)を有している。図4Dに示すように、画像420は、金属板402Bの凹部412A、金属板402Aの孔410、金属板402Bの凹部412Aを囲む領域、及び中心エリア(例えば、金属板402A-Bがより弱い拡散接合又は全く拡散接合を持たないエリア)に対応する、より明るい部分を有する。画像420及び430は、変動を決定するために(例えば、ピクセルごとに)比較され、比較パラメータ(例えば、閾値変動)は、是正措置を実行するかどうかを決定するために使用される。
[0088]図5A~Dは、特定の実施形態による、接合金属板構造の製造に関連する方法500A~Dのフロー図である。いくつかの実施形態では、方法500A~Dは、ハードウェア(例えば、回路、専用ロジック、プログラマブルロジック、マイクロコード、処理デバイスなど)、ソフトウェア(処理デバイス、汎用コンピュータシステム、又は専用マシンで実行される命令など)、ファームウェア、マイクロコード、又はこれらの組み合わせを含む処理論理によって実行される。いくつかの実施形態では、方法500A~Dは、少なくとも部分的に、予測システム110によって実行される。いくつかの実施形態では、方法500Aは、少なくとも部分的に、予測システム110(例えば、予測サーバ112、予測構成要素114)、クライアントデバイス120(例えば、是正措置構成要素)、製造機器124、及び/又は計測機器128のうちの1つ又は複数によって、実行される。いくつかの実施形態では、方法500Bは、少なくとも部分的に、予測システム110(例えば、図1のサーバマシン170及びデータセット生成器172、図2のデータセット生成器272)によって、実行される。いくつかの実施形態では、予測システム110は、方法500Bを使用して、機械学習モデルの訓練、検証、又は試験のうちの少なくとも1つを行うためのデータセットを生成する。いくつかの実施形態では、方法500Cは、サーバマシン180(例えば、訓練エンジン182など)によって実行される。いくつかの実施形態では、方法500Dは、予測サーバ112(例えば、予測構成要素114)によって実行される。いくつかの実施形態では、非一時的記憶媒体は、(例えば、予測システム110、サーバマシン180、予測サーバ112などの)処理デバイスによって実行されると、処理デバイスに方法500A~Dの1つ又は複数を実行させる命令を記憶する。
[0089]説明を簡単にするために、方法500A~Dは、一連の工程として図示され説明される。しかしながら、本開示に従った工程は、様々な順序で及び/又は同時に実行され、本明細書で提示も説明もされない他の工程と共に実行されうる。更に、いくつかの実施形態では、開示された主題に従って方法500A~Dを実施するために、すべての図示された工程が実行されるわけではない。加えて、当業者は、方法500A~Dが、代替的に、状態図を介して一連の相互関連する状態として又はイベントとして表示されうることを理解し認識するだろう。
[0090]図5Aは、特定の実施形態による、接合金属板構造(例えば、図4の接合金属板構造400)を製造するための方法500Aのフロー図である。
[0091]図5Aを参照すると、いくつかの実施形態では、ブロック502で、処理ロジックは、金属板に関連するパラメータを受信する。いくつかの実施形態では、パラメータは、金属板の寸法及びパターン(例えば、高さ、幅、厚さ、孔パターン、凹部パターンなど)、金属板のデザイン、金属板を拡散接合するための圧力(例えば、圧力値、圧力範囲)、金属板を拡散接合するための温度(例えば、温度値、温度範囲)、別々に拡散接合するための金属板のサブセット、接合金属板構造を処理するための熱の冷却速度、検査データを閾値データ(例えば、閾値変形)と比較するための比較パラメータ、閾値データ(例えば、閾値変形)、及び/又は同種のもののうちの1つ又は複数を含む。いくつかの実施形態では、パラメータは、ユーザ入力を介して受信される。いくつかの実施形態では、パラメータは、訓練された機械学習モデルの出力に基づき生成される。
[0092]ブロック504において、処理ロジックは、金属板に関連する予測変形値(例えば、全変形、垂直変形、内部構造の変形など)を決定する。いくつかの実施形態では、予測変形値は、圧力値又は圧力値の範囲(例えば、10~120メガパスカル(MPa))及び金属板の寸法(例えば、位置合わせフィーチャの寸法、孔及び凹部の寸法、高さ寸法、直径寸法、厚さ寸法、幅寸法など)に基づいている。いくつかの実施形態では、処理ロジックは、データ入力(例えば、金属板の圧力及び寸法)を訓練された機械学習モデル(例えば、応力モデル)に提供し、訓練された機械学習モデルの出力に基づいて予測変形を決定することによって、予測変形を決定する。いくつかの実施形態では、1つ又は複数の変形値が各金属板について決定される(例えば、各金属板が個別にモデル化される)。いくつかの実施形態では、予測変形値は、予測偏向(例えば、距離)、予測応力、予測歪み、予測永久変形(例えば、塑性変形)、及び/又は同種のもののうちの1つ又は複数を含む。
[0093]いくつかの実施形態では、処理ロジックは、応力集中と予測変形の場所を特定するために(例えば、拡散接合中に適用される圧力を決定するために)応力モデリングを実行する。
[0094]ブロック506で、処理ロジックは、金属板を製造させる。いくつかの実施形態では、金属板は、機械加工処理、鋳造処理、及び/又は粉末処理(例えば、粉末冶金)のうちの1つ又は複数を介して製造される。いくつかの実施形態では、金属板に孔及び/又は凹部が形成(例えば、機械加工、穿孔、レーザー穿孔、機械的穿孔、約1~10のアスペクト比の穿孔、成形、鋳造)される。いくつかの実施形態では、位置合わせフィーチャ(例えば、凹部、位置合わせピン)が金属板に形成(例えば、穿孔、機械加工)され及び/又は配置(例えば、圧入)される。いくつかの実施形態では、処理ロジックは、平坦な表面(例えば、接合される表面)の平坦度及び粗さを制御させる。
[0095]ブロック508において、処理ロジックは、自然酸化物を除去するために、金属板を洗浄させる。いくつかの実施形態では、処理ロジックは、金属板を化学的に洗浄させる。いくつかの実施形態では、金属板は、金属板上に自然酸化物が形成されるのを防ぐために、洗浄に応答して真空密閉される。いくつかの実施形態では、金属板同士を接合するために、自然酸化物が除去及び/又は破壊される。
[0096]ブロック510において、処理ロジックは、金属板を積層させ、金属板の位置合わせフィーチャ(例えば、凹部及び凸部)を介して位置合わせさせる。いくつかの実施形態では、位置合わせフィーチャは、各金属板の孔及び/又は凹部を、1つ又は複数の他の金属板の孔及び/又は凹部と位置合わせする。いくつかの実施形態では、2つ以上の金属板は、異なる孔及び/又は凹部のパターンを有している。
[0097]ブロック512において、処理ロジックは、予測変形値に基づいて、金属板を拡散接合させ(例えば、アルミニウム板同士を接合させ)、接合金属板構造を形成する(例えば、圧力、温度などのブロック502のパラメータを使用して)。いくつかの実施形態では、処理ロジックは、予測変形値が閾値の変形値を満たす(例えば、超えない)かどうかを決定する。予測変形値が閾値の変形値(例えば、使用されるOリングなどのガスケットの厚さに対応する距離)を満たす(例えば、超えない)ことに応答して、金属板は、第1の圧力で(例えば、より高い圧力で)一度にまとめて拡散接合される。予測変形値が閾値の変形値を満たさない(例えば、超える)ことに応答して、処理ロジックは、金属板の第1のサブセットと金属板の第2のサブセットとを別々に拡散接合させる(例えば。第1の圧力よりも低い1つ又は複数の第2の圧力で)ことを決定し、第1のサブセットを拡散接合させて第1の接合構造を形成し、第2のサブセットを拡散接合させて第2の接合構造を形成し、第1の接合構造及び第2の接合構造を拡散接合させて接合金属板構造を形成する。いくつかの実施形態では、少ない金属板を同時にまとめて拡散接合する方が、多くの金属板を同時にまとめて拡散接合するよりも、使用する圧力が少ない。いくつかの実施形態では、ブロック504の予測変形値に基づいて、拡散接合ための圧力(例えば、更新された圧力)及び同時に拡散接合される金属板の数量が決定される。いくつかの実施形態では、拡散接合は、接合されるすべての界面にわたって、実質的に均一な圧力及び/又は実質的に均一な温度で行われる。
[0098]いくつかの実施形態では、金属板は、不活性雰囲気中、摂氏500~600度で8~10時間、拡散接合される。
[0099]いくつかの実施形態では、熱間静水圧プレス(HIP)などの拡散接合の代わりに又はこれに加えて、1つ又は複数の工程が使用される。
[00100]ブロック514において、処理ロジックは、接合金属板構造の各接合界面(例えば、図4Cの接合界面404)を個別に検査させて、接合金属板構造の性能データ(例えば、予測性能データ)を決定する。いくつかの実施形態では、各接合界面は、SAM検査、超音波検査、X線検査、及び/又はコンピュータ断層撮影(CT)検査のうちの1つ又は複数を用いて検査される。
[00101]いくつかの実施形態では、接合界面(例えば、9つの金属板が拡散接合された8つの接合界面)の各々は、(例えば、SAM、超音波、X線、及び/又はCTなどの非破壊検査を介して)別々に検査される。
[00102]いくつかの実施形態では、性能データは、比較パラメータに基づく閾値データと比較される。いくつかの実施形態では、性能データは、接合界面の画像(例えば、スキャン)を含み(例えば、図4Dを参照)、閾値データは、接合界面の閾値画像(例えば、正しく機能しているとラベル付けされている接合金属板構造の接合界面の画像、図4Eを参照)である。変動を決定するために、画像をピクセルごとに閾値画像と比較することができる。
[00103]性能データが閾値を満たさないことに応答して、方法500Aのフローが継続する。性能データが閾値を満たさないことに応答して、是正措置(例えば、接合金属板構造の再処理、接合金属板構造の廃棄、警告の提供、接合金属板構造の製造の中断、ブロック502のパラメータの更新など)が実行される。
[00104]ブロック516において、処理ロジックは、接合金属板構造を不活性雰囲気中で熱処理(例えば、ブロック402のパラメータで、冷却速度で冷却)させる。いくつかの実施形態では、接合金属板構造を除去することなく、金属板の拡散接合が行われる製造機器(例えば、チャンバ、炉など)内の冷却速度で接合金属板構造を冷却することによって、接合金属板構造が熱処理される。いくつかの実施形態では、接合金属板構造を再加熱し、再加熱された接合金属板構造を冷却速度で冷却することによって、接合金属板構造が熱処理される。いくつかの実施形態では、ガス(例えば、不活性ガス)又は液体中で接合金属板構造を冷却することによって、接合金属板構造が熱処理される。
[00105]ブロック518において、処理ロジックは、接合金属板構造の各接合界面を個別に検査させる。ブロック518は、ブロック514と同様である。いくつかの実施形態では、ブロック520~524のいずれかの後に、接合金属板構造の1つ又は複数の接合界面が個別に検査される。
[00106]ブロック520において、処理ロジックは、接合金属板構造を機械加工させうる。ブロック520において、接合金属板構造の1つ又は複数の外面が機械加工されうる。1つ又は複数の機械加工工程がブロック506で行われ(例えば、拡散接合前及び熱処理前の機械加工工程、外面及び/又は内面機械加工、孔及び/又は凹部機械加工、位置合わせフィーチャ機械加工)、1つ又は複数の機械加工工程がブロック520で行われる(例えば、拡散接合後及び熱処理後の機械加工工程、外面機械加工)。いくつかの実施形態では、破片が結合金属板構造の中に(例えば、面板プレナムの中に)進入するのを防ぐために、接合金属板構造の孔が覆われる。いくつかの実施形態では、流体(例えば、水)の逆流を使用して、機械加工チップを洗い流し、機械加工チップが接合金属板構造に進入するのを防ぐ(例えば、プレナムに進入するのを防ぐ)。
[00107]ブロック522で、処理ロジックは、接合金属板構造を表面仕上げさせる。いくつかの実施形態では、表面仕上げは、バックフローを使用して手動で行われる。いくつかの実施形態では、表面仕上げは、レーザテクスチャリングを介して行われる。
[00108]ブロック524において、処理ロジックは、接合金属板構造を洗浄(例えば、接合金属板構造の内面及び外面を洗浄)させる。いくつかの実施形態では、洗浄は、フラッシングを介して(例えば、押し引き流体流(push and pull fluid flow)工程を介して)行われる。いくつかの実施形態では、洗浄は、周期的核形成(CnP)を介して行われる。
[00109]ブロック526において、処理ロジックは、接合金属板構造に関連する性能データを受信する。いくつかの実施形態では、性能データは、接合界面の検査を介して受信される(例えば、ブロック514及び/又は518で、性能データは、図4Dの画像420などの接合界面の画像と関連付けられる)。いくつかの実施形態では、接合金属板構造の使用に応答して、性能データが受信される(例えば、流量、ガスパージ時間、基板品質、基板を処理する時間量、基板歩留まり、漏れ試験データなど)。
[00110]いくつかの実施形態では、性能データは、予測データである。ブロック502のパラメータは、訓練された機械学習モデルに提供され、予測データ(例えば、性能データ)は、訓練された機械学習モデルの出力に基づいている。
[00111]ブロック528において、処理ロジックは、性能データに基づいて、是正措置を実行させる。いくつかの実施形態では、是正措置は、性能データに基づいて、1つ又は複数のパラメータ(例えば、ブロック502のパラメータ)を更新することを含む。いくつかの実施形態では、是正措置は、ブロック502のパラメータのデータ入力及びブロック526の性能データのターゲット出力に基づいて、訓練された機械学習モデルを再訓練することを含み、再訓練された機械学習モデルは、方法500の将来の反復に使用される。いくつかの実施形態では、是正措置は、接合金属板構造を廃棄することを含む。いくつかの実施形態では、是正措置は、接合金属板構造を製造している製造機器及び/又は接合金属板構造を使用している製造機器(例えば、処理チャンバ、基板処理システム)の動作を中断させることを含む。いくつかの実施形態では、是正措置は、アラートを提供することを含む。
[00112]いくつかの実施形態では、ブロック528は、ブロック526の性能データが閾値データを満たさないことに応答して行われる(例えば、性能データ値が閾値データ値を超えることに応答して、是正措置が実行される)。
[00113]図5Bは、特定の実施形態による、予測データ(例えば、図1の予測データ168)を生成するための機械学習モデルのためのデータセットを生成するための方法500Bのフロー図である。
[00114]図5Bを参照すると、いくつかの実施形態では、ブロック530において、方法500Bを実装する処理ロジックは、訓練セットTを空集合に初期化する。
[00115]ブロック532において、処理ロジックは、パラメータ(例えば、図1の過去のパラメータ144、図2の過去のパラメータ244)を含む、第1のデータ入力(例えば、第1の訓練入力、第1の検証入力)を生成する。いくつかの実施形態では、第1のデータ入力は、パラメータのタイプに関する第1のセットの特徴を含み、第2のデータ入力は、パラメータのタイプに関する第2のセットの特徴を含む(例えば、図2に関して説明したように)。
[00116]ブロック534において、処理ロジックは、データ入力の1つ又は複数(例えば、第1のデータ入力)に対する第1のターゲット出力を生成する。いくつかの実施形態では、第1のターゲット出力は、過去の性能データ(例えば、図1の過去の性能データ162、図2の過去の性能データ262)である。
[00117]ブロック536において、処理ロジックは、オプションで、入出力マッピングを示すマッピングデータを生成する。入出力マッピング(又はマッピングデータ)は、データ入力(例えば、本明細書に記載のデータ入力のうちの1つ又は複数)、データ入力についてのターゲット出力(例えば、ターゲット出力が過去の性能データ162を特定する場合)、及び1つ又は複数のデータ入力とターゲット出力との間の関連性を指す。
[00118]ブロック538で、処理ロジックは、ブロック536で生成されたマッピングデータをデータセットTに追加する。
[00119]ブロック540において、処理ロジックは、データセットTが、機械学習モデル190の訓練、検証、及び/又は試験のうちの少なくとも1つに十分であるかどうかに基づいて分岐する。十分であれば、プロセスの実行がブロック542に進み、十分でなければ、ブロック532に戻って、プロセスの実行が続く。いくつかの実施形態では、データセットTが十分であることは、単にデータセット内の入出力マッピングの数に基づいて決定されるが、いくつかの他の実施態様では、データセットTが十分であることは、入出力マッピングの数に加えて又はその代わりに、1つ又は複数の他の基準(例えば、データ例の多様性の尺度、精度など)に基づいて決定されることに留意されたい。
[00120]ブロック542において、処理ロジックは、機械学習モデル190を訓練、検証、及び/又は試験するために、データセットTを(例えば、サーバマシン180に)提供する。いくつかの実施形態では、データセットTは訓練セットであり、訓練を実行するためにサーバマシン180の訓練エンジン182に提供される。いくつかの実施形態では、データセットTは検証セットであり、検証を実行するためにサーバマシン180の検証エンジン184に提供される。いくつかの実施形態では、データセットTは試験セットであり、試験を実行するためにサーバマシン180の試験エンジン186に提供される。ニューラルネットワークの場合、例えば、所定の入出力マッピングの入力値(例えば、データ入力210に関連する数値)がニューラルネットワークに入力され、入出力マッピングの出力値(例えば、ターゲット出力220に関連する数値)がニューラルネットワークの出力ノードに記憶される。次に、ニューラルネットワークの接続重みは、学習アルゴリズム(例えば、逆伝播など)に従って調整され、その手順は、データセットTの他の入出力マッピングについて繰り返される。ブロック542の後に、機械学習モデル(例えば、機械学習モデル190)は、サーバマシン180の訓練エンジン182を用いて訓練されうる、サーバマシン180の検証エンジン184を用いて検証されうる、又はサーバマシン180の試験エンジン186を用いて試験されうるのうちの1つ又は複数である。訓練された機械学習モデルは、(予測サーバ112の)予測構成要素114によって実装され、製造機器124に関連する是正措置を行うための予測データ168を生成する。
[00121]図5Cは、是正措置を実行するための予測データ(例えば、図1の予測データ168)を決定するための機械学習モデル(例えば、図1のモデル190)を訓練するための方法である。
[00122]図5Cを参照すると、方法500Cのブロック560において、処理ロジックは、1つ又は複数の基板処理システムの過去の接合金属板構造に関連する過去のパラメータ(例えば、図1の過去のパラメータ144)のセットを受信する。
[00123]ブロック562において、処理ロジックは、過去の結合金属板構造に関連する過去の性能データ(例えば、図1の過去の性能データ162)のセットを受信する。過去の性能データのセットの各々は、過去のパラメータのセットのそれぞれの過去のパラメータのセットに対応する。いくつかの実施形態では、過去の性能データは、対応する接合金属板構造が適切に拡散接合されているか、適切に熱処理されているか、適切に機能しているか、及び/又は及び/又は同種のことを示している。いくつかの実施形態では、過去の性能データは、絶対値又は相対値を示している。
[00124]ブロック564において、処理ロジックは、過去のパラメータのセットを含むデータ入力と、過去の性能データを含むターゲット出力とを使用して機械学習モデルを訓練し、訓練された機械学習モデルを生成する。訓練された機械学習モデルは、基板処理システムの接合金属板構造の製造に関連する1つ又は複数の是正措置を実行させるための予測データ(例えば、予測データ168)を示す出力を生成可能である。
[00125]図5Dは、是正措置を実行させるために、訓練された機械学習モデル(例えば、図1のモデル190)を使用するための方法500Dである。
[00126]図5Dを参照すると、方法500Dのブロック580において、処理ロジックは、金属板に関連する(例えば、基板処理システムの接合金属板構造の製造に関連する)パラメータのセット(例えば、図1の現在のパラメータ152)を受信する。いくつかの実施形態では、ブロック580は、図5Aのブロック502に類似する。
[00127]ブロック582において、処理ロジックは、パラメータのセットを、訓練された機械学習モデル(例えば、図5Cのブロック564の訓練された機械学習モデル)への入力として提供する。
[00128]ブロック584において、処理ロジックは、訓練された機械学習モデルから、予測データ(例えば、図1の予測データ168)を示す1つ又は複数の出力を取得する。
[00129]ブロック586において、処理ロジックは、1つ又は複数の出力(例えば、予測データ)に基づいて、金属板に関連する是正措置の実行(例えば、接合金属板構造の製造)を行う。いくつかの実施形態では、ブロック586の是正措置は、図5Aのブロック528の是正措置に類似しうる。いくつかの実施形態では、是正措置は、ブロック582の1つ又は複数のパラメータを更新することを含む。いくつかの実施形態では、是正措置は、金属板の2つ以上のサブセットをまとめて拡散接合して(例えば、金属板の全てを同時に接合するよりも低い圧力で)、接合サブセット構造を形成し、接合サブセット構造をまとめて接合する(例えば、金属板の全てを同時に接合するよりも低い圧力で)ことを含む。
[00130]いくつかの実施形態では、パラメータは金属板の設計であり、予測データ(例えば、予測性能データ)は、予測フロー値(例えば、流量、フロー完了までの時間など)である。いくつかの実施形態では、パラメータは、金属板の圧力値及び寸法であり、予測データ(例えば、予測性能データ)は、予測変形値である。いくつかの実施形態では、パラメータは、拡散接合のための圧力値、拡散接合のための温度値、検査データを閾値データと比較するための比較パラメータ、接合金属板構造を処理する熱の冷却速度、及び/又は同種のもののうちの1つ又は複数を含む。いくつかの実施形態では、予測データ(例えば、予測性能データ)は、閾値画像からの接合界面の画像の変動又は接合金属板構造の使用の1つ又は複数に関連する性能データ(例えば、フローデータ、基板欠陥、歩留まりなど)である。
[00131]ブロック588において、処理ロジックは、金属板に関連する性能データ(例えば、図1の現在の性能データ164)(例えば、接合金属板構造の検査データ、接合金属板構造の計測データ、接合金属板構造の使用データ、など)を受信する。いくつかの実施形態では、ブロック588は、図5Aのブロック526に類似する。
[00132]ブロック590において、処理ロジックは、パラメータのセット(例えば、ブロック580からの)を含むデータ入力及び性能データ(例えば、ブロック588からの)を含むターゲット出力で、訓練された機械学習モデルを更に訓練(例えば、再訓練)させる。
[00133]いくつかの実施形態では、1つ又は複数の出力(例えば、予測データ)が更なる是正措置を実行しないことを示すまで、ブロック580~590の1つ又は複数が繰り返される。
[00134]図6は、特定の実施形態による、コンピュータシステム600を例示するブロック図である。いくつかの実施形態では、コンピュータシステム600は、クライアントデバイス120、予測システム110、サーバマシン170、サーバマシン180、又は予測サーバ112のうちの1つ又は複数である。
[00135]いくつかの実施形態では、コンピュータシステム600は、(例えば、ローカルエリアネットワーク(LAN)、イントラネット、エクストラネット、又はインターネットなどのネットワークを介して)他のコンピュータシステムに接続される。いくつかの実施形態では、コンピュータシステム600は、クライアントサーバ環境におけるサーバ又はクライアントコンピュータの容量(capacity)で、又はピアツーピア又は分散ネットワーク環境におけるピアコンピュータとして、動作する。いくつかの実施形態では、コンピュータシステム600は、パーソナルコンピュータ(PC)、タブレットPC、セットトップボックス(STB)、パーソナルデジタルアシスタント(PDA)、携帯電話、ウェブアプライアンス、サーバ、ネットワークルータ、スイッチ若しくはブリッジ、又はそのデバイスによって実行される操作を指定する命令のセット(シーケンシャル又はその他)を実行可能な任意のデバイスによってもたらされる。更に、「コンピュータ」という用語は、本明細書に記載された方法のいずれか1つ又は複数を実行するための命令のセット(又は複数のセット)を個別に又は共同で実行するコンピュータの任意の集合体を含むものとする。
[00136]更なる態様において、コンピュータシステム600は、処理デバイス602、揮発性メモリ604(例えば、ランダムアクセスメモリ(RAM))、不揮発性メモリ606(例えば、読み出し専用メモリ(ROM)又は電気的消去可能なプログラム可能ROM(EEPROM))、及びデータ記憶デバイス616を含み、これらはバス608を介して互いに通信する。
[00137]いくつかの実施形態では、処理デバイス602は、汎用プロセッサ(例えば、複雑な命令セットコンピューティング(CISC)マイクロプロセッサ、縮小命令セットコンピューティング(RISC)マイクロプロセッサ、超長命令ワード(VLIW)マイクロプロセッサ、他のタイプの命令セットを実装するマイクロプロセッサ、若しくは命令セットのタイプの組み合わせを実装するマイクロプロセッサ)、又は専用プロセッサ(例えば、特定用途向け集積回路(ASIC)、フィールドプログラマブルゲートアレイ(FPGA)、デジタル信号プロセッサ(DSP)、若しくはネットワークプロセッサなど)といった、1つ又は複数のプロセッサによって提供される。
[00138]いくつかの実施形態では、コンピュータシステム600は、ネットワークインターフェースデバイス622(例えば、ネットワーク674に接続される)を更に含む。いくつかの実施形態では、コンピュータシステム600はまた、ビデオ表示ユニット610(例えば、LCD)、英数字入力デバイス612(例えば、キーボード)、カーソル制御デバイス614(例えば、マウス)、及び信号生成デバイス620を含む。
[00139]いくつかの実施態様では、データ記憶デバイス616は、図1の構成要素(例えば、是正措置構成要素122、予測構成要素114など)をエンコードする命令、及び本明細書に記載の方法(例えば、方法500A~Dの1つ又は複数)を実施するための命令を含む、本明細書に記載の方法又は機能のいずれか1つ又は複数をエンコードする命令626を記憶する非一時的コンピュータ可読記憶媒体624を含む。
[00140]いくつかの実施形態では、命令626はまた、コンピュータシステム600による実行中に、揮発性メモリ604内及び/又は処理デバイス602内に、完全に又は部分的に存在し、それゆえ、いくつかの実施形態では、揮発性メモリ604及び処理デバイス602もまた機械可読記憶媒体を構成する。
[00141]コンピュータ可読記憶媒体624は、図示された例では単一の媒体として示されているが、「コンピュータ可読記憶媒体」という用語は、1つ又は複数のセットの実行可能な命令を記憶する単一の媒体又は複数の媒体(例えば、集中型又は分散型データベース、及び/又は関連するキャッシュ及びサーバ)を含むものとする。「コンピュータ可読記憶媒体」という用語はまた、コンピュータに、本明細書に記載の方法のいずれか1つ又は複数を実行させるコンピュータによる実行のための命令セットを記憶又はエンコード可能な任意の有形媒体を含むものとする。「コンピュータ可読記憶媒体」という用語は、固体メモリ、光学媒体、及び磁気媒体を含むが、これらに限定されないものとする。
[00142]いくつかの実施形態では、本明細書に記載された方法、構成要素、及び特徴は、ディスクリートハードウェア構成要素によって実装されるか、又はASIC、FPGA、DSP又は類似のデバイスなどの他のハードウェア構成要素の機能性に統合される。いくつかの実施形態では、方法、構成要素、及び特徴は、ハードウェアデバイス内のファームウェアモジュール又は機能回路によって実装される。いくつかの実施形態では、方法、構成要素、及び特徴は、ハードウェアデバイス及びコンピュータプログラム構成要素の任意の組み合わせ、又はコンピュータプログラムにおいて実装される。
[00143]後述の説明で特に明記されない限り、「受信すること」、「決定すること」、「行わせること」、「訓練すること」、「提供する(もたらす)こと」、「取得すること」、「更新すること」、「中断すること」、「再訓練すること」、「検査すること」、「使用すること」などの用語は、コンピュータシステムのレジスタ及びメモリ内の物理的(電子)量として表されるデータを操作し、そのデータを、コンピュータシステムのメモリ又はレジスタ、又は他のそのような情報記憶、伝送、若しくは表示デバイス内の物理的量として同様に表される他のデータへと変換するコンピュータシステムによって実行又は実装される操作及びプロセスを指す。いくつかの実施形態では、本明細書で使用される「第1の」、「第2の」、「第3の」、「第4の」などの用語は、異なる要素間での区別のための符号を意図しており、その数の記号表記に従った序列に意味はない。
[00144]本明細書に記載の実施例はまた、本明細書に記載の方法を実行するための装置に関するものである。いくつかの実施形態では、この装置は、本明細書に記載された方法を実行するために特別に構成され、又はコンピュータシステムに記憶されたコンピュータプログラムによって選択的にプログラムされる汎用コンピュータシステムを含む。このようなコンピュータプログラムは、コンピュータ可読有形記憶媒体に記憶される。
[00145]本明細書に記載の方法及び例示的な実施例は、何らかの特定のコンピュータ又は他の装置と本質的に関連していない。いくつかの実施形態では、本明細書に記載の教示に従って、様々な汎用システムが使用される。いくつかの実施形態では、本明細書に記載の方法及び/又はこれらの個々の機能、ルーチン、サブルーチン、又は工程の各々を実行するために、より特殊な装置が構築される。これらの様々なシステムについての構造の例は、上記の説明の中に記載されている。
[00146]上記の説明は、例示を意図したものであり、限定を意図したものではない。本開示は、特定の例示的な実施例及び実施態様を参照して説明されてきたが、本開示は、説明された実施例及び実施態様に限定されないことが認識されるだろう。本開示の範囲は、以下の請求項を参照し、そのような特許請求の範囲が権利付与される均等物の全範囲と共に決定されるべきである。

Claims (20)

  1. 複数の金属板に関連する1つ又は複数のパラメータを受信することと、
    前記1つ又は複数のパラメータに基づいて、前記複数の金属板に関連する複数の予測変形値を決定することであって、前記複数の予測変形値の各々が、前記複数の金属板の対応する金属板に対応する、複数の予測変形値を決定することと、
    前記複数の予測変形値に基づいて、前記複数の金属板を拡散接合させ、接合金属板構造を製造することと
    を含む、方法。
  2. 前記接合金属板構造が、基板処理システムの処理チャンバにおける化学気相堆積(CVD)又は原子層堆積(ALD)のためのシャワーヘッドであり、前記シャワーヘッドが、約0.2秒以下のガスパージ時間を有する、請求項1に記載の方法。
  3. 前記複数の金属板を拡散接合させることが、
    前記複数の予測変形値に基づいて、前記複数の金属板の第1のサブセットと前記複数の金属板の第2のサブセットとを、別々に拡散接合することを決定することと、
    前記第1のサブセットを拡散接合させ、第1の接合構造を形成することと、
    前記第2のサブセットを拡散接合させ、第2の接合構造を形成することと、
    前記第1の接合構造及び前記第2の接合構造を拡散接合させ、前記接合金属板構造を形成することと
    を含む、請求項1に記載の方法。
  4. 前記複数の金属板の第1の金属板が、前記複数の金属板の第2の金属板の第2の孔パターンと異なる第1の孔パターンを有し、前記複数の金属板を拡散接合させることが、シールを使用せず、且つガスケットを使用せずに、前記接合金属板構造内に分離したチャネルを形成する、請求項1に記載の方法。
  5. 過去の接合金属板構造に関連する過去のパラメータを受信することと、
    前記過去の接合金属板構造に関連する過去の性能データを受信することと、
    訓練された機械学習モデルを生成するために、前記過去のパラメータを含むデータ入力と前記過去の性能データを含むターゲット出力とを用いて、機械学習モデルを訓練することであって、前記訓練された機械学習モデルが1つ又は複数の出力を生成可能であり、前記1つ又は複数の出力に基づいて、1つ又は複数の接合金属板構造に関連する1つ又は複数の是正措置が実行される、機械学習モデルを訓練することと
    を更に含む、請求項1に記載の方法。
  6. 前記1つ又は複数のパラメータを、訓練された機械学習モデルへの入力として提供することと、
    前記訓練された機械学習モデルから、予測性能データを示す1つ又は複数の出力を取得することであって、前記予測性能データに基づいて、前記接合金属板構造に関連する1つ又は複数の是正措置が実行される、1つ又は複数の出力を取得することと
    を更に含む、請求項1に記載の方法。
  7. 前記訓練された機械学習モデルが応力モデルであり、前記1つ又は複数のパラメータが、前記複数の金属板の圧力値及び寸法を含み、前記予測性能データが、前記複数の予測変形値を含む、請求項6に記載の方法。
  8. 前記1つ又は複数のパラメータが、前記複数の金属板の設計を含み、前記予測性能データが予測フロー値を含む、請求項6に記載の方法。
  9. 前記1つ又は複数のパラメータが、
    前記複数の金属板の拡散接合のための圧力値、
    前記複数の金属板の拡散接合のための温度値、
    前記接合金属板構造の各接合界面の検査データを閾値データと比較することに関連する比較パラメータ、又は
    前記複数の金属板が拡散接合された後に、前記接合金属板構造を処理する熱の冷却速度
    のうちの1つ又は複数を含む、請求項6に記載の方法。
  10. 前記1つ又は複数の是正措置が、
    接合金属板構造の製造のために、前記1つ又は複数のパラメータのうち少なくとも1つを更新すること、
    前記接合金属板構造の前記製造に関連する警告を提供すること、又は
    前記接合金属板構造の前記製造を中断すること
    のうちの1つ又は複数を含む、請求項6に記載の方法。
  11. 前記接合金属板構造に関連する性能データを受信することと、
    前記1つ又は複数のパラメータを含むデータ入力及び前記性能データを含むターゲット出力を用いて、前記訓練された機械学習モデルを再訓練することと
    を更に含む、請求項6に記載の方法。
  12. 前記性能データが、
    前記接合金属板構造の各接合界面を個別に検査することであって、前記個別に検査することが、走査音響顕微鏡法(SAM)、超音波検査、X線検査、若しくはコンピュータ断層撮影検査のうちの1つ又は複数を介して行われる、各接合界面を個別に検査すること、又は
    基板処理システムにおける前記接合金属板構造を使用すること
    のうちの1つ又は複数と関連する、請求項11に記載の方法。
  13. 非一時的機械可読記憶媒体であって、実行されると、
    複数の金属板に関連する1つ又は複数のパラメータを受信することと、
    前記1つ又は複数のパラメータに基づいて、前記複数の金属板に関連する複数の予測変形値を決定することであって、前記複数の予測変形値の各々が、前記複数の金属板の対応する金属板に対応する、複数の予測変形値を決定することと、
    前記複数の予測変形値に基づいて、前記複数の金属板を拡散接合させ、接合金属板構造を製造することと
    を含む動作を処理デバイスに実行させる命令を記憶する、非一時的機械可読記憶媒体。
  14. 前記接合金属板構造が、基板処理システムの処理チャンバにおける化学気相堆積(CVD)又は原子層堆積(ALD)のためのシャワーヘッドであり、前記シャワーヘッドが、約0.2秒以下のガスパージ時間を有する、請求項13に記載の非一時的機械可読記憶媒体。
  15. 前記複数の金属板を拡散接合させることが、
    前記複数の予測変形値に基づいて、前記複数の金属板の第1のサブセットと前記複数の金属板の第2のサブセットとを、別々に拡散接合することを決定することと、
    前記第1のサブセットを拡散接合させ、第1の接合構造を形成することと、
    前記第2のサブセットを拡散接合させ、第2の接合構造を形成することと、
    前記第1の接合構造及び前記第2の接合構造を拡散接合させ、前記接合金属板構造を形成することと
    を含む、請求項13に記載の非一時的機械可読記憶媒体。
  16. 前記複数の金属板の第1の金属板が、前記複数の金属板の第2の金属板の第2の孔パターンと異なる第1の孔パターンを有し、前記複数の金属板を拡散接合させることが、シールを使用せず、且つガスケットを使用せずに、前記接合金属板構造内に分離したチャネルを形成する、請求項13に記載の非一時的機械可読記憶媒体。
  17. メモリと、
    前記メモリに連結された処理デバイスと
    を備え、前記処理デバイスは、
    複数の金属板に関連する1つ又は複数のパラメータを受信することと、
    前記1つ又は複数のパラメータに基づいて、前記複数の金属板に関連する複数の予測変形値を決定することであって、前記複数の予測変形値の各々が、前記複数の金属板の対応する金属板に対応する、複数の予測変形値を決定することと、
    前記複数の予測変形値に基づいて、前記複数の金属板を拡散接合させ、接合金属板構造を製造することと
    を実行する、システム。
  18. 前記接合金属板構造が、基板処理システムの処理チャンバにおける化学気相堆積(CVD)又は原子層堆積(ALD)のためのシャワーヘッドであり、前記シャワーヘッドが、約0.2秒以下のガスパージ時間を有する、請求項17に記載のシステム。
  19. 前記複数の金属板を拡散接合させるために、前記処理デバイスが、
    前記複数の予測変形値に基づいて、前記複数の金属板の第1のサブセットと前記複数の金属板の第2のサブセットとを、別々に拡散接合することを決定することと、
    前記第1のサブセットを拡散接合させ、第1の接合構造を形成することと、
    前記第2のサブセットを拡散接合させ、第2の接合構造を形成することと、
    前記第1の接合構造及び前記第2の接合構造を拡散接合させ、前記接合金属板構造を形成することと
    を実行する、請求項17に記載のシステム。
  20. 前記複数の金属板の第1の金属板が、前記複数の金属板の第2の金属板の第2の孔パターンと異なる第1の孔パターンを有し、前記複数の金属板を拡散接合させるために、前記処理デバイスが、シールを使用せず、且つガスケットを使用せずに、前記接合金属板構造内に分離したチャネルを形成することを実行する、請求項17に記載のシステム。
JP2023512339A 2020-08-24 2021-08-23 複数の層を使用した再帰フローガス分配スタックの製造 Active JP7480429B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/001,551 2020-08-24
US17/001,551 US11371148B2 (en) 2020-08-24 2020-08-24 Fabricating a recursive flow gas distribution stack using multiple layers
PCT/US2021/047194 WO2022046661A1 (en) 2020-08-24 2021-08-23 Fabricating a recursive flow gas distribution stack using multiple layers

Publications (2)

Publication Number Publication Date
JP2023533606A JP2023533606A (ja) 2023-08-03
JP7480429B2 true JP7480429B2 (ja) 2024-05-09

Family

ID=80270516

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023512339A Active JP7480429B2 (ja) 2020-08-24 2021-08-23 複数の層を使用した再帰フローガス分配スタックの製造

Country Status (7)

Country Link
US (1) US11371148B2 (ja)
EP (1) EP4200902A4 (ja)
JP (1) JP7480429B2 (ja)
KR (2) KR102651541B1 (ja)
CN (1) CN115989572B (ja)
TW (1) TW202225465A (ja)
WO (1) WO2022046661A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11592812B2 (en) * 2019-02-19 2023-02-28 Applied Materials, Inc. Sensor metrology data integration

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007237248A (ja) 2006-03-09 2007-09-20 Ngk Insulators Ltd 異種材料接合体の製造方法
JP2012216823A (ja) 2011-03-31 2012-11-08 Tokyo Electron Ltd ガス吐出機能付電極およびプラズマ処理装置

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4700559B2 (ja) * 2006-05-12 2011-06-15 新日本製鐵株式会社 スポット溶接部の破壊予測装置、方法、コンピュータプログラム、及びコンピュータ読み取り可能な記録媒体
DE102007026349A1 (de) * 2007-06-06 2008-12-11 Aixtron Ag Aus einer Vielzahl diffusionsverschweißter Scheiben bestehender Gasverteiler
JP2009188396A (ja) * 2008-01-09 2009-08-20 Ihi Corp プラズマ耐性部材及びプラズマ処理装置
US7974723B2 (en) * 2008-03-06 2011-07-05 Applied Materials, Inc. Yield prediction feedback for controlling an equipment engineering system
JP5528773B2 (ja) 2009-11-06 2014-06-25 三井造船株式会社 シャワーヘッド、シャワーヘッド製造方法、およびシャワーヘッド再生方法
JP5654297B2 (ja) * 2010-09-14 2015-01-14 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20140026816A1 (en) 2012-07-27 2014-01-30 Applied Materials, Inc. Multi-zone quartz gas distribution apparatus
US20140046475A1 (en) * 2012-08-09 2014-02-13 Applied Materials, Inc. Method and apparatus deposition process synchronization
JP5979594B2 (ja) 2012-09-13 2016-08-24 村田機械株式会社 吸引チャック、及びこれを備えた移載装置
JP5992375B2 (ja) * 2013-08-08 2016-09-14 株式会社東芝 静電チャック、載置プレート支持台及び静電チャックの製造方法
KR102251209B1 (ko) 2016-06-15 2021-05-11 어플라이드 머티어리얼스, 인코포레이티드 고 전력 플라즈마 에칭 프로세스들을 위한 가스 분배 플레이트 조립체
CN109416636B (zh) * 2016-06-17 2023-05-26 惠普发展公司,有限责任合伙企业 共享的机器学习数据结构
KR102608200B1 (ko) * 2017-01-13 2023-11-30 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 모니터링으로부터의 측정들의 비저항 기반 조정
US10727142B2 (en) * 2017-05-30 2020-07-28 Kla-Tencor Corporation Process monitoring of deep structures with X-ray scatterometry
JP6547920B2 (ja) * 2017-07-20 2019-07-24 Jfeスチール株式会社 金属板のせん断加工面での変形限界の評価方法、割れ予測方法およびプレス金型の設計方法
TWI783037B (zh) * 2017-09-25 2022-11-11 美商應用材料股份有限公司 使用機器學習方式以產生製程控制參數的半導體製造
US11332827B2 (en) 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007237248A (ja) 2006-03-09 2007-09-20 Ngk Insulators Ltd 異種材料接合体の製造方法
JP2012216823A (ja) 2011-03-31 2012-11-08 Tokyo Electron Ltd ガス吐出機能付電極およびプラズマ処理装置

Also Published As

Publication number Publication date
KR20240042205A (ko) 2024-04-01
US20220056584A1 (en) 2022-02-24
CN115989572A (zh) 2023-04-18
EP4200902A1 (en) 2023-06-28
EP4200902A4 (en) 2024-03-27
KR20230044036A (ko) 2023-03-31
JP2023533606A (ja) 2023-08-03
KR102651541B1 (ko) 2024-03-26
US11371148B2 (en) 2022-06-28
CN115989572B (zh) 2024-04-05
WO2022046661A1 (en) 2022-03-03
TW202225465A (zh) 2022-07-01

Similar Documents

Publication Publication Date Title
TW202344943A (zh) 製造裝備零件品質管理系統
JP7480429B2 (ja) 複数の層を使用した再帰フローガス分配スタックの製造
US20220198333A1 (en) Recipe optimization through machine learning
US11749543B2 (en) Chamber matching and calibration
US20230236569A1 (en) Estimation of chamber component conditions using substrate measurements
TW202346959A (zh) 用於製造設備的診斷工具與工具之匹配和比較下鑽分析方法
US20240175824A1 (en) Substrate defect analysis
US20240087135A1 (en) Clog detection via image analytics
US20230195060A1 (en) Substrate support characterization to build a digital twin
TW202338537A (zh) 用於使用物理為基模型的基板製造腔室的診斷方法
US20230306300A1 (en) Methods and mechanisms for measuring patterned substrate properties during substrate manufacturing
WO2024118531A1 (en) Substrate defect analysis
US20230359179A1 (en) Methods and mechanisms for adjusting film deposition parameters during substrate manufacturing
US20230135102A1 (en) Methods and mechanisms for process recipe optimization
US20240095899A1 (en) Edge defect detection via image analytics
US20230078146A1 (en) Virtual measurement of conditions proximate to a substrate with physics-informed compressed sensing
US20240086597A1 (en) Generation and utilization of virtual features for process modeling
US20230195078A1 (en) Methods and mechanisms for adjusting process chamber parameters during substrate manufacturing
US20230306281A1 (en) Machine learning model generation and updating for manufacturing equipment
TW202409764A (zh) 用於基板處理設備的多維感測器資料的整體分析
TW202343176A (zh) 用於製造設備的診斷工具與工具之匹配方法
TW202403932A (zh) 產生經製造的設備的合成顯微影像
KR20240027837A (ko) 무접촉 프로세스 챔버 특성화를 위한 방법 및 메커니즘

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230707

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20230707

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230926

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231226

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240326

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240424

R150 Certificate of patent or registration of utility model

Ref document number: 7480429

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150