JP7271054B2 - 基板上に論理デバイスおよびパワー・デバイスを形成する方法ならびに基板上の論理デバイスおよびパワー・デバイス - Google Patents

基板上に論理デバイスおよびパワー・デバイスを形成する方法ならびに基板上の論理デバイスおよびパワー・デバイス Download PDF

Info

Publication number
JP7271054B2
JP7271054B2 JP2020531528A JP2020531528A JP7271054B2 JP 7271054 B2 JP7271054 B2 JP 7271054B2 JP 2020531528 A JP2020531528 A JP 2020531528A JP 2020531528 A JP2020531528 A JP 2020531528A JP 7271054 B2 JP7271054 B2 JP 7271054B2
Authority
JP
Japan
Prior art keywords
over
vertical fin
region
substrate
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020531528A
Other languages
English (en)
Other versions
JP2021507507A5 (ja
JP2021507507A (ja
Inventor
リ、ジュンタオ
チュヨン、カングオ
ジアン、リイン
ゴディエロ、ジョン、ジェラルド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2021507507A publication Critical patent/JP2021507507A/ja
Publication of JP2021507507A5 publication Critical patent/JP2021507507A5/ja
Application granted granted Critical
Publication of JP7271054B2 publication Critical patent/JP7271054B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823487MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823885Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Description

本発明は一般に、同じ基板上に論理トランジスタおよびパワー・トランジスタを形成することに関し、より詳細には、基板の同じ領域上に形成された同じ一組の垂直フィンから論理トランジスタおよびパワー・トランジスタを製造することに関する。
電界効果トランジスタ(FET)は通常、ソース、チャネル、ドレインおよびゲートを有し、ソースからドレインに電流が流れ、デバイス・チャネル内の電流の流れをゲートが制御する。電界効果トランジスタ(FET)は異なるさまざまな構造を有することができる。例えば、FETは、基板材料自体にソース、チャネルおよびドレインが形成されるように製造され、電流は水平に(すなわち基板の平面内で)流れる。FinFETは、基板から外向きにチャネルが延びるように製造されるが、電流はやはり水平にソースからドレインに流れる。基板の平面に対して平行な単一のゲートを有するMOSFETと比べると、FinFETのチャネルは、一般にフィンと呼ばれる、薄い長方形のシリコン(Si)の直立した板であることがあり、このフィン上にゲートがある。ソースおよびドレインのドーピングに応じて、n-FETまたはp-FETを形成することができる。
FETの例は、金属-酸化物-半導体電界効果トランジスタ(MOSFET)および絶縁ゲート電界効果トランジスタ(IGFET)を含むことができる。pチャネルMOSFETとnチャネルMOSFETとが1つに結合された相補型金属酸化物半導体(CMOS)デバイスを形成するために、2つのFETを結合することもできる。
デバイス寸法はますます小さくなっており、それに伴って個々の構成要素および電気コンタクトの形成もより難しくなっている。したがって、従来のFET構造の良い面を維持し、同時に、より小さいデバイス構成要素を形成することによって生じるスケーリングの問題を解決する手法が求められている。本発明は、基板上に論理デバイスおよびパワー・デバイスを形成する方法ならびに基板上の論理デバイスおよびパワー・デバイスを提供する。
本発明の一実施形態によれば、この方法は、基板の第1の領域上に第1の垂直フィンを形成し、基板の第2の領域上に第2の垂直フィンを形成することを含み、分離領域が第1の領域を第2の領域から分離する。この方法はさらに、第2の領域上の第2の垂直フィン上に誘電性下層セグメント(dielectric under-layer segment)を形成することを含む。この方法はさらに、第2の領域上の誘電性下層セグメント上および第2の垂直フィン上に第1のゲート構造体を形成することを含む。
本発明の別の実施形態によれば、この方法は、基板の第1の領域上に第1の垂直フィンを形成し、基板の第2の領域上に第2の垂直フィンを形成することを含み、分離領域が第1の領域を第2の領域から分離する。この方法はさらに、第1の垂直フィン上および第2の垂直フィン上に誘電性下層を形成することを含む。この方法はさらに、第2の領域上の誘電性下層上および第2の垂直フィン上にマスキング・ブロックを形成することを含む。このマスキング・ブロックは、第1の垂直フィン上の誘電性下層の一部分を露出したままにする。この方法はさらに、誘電性下層の露出部分を除去して、第2の垂直フィン上に誘電性下層セグメントを形成することを含む。この方法はさらに、マスキング・ブロックを除去すること、ならびに第2の領域上の誘電性下層セグメント上および第2の垂直フィン上にゲート誘電体層を形成することを含む。
本発明の別の実施形態によれば、これらのデバイスは、基板の第1の領域上の第1の垂直フィンおよび基板の第2の領域上の第2の垂直フィンを含み、分離領域が第1の領域を第2の領域から分離している。これらのデバイスはさらに、第1の垂直フィンの下方の基板内のドープされた第1のウェルおよび下ソース/ドレイン領域を含む。これらのデバイスはさらに、第2の垂直フィンの下方の基板内のドープされた第2のウェルを含む。これらのデバイスはさらに、下ソース/ドレイン領域上およびドープされた第2のウェル上の下スペーサ層を含む。これらのデバイスはさらに、下スペーサ層上および第2の垂直フィン上の誘電性下層セグメント、ならびに誘電性下層セグメント上の第1のゲート誘電体層を含む。
これらの特徴および利点ならびにその他の特徴および利点は、本発明の例示的な実施形態の以下の詳細な説明から明らかになる。以下の詳細な説明は、添付図面を参照して読まれるべきである。
以下の説明は、以下の図を参照して本発明の実施形態の詳細を提供する。
基板を示す、本発明の一実施形態に基づく側断面図である。 基板上に形成された複数の垂直フィンおよびそれぞれの垂直フィン上のフィン・テンプレートを示す、本発明の一実施形態に基づく側断面図である。 2つの垂直フィン間の分離領域、一方の垂直フィンの下方のn型ウェルおよびもう一方の垂直フィンの下方のp型ウェルを示す、本発明の一実施形態に基づく側断面図である。 一方の垂直フィンおよびn型ウェルを覆うマスキング・ブロックを示す、本発明の一実施形態に基づく側断面図である。 露出した垂直フィンの側壁のライナ層を示す、本発明の一実施形態に基づく側断面図である。 p型ウェル内に形成されたソース/ドレイン領域を示す、本発明の一実施形態に基づく側断面図である。 マスキング・ブロックおよびライナ層を除去した後の露出した垂直フィンを示す、本発明の一実施形態に基づく側断面図である。 ソース/ドレイン領域上、分離領域上およびn型ウェル上の下スペーサ層と、フィン・テンプレート上のスペーサ・キャップとを示す、本発明の一実施形態に基づく側断面図である。 垂直フィン上、スペーサ・キャップ上および下スペーサ層上の誘電性下層を示す、本発明の一実施形態に基づく側断面図である。 n型ウェル上の垂直フィン上の第2のマスキング・ブロックを示す、本発明の一実施形態に基づく側断面図である。 誘電性下層の露出部分を除去した後の下ソース/ドレイン領域上の露出した垂直フィンを示す、本発明の一実施形態に基づく側断面図である。 第2のマスキング・ブロックを除去した後に露出した垂直フィン上および露出した誘電性下層セグメント上に形成されたゲート誘電体層を示す、本発明の一実施形態に基づく側断面図である。 ゲート誘電体層上の仕事関数層(work function layer)を示す、本発明の一実施形態に基づく側断面図である。 仕事関数層上の導電性ゲート充填材(conductive gate fill)を示す、本発明の一実施形態に基づく側断面図である。 高さが低減されたゲート誘電体層、仕事関数層および導電性ゲート充填材を示す、本発明の一実施形態に基づく側断面図である。 導電性ゲート充填材上、仕事関数層上およびゲート誘電体層上に形成された上スペーサ層と、第1のスペーサ・キャップ上のスペーサ・キャップの第2のセットとを示す、本発明の一実施形態に基づく側断面図である。 ゲート誘電体層、仕事関数層および導電性ゲート充填材から形成されたパターニングされたゲート構造体上の上スペーサを示す、本発明の一実施形態に基づく側断面図である。 ゲート構造体上および下スペーサ層上の障壁層を示す、本発明の一実施形態に基づく側断面図である。 それぞれの垂直フィン上に形成された上ソース/ドレインを示す、本発明の一実施形態に基づく側断面図である。 上ソース/ドレイン、下ソース/ドレインおよびn型にドープされたウェルにそれぞれ形成された電気コンタクトを示す、本発明の一実施形態に基づく側断面図である。
本発明の実施形態は一般に、基板上に、垂直フィンの同じセットから、論理デバイスとパワー・デバイスの組合せを形成することに関する。基板上に複数の垂直フィンを形成することができ、その後に製造するパワー・デバイスと論理デバイスとに差異を設けるため、それらの垂直フィンのサブセットをマスキングすることができる。パワー・デバイスは、論理デバイスよりも大きい電圧および電力を処理することができる。
本発明の実施形態は一般に、低電圧/小電力のデバイスとすることができるフィン電界効果トランジスタ(FinFET)デバイスを製造するのに使用するプロセス・ステップと同様のプロセス・ステップを使用して、高電圧/大電力を処理することができるFinFETデバイスを製造することに関し、そのため、高電圧/大電力のデバイスと低電圧/小電力の論理デバイスの組合せを一緒に同じ基板上に同時に製造することができる。
本発明の実施形態は一般に、パワー・デバイスを形成することに関し、このパワー・デバイスは、その電圧/電流容量を増大させるために、追加の厚い誘電性下層および逆T字形のゲート構造体を有する。このパワー・デバイスは、追加の厚い誘電性下層をゲート構造体の電気絶縁構成要素の部分として有する垂直輸送(vertical transport)フィン電界効果トランジスタ(VT FinFET)とすることができる。
本発明の実施形態は一般に、パワー・デバイスを形成することに関し、このパワー・デバイスは、上ソース/ドレインから、垂直フィン・チャネルを通って、薄くドープされたウェルまで延び、次いで90度曲がって下電気コンタクトに達する逆T字形の導電性領域を有する。パワーFinFET用のこのドープされたウェル上に単一の垂直フィンを直接に形成することができる。逆T字形の導電性領域は、パワー・デバイスの「オン」抵抗を制御することができ、そのため、そのパワー・デバイスは、逆T字形の導電性領域がないデバイスよりも大きい電圧/電力を処理することができる。ウェルの深さ、ドーパント濃度、およびチャネルから下電気コンタクトまでの距離は、デバイス抵抗および動作電圧範囲に影響し得る。このパワー・デバイスでは、より濃くドープされたソース/ドレイン領域を使用しなくてもよい。
本発明を適用することができる例示的な用途/利用法には、限定はされないが、パワー・デバイスと制御回路の組合せを同じ基板上に含む回路およびデバイス、例えばシステム・オン・チップ(SoC)デバイスが含まれる。
本発明の態様は、例示的な所与のアーキテクチャに関して説明されるが、本発明の態様の範囲内で、他のアーキテクチャ、構造、基板材料、プロセス特徴およびプロセス・ステップを変更することができることが理解される。
次に図面を参照する。図面では、類似の符号が同じ要素または同様の要素を表す。最初に本発明の一実施形態に基づく図1を参照すると、基板110が示されている。
基板110は、例えば単結晶半導体材料ウェーハまたはセミコンダクタ・オン・インシュレータ・スタック・ウェーハとすることができる。この基板は、構造的支持を提供する支持層と、デバイスを形成することができる活性半導体層とを含むことができる。この活性半導体層と支持層の間に絶縁層(例えば埋込み酸化物(BOX)層)を置いて、セミコンダクタ・オン・インシュレータ基板(SeOI)(例えばシリコン・オン・インシュレータ基板(SOI))を形成することができ、または注入された層が埋込み絶縁材料を形成することもできる。
支持層は、結晶相、半結晶相、微結晶相、ナノ結晶相もしくは非晶相、あるいはこれらの組合せを含むことができる。支持層は、半導体(例えばシリコン(Si)、炭化シリコン(SiC)、シリコン-ゲルマニウム(SiGe)、ゲルマニウム(Ge)、ヒ化ガリウム(GaAs)、テルル化カドミウム(CdTe)など)、絶縁体(例えばガラス(例えばシリカ、ホウケイ酸ガラス)、セラミック(例えば酸化アルミニウム(Al、サファイヤ))、プラスチック(例えばポリカーボネート、ポリアセトニトリル)、金属(例えばアルミニウム、金、チタン、モリブデン-銅(MoCu)複合材料など)、またはこれらの組合せとすることができる。
活性半導体層は、結晶性半導体、例えばIV族またはIV-IV族半導体(例えばシリコン(Si)、炭化シリコン(SiC)、シリコン-ゲルマニウム(SiGe)、ゲルマニウム(Ge))、III-V族半導体(例えばヒ化ガリウム(GaAs)、リン化インジウム(InP)、アンチモン化インジウム(InSb))、II-VI族半導体(例えばテルル化カドミウム(CdTe)、テルル化亜鉛(ZnTe)、硫化亜鉛(ZnS)、セレン化亜鉛(ZnSe))、またはIV-VI族半導体(例えば硫化スズ(SnS)、セレン化鉛(PbSb))とすることができる。
図2は、基板110上に形成された複数の垂直フィン111およびそれぞれの垂直フィン上のフィン・テンプレート120を示す、本発明の一実施形態に基づく側断面図である。
フィン111は、多数のパターニング(patterning)製造プロセス、例えば側壁像転写(sidewall image transfer)(SIT)プロセス、自己整合2重パターニング(self-aligned double patterning)(SADP)プロセス、自己整合3重(triple)パターニング(SATP)プロセスまたは自己整合4重(quadruple)パターニング(SAQP)プロセスによって基板110上に形成することができる。フィン111は、直接書込み(direct write)プロセスによって、または2重パターニング・プロセス、例えば液浸リソグラフィ、極端紫外線リソグラフィもしくはX線リソグラフィおよびその後のエッチングを使用した2重パターニング・プロセスによって形成することができる。
フィンは、約15nmから約100nm、または約15nmから約50nm、または約50nmから約100nm、または約30nmから約70nmの範囲の高さを有することができる。しかしながら、他の高さも企図される。
フィン・テンプレート120は、それぞれの垂直フィン111上に形成することができ、パターニング・プロセス中に形成することができる。フィン・テンプレート120は、ハード・マスク、例えば酸化シリコン(SiO)、窒化シリコン(SiN)、酸化窒化シリコン(SiON)、炭化窒化シリコン(SiCN)、ホウ素化窒化シリコン(SiBN)、ホウ素化炭化シリコン(SiBC)、ホウ素化炭化窒化シリコン(SiBCN)、炭化ホウ素(BC)、窒化ホウ素(BN)またはこれらの組合せとすることができる。フィン111の上面とフィン・テンプレート120との間に薄い(すなわち<1nmの)酸化物層を置くことができる。
図3は、2つのフィン間の分離領域130、一方のフィンの下方のn型ウェルおよびもう一方のフィンの下方のp型ウェルを示す、本発明の一実施形態に基づく側断面図である。
分離領域130(例えば浅いトレンチ分離(STI)領域)は基板110内に形成することができ、基板110の異なる領域間の電気伝導を防ぐためまたは低減させるために絶縁誘電体材料(例えばSiO)を含むことができる。基板の隣接する領域を物理的および電気的に分離するため、分離領域130は、少なくとも50nm、または少なくとも100nm、または約50nmから約250nm、または約100nmから約150nmの幅を有することができる。分離領域130のそれぞれの側に1つまたは複数のフィン111を形成することができ、分離領域130は、基板を、2つの異なる領域、例えば第1の領域101および第2の領域102に分離する。第1の領域101に形成された1つまたは複数のフィン111は、p型論理フィン電界効果トランジスタ(FinFET)を形成するように構成することができ、第2の領域102に形成された1つまたは複数のフィン111は、n型パワー・フィンFETを形成するように構成することができる。しかしながら、この配列もしくはドーパント型またはその両方を逆にすることもできる。
基板110にドーパントを導入して、ドープされたウェル115、116を形成することができる。基板110の第1の領域101にp型ドーパントを導入して、p型にドープされたウェル115を形成することができ、基板の第2の領域102にn型ドーパントを導入して、n型にドープされたウェル116を形成することができる。これらのドーパントを逆にすることもできる。p型ドーパントは、限定はされないが、ホウ素(B)、アルミニウム(Al)、ガリウム(Ga)およびインジウム(In)を含むことができる。n型ドーパントは、限定はされないが、リン(P)、ヒ素(S)およびアンチモン(Sb)を含むことができる。ドーパント(n型またはp型)は、限定はされないが、イオン注入、気相ドーピング、プラズマ・ドーピング、プラズマ浸漬イオン注入、クラスタ・ドーピング、インフュージョン(infusion)・ドーピング、液相ドーピング、固相ドーピングなどを含む、適当なドーピング技法によって組み込むことができる。ドープされたウェル115、116は分離領域130に隣接することができ、分離領域130よりも浅くすることができる。ドープされたウェル115、116はフィン111の下方に位置することができ、フィン111の界面エリア(interfacial area)を超えて横方向に延びる。パワーFinFET用のドープされたウェル116は、基板110内において、約30nmから約150nmまたは約50nmから約100nmの範囲の深さを有することができる。しかしながら、他の深さも企図される。ドープされたウェル116の深さを変えることによって、パワー・デバイスのデバイス抵抗および動作電圧を変化させることができる。論理FinFET用のドープされたウェル115は、基板110内において、約20nmから約100nm、または約20nmから約60nm、または約50nmから約100nmの範囲の深さを有することができる。しかしながら、他の深さも企図される。
p型にドープされたウェル115は、約1×1017原子/cmから約1×1019原子/cm、または約5×1017原子/cmから約5×1018原子/cmの範囲のドーパント濃度を有することができる。n型にドープされたウェル116は、約1×1017原子/cmから約1×1019原子/cm、または約5×1017原子/cmから約5×1018原子/cmの範囲のドーパント濃度を有することができる。デバイス・チャネルの両端の電圧をより高くするため、ドープされたウェル115、116はより低いドーパント濃度を有することができる。p型にドープされたウェル115およびn型にドープされたウェル116のドーパント濃度を制御することにより、本質的に同じプロセス・ステップを使用して同じ基板110上に論理デバイスおよびパワー・デバイスを形成することができる。パワー・デバイスは、約3ボルト(V)から約10Vの範囲の動作電圧を有することができ、論理(すなわち低電圧)デバイスは、約0.5Vから約2Vの範囲の動作電圧を有することができる。n型にドープされたウェル116のより低いドーパント濃度は、パワー・デバイスを形成することを可能にし、p型にドープされたウェル115のより高いドーパント濃度は、論理デバイスを形成することを可能にする。このことはまた、同じ基板上に電子デバイス(例えばシステム・オン・チップ)を形成する際の製造効率およびより大きい余裕(leeway)を提供する。
図4は、一方の垂直フィンおよびn型ウェルを覆うマスキング・ブロックを示す、本発明の一実施形態に基づく側断面図である。
フィン111、分離領域130および基板110上に、ブランケット堆積(blanket deposition)(例えばCVD、スピンオン)によってマスキング層を形成することができる。このマスキング層は、フィン・テンプレート120の上面よりも上方に延びることができ、化学機械研摩(CMP)を使用して、高さを低減させること、および平坦化された表面を提供することができる。このマスキング層は、ソフト・マスク材料、例えば、ポリマー材料(例えばポリ(メタクリル酸メチル)(PMMA))、シロキサン、ポリジメチルシロキサン(PDMS)、水素シルセスキオキサン(HSQ)、テトラエチルオルトシリケート(TEOS)など)または非晶質炭素(amorphous carbon)(a-C)などのリソグラフィ・レジスト材料とすることができる。
このマスキング層を、窒化シリコン(SiN)、酸化シリコン(SiO)、酸化窒化シリコン(SiON)、炭化シリコン(SiC)、炭化窒化シリコン酸素(SiOCN)、または炭化窒化シリコンホウ素(SiBCN)などの誘電体材料を含むハード・マスクとすることもできる。本発明のさまざまな実施形態ではこのマスキング層が窒化シリコンである。このマスキング層は、約10nmから約100nmまたは約10nmから約30nmの厚さを有することができる。しかしながら、他の厚さも企図される。本発明の好ましい実施形態では、このマスキング層が約100nmの厚さを有することができる。
このマスキング層をパターニングして、リソグラフィ法により、第2の領域102の垂直フィン111およびn型にドープされたウェル116を覆うマスキング・ブロック140を形成することができる。マスキング層の一部分を除去して、第1の領域101のフィン111およびp型にドープされたウェル115を露出させることができる。分離領域130の一部分を露出させ、分離領域130の一部分をマスキングすることができる。マスキング・ブロック140は、フィン・テンプレートの上面よりも上方に延びることができる。
図5は、露出したフィンの側壁のライナ層150を示す、本発明の一実施形態に基づく側断面図である。
ライナ層150は、露出したフィン111の側壁および端壁に形成することができ、露出した垂直フィン111は第1の領域101にあることができる。ライナ層150は、共形堆積(conformal deposition)(例えば原子層堆積(ALD)、プラズマ強化ALD(PEALD)、化学蒸着(CVD)、プラズマ強化CVD(PECVD)またはこれらの組合せ)によって所定の厚さに形成することができる。ライナ層150は、約3nmから約15nmの範囲または約4nmから約8nmの範囲の厚さを有することができ、このライナ層は、フィン111内へのドーパントの侵入を防ぐのに十分な厚さを有するものとすることができる。
図6は、p型ウェル内に形成された下ソース/ドレイン領域118を示す、本発明の一実施形態に基づく側断面図である。
下ソース/ドレイン領域118は基板110内に形成されることができ、p型ウェル115内またはn型ウェル116内に形成することができる。ドーパント(n型またはp型)は、限定はされないが、イオン注入、気相ドーピング、プラズマ・ドーピング、プラズマ浸漬イオン注入、クラスタ・ドーピング、インフュージョン・ドーピング、液相ドーピング、固相ドーピングなどを含む、適当なドーピング技法によって組み込むことができる。NFETまたはPFETを製造するために、下ソース/ドレイン領域118にドーピングを実行して、n型またはp型ソース/ドレインを形成することができる。ドープされたウェル115がパンチスルー・ストップ層(punch-through stop layer)を形成することができるように、下ソース/ドレイン領域118を形成するドーパントは、第1の領域101のドープされたウェル115とは反対の型とすることができる。NFET用の下ソース/ドレイン領域118としては、リンがドープされたシリコンを使用することができる。p型にドープされたウェルおよびn型の下ソース/ドレイン領域118は、第1の領域101上のフィン111の下方の基板110内に形成することができ、n型にドープされたウェル116は、第2の領域102上のフィン111の下方の基板110内に形成することができ、ドープされたウェル116内には下ソース/ドレイン領域を形成しなくてもよい。これらのドーパントを逆にして、n型にドープされたウェル116内にp型の下ソース/ドレイン領域118を形成することができるようにすること、およびp型にドープされたウェルをパワー・デバイスに対して使用することもできる。本発明のいくつかの実施形態では、パワー・デバイス用のドープされたウェル内に下ソース/ドレイン領域が形成されない。ドープされたウェル115、116上に直接にフィン111を配置することができる。
下ソース/ドレイン領域118は、約1×1018原子/cmから約1×1021原子/cm、または約1×1019原子/cmから約5×1020原子/cmの範囲のドーパント濃度を有することができる。しかしながら、他の濃度も企図される。下ソース/ドレイン領域118のドーパント濃度は、ドープされたウェル115の反対の型のドーピングを打ち消すのに十分な濃度とすることができる。下ソース/ドレイン領域118のドーパント濃度は、ドープされたウェル115もしくはドープされたウェル116またはその両方のウェルのドーパント濃度の少なくとも5倍(5×)とすることができる。
下ソース/ドレイン領域118は、基板110内およびドープされたウェル115内において、約20nmから約60nmまたは約30nmから約50nmの範囲の深さを有することができる。上記の深さ範囲よりも浅い他の深さまたは上記の深さ範囲よりも深い他の深さを使用することもできる。基板内におけるドープされたウェル115の深さは、約20nmから約60nmまたは約50nmから約100nmの範囲とすることができ、下ソース/ドレイン領域118の深さよりも深い。下ソース/ドレイン領域118の周囲にパンチスルー・ストップを形成するために、ドープされたウェル115は下ソース/ドレイン領域を取り囲むことができる。第2の領域102のドープされたウェル116は変更なしでもよく、または下ソース/ドレイン領域が形成されていてもよい。
図7は、マスキング・ブロックおよびライナ層を除去した後の露出したフィンを示す、本発明の一実施形態に基づく側断面図である。
第2の領域102のドープされたウェル116上の1つまたは複数のフィン111からマスキング・ブロック140を除去することができる。マスキング・ブロック140の材料に応じて、マスキング・ブロックは、選択性エッチングまたはアッシング(ashing)を使用して除去することができる。マスキング・ブロック140を除去することによって、第2の領域102のフィン111およびドープされたウェル116を露出させることができる。
図8は、ソース/ドレイン領域上、分離領域上およびn型にドープされたウェル上の下スペーサ層160と、フィン・テンプレート上のスペーサ・キャップとを示す、本発明の一実施形態に基づく側断面図である。
下スペーサ層160は、基板110、ソース/ドレイン領域118、分離領域130およびドープされたウェル116の表面、ならびに第1および第2の両方の領域101、102のフィンの下部に形成することができる。フィン・テンプレート120上にスペーサ・キャップ162を形成することができる。
下スペーサ層160は、方向性堆積、例えば高密度プラズマCVD(HDPCVD)、物理蒸着(PVD)もしくはガス・クラスタ・イオン・ビーム(GCIB)によって、またはブランケット堆積およびエッチバックによって形成することができる。PVDを使用する場合、スパッタリング装置は、直流ダイオード・システム、無線周波スパッタリング、マグネトロン・スパッタリングまたはイオン化金属プラズマ・スパッタリングを含むことができる。GCIB堆積を使用する場合には、真空中で高圧ガスを膨張させ、続いて凝縮させてクラスタとする。このクラスタをイオン化し、表面に導くことができ、これによって非常に異方性の高い堆積を提供することができる。
下スペーサ層160の材料は、限定はされないが、酸化シリコン(SiO)、窒化シリコン(SiN)、酸化窒化シリコン(SiON)、炭化窒化シリコン(SiCN)、ホウ素化窒化シリコン(SiBN)、ホウ素化炭化シリコン(SiBC)、低K誘電体またはこれらの組合せを含む、誘電体材料とすることができる。低K誘電体は、非晶質炭素(a-C)、フッ素がドープされた酸化シリコン(SiO:F)、炭素がドープされた酸化シリコン(SiO:C)、SiCOH、ホウ素化炭化窒化シリコン(SiBCN)、またはこれらの組合せを含むことができる。他の例は、Applied MaterialのBlack Diamond(商標)を含む。
下スペーサ層160は、約3nmから約15nm、または約5nmから約10nm、または約3nmから約5nmの厚さを有することができる。しかしながら、他の厚さも企図される。
図9は、垂直フィン上、スペーサ・キャップ上および下スペーサ層上の誘電性下層170を示す、本発明の一実施形態に基づく側断面図である。
誘電性下層170は、垂直フィン111および下スペーサ層160の露出部分に形成することができ、共形堆積(例えばALD、PEALD)によって形成することができる。
一実施形態では、さまざまな堆積技法、例えば窒化物形成(nitridation)、原子層堆積(ALD)、分子層堆積(MLD)、化学蒸着(CVD)、物理蒸着(PVD)およびスピンオン技法を使用して誘電性下層170を堆積させる。本発明の代替実施形態では、熱酸化を堆積技法として使用して誘電性下層170を堆積させる。この例では、フィンの露出した表面に誘電性下層170が形成され、誘電体材料(例えば酸化物)によって既に覆われた不活性領域には誘電性下層170が形成されない。誘電性下層170は1つまたは複数の層を含むことができる。誘電性下層170は、約1nmから25nm、もしくは約2nmから20nm、もしくは約4nmから15nmの範囲の厚さ、またはこれらの間の範囲の厚さを有することができる。しかしながら、他の厚さも企図される。
誘電性下層170は、約5nmから約20nm、または約6nmから約15nm、または約7nmから約10nmの範囲の厚さを有することができる。上記の厚さ範囲よりも薄い他の厚さまたは上記の厚さ範囲よりも厚い他の深さを使用することもできる。
誘電性下層170の材料は、酸化シリコン(SiO)、酸化窒化シリコン(SiON)、ホウ素化炭化窒化シリコン(SiBCN)、酸化炭化窒化シリコン(SiOCN)、高K誘電体材料またはこれらの組合せとすることができる。高k材料の例は、限定はされないが、金属酸化物、例えば酸化ハフニウム(HfO)、酸化ハフニウムシリコン(HfSiO)、酸化窒化ハフニウムシリコン(HfSiON)、酸化ランタン(LaO)、酸化ランタンアルミニウム(LaAlO)、酸化ジルコニウム(ZrO)、酸化ジルコニウムシリコン(ZrSiO)、酸化窒化ジルコニウムシリコン(ZrSiON)、酸化タンタル(TaO)、酸化チタン(TiO)、酸化バリウムストロンチウムチタン(BaSrTiO)、酸化バリウムチタン(BaTiO)、酸化ストロンチウムチタン(SrTiO)、酸化イットリウム(YO)、酸化アルミニウム(AlO)、酸化鉛スカンジウムタンタル(PbScTaO)、およびニオブ酸鉛亜鉛(PbZnNbO)を含む。この高k材料はさらに、ランタン、アルミニウム、マグネシウムまたはこれらの組合せなどのドーパントを含むことができる。
図10は、n型ウェル上のフィン上の第2のマスキング・ブロック145を示す、本発明の一実施形態に基づく側断面図である。
第2のマスキング・ブロック145は、第2の領域102の誘電性下層170およびフィン111上に形成することができる。第1の領域101において誘電性下層170の一部分を露出させることができる。
図11は、誘電性下層の露出部分を除去した後のソース/ドレイン領域上の露出したフィンを示す、本発明の一実施形態に基づく側断面図である。
誘電性下層170の露出部分を、例えば等方性エッチング(例えば湿式化学エッチング、乾式プラズマ・エッチング)を使用して除去して、誘電性下層セグメント172を形成すること、ならびに誘電性下層170の下の下スペーサ層160、フィン111、フィン・テンプレート120およびスペーサ・キャップ162を露出させることができる。誘電性下層170は、下スペーサ層160とは異なる材料とすることができ、そのため誘電性下層170の部分を選択的に除去することができる。
図12は、第2のマスキング・ブロックを除去した後に露出した垂直フィン上および露出した誘電性下層セグメント上に形成されたゲート誘電体層180を示す、本発明の一実施形態に基づく側断面図である。
ゲート誘電体層180は、第2のマスキング・ブロック145を除去した後に、露出した垂直フィン111上および第2の領域102の垂直フィン上の露出した誘電性下層セグメント172上に形成することができる。ゲート誘電体層180は共形堆積(例えばALD、PEALD)によって形成することができる。
ゲート誘電体層180は、酸化シリコン(SiO)、窒化シリコン(SiN)、酸化窒化シリコン(SiON)、窒化ホウ素(BN)、高k誘電体材料またはこれらの組合せとすることができる。
ゲート誘電体層180は、約7Åから約30Å、または約7Åから約10Å、または約1nmから約2nmの厚さを有することができる。しかしながら、他の厚さも企図される。
図13は、ゲート誘電体層上の仕事関数層190を示す、本発明の一実施形態に基づく側断面図である。
フィン電界効果トランジスタ(FinFET)用またはパワーFinFET用のゲート構造体を形成するために、仕事関数材料(WFM)によって、ゲート誘電体層180の一部分上に仕事関数層190を形成することができる。仕事関数層190は、共形堆積によってゲート誘電体層180上に堆積させることができる。電流は、下ソース/ドレイン領域118またはドープされたウェル116から、垂直フィン111とゲート構造体とによって形成されたチャネル領域を通って、上ソース/ドレインに垂直に流れることができる。
必ずしもこれらに限定されるというわけではないが、仕事関数層190は、PFETに対して、窒化チタン(TiN)、窒化タンタル(TaN)またはルテニウム(Ru)を含むことができる。必ずしもこれらに限定されるというわけではないが、仕事関数層190は、NFETに対して、窒化チタン(TiN)、窒化チタンアルミニウム(TiAlN)、窒化チタンアルミニウム炭素(TiAlCN)、炭化チタンアルミニウム(TiAlC)、炭化タンタルアルミニウム(TaAlC)、窒化タンタルアルミニウム炭素(TaAlCN)、またはランタン(La)がドープされたTiNもしくはLaがドープされたTaNを含むことができる。
仕事関数層190は、約2nmから約10nmまたは約3nmから約6nmの範囲の厚さを有することができる。しかしながら、他の厚さも企図される。
図14は、仕事関数層上の導電性ゲート充填材200を示す、本発明の一実施形態に基づく側断面図である。
導電性ゲート充填材200は、仕事関数層190の少なくとも一部分上または仕事関数層が存在しない場合にはゲート誘電体層180の少なくとも一部分上に形成することができる。導電性ゲート充填材200はブランケット堆積によって形成することができ、CMPを使用して余分な材料を除去することができる。
必ずしもこれらに限定されるというわけではないが、導電性ゲート充填材200は、非晶質シリコン(a-Si)、または金属、例えばタングステン(W)、コバルト(Co)、ジルコニウム(Zr)、タンタル(Ta)、チタン(Ti)、アルミニウム(Al)、ルテニウム(Ru)、銅(Cu)、金属炭化物(例えばTaC、TiC、WCなど)、金属窒化物(例えばTaN、ZrNなど)、遷移金属アルミニド(aluminide)(例えば、TiAl、CoAl、NiAlなど)、炭化タンタルマグネシウム、もしくはこれらの組合せを含むことができる。ゲート構造体を形成するために、導電性ゲート充填材200は、仕事関数層190上、または仕事関数層が存在しない場合にはゲート誘電体層180上に堆積させることができる。
図15は、高さが低減されたゲート誘電体層、仕事関数層および導電性ゲート充填材を示す、本発明の一実施形態に基づく側断面図である。
導電性ゲート充填材200の高さを、例えば方向性エッチング(例えば反応性イオン・エッチング(RIE))を使用して低減させることができる。仕事関数層190の露出部分を選択性エッチングを使用して除去し、ゲート誘電体層180の露出部分を選択性エッチングを使用して除去して、フィン111の上部を露出させることができる。
図16は、導電性ゲート充填材上、仕事関数層上およびゲート誘電体層上に形成された上スペーサ層210と、第1のスペーサ・キャップ上のスペーサ・キャップの第2のセットとを示す、本発明の一実施形態に基づく側断面図である。
上スペーサ層210は、導電性ゲート充填材200上、仕事関数層190上およびゲート誘電体層180上に形成することができ、スペーサ・キャップ212の第2のセットは、第1のスペーサ・キャップ162上に形成することができる。上スペーサ層210は、方向性堆積、例えば高密度プラズマCVD(HDPCVD)またはガス・クラスタ・イオン・ビーム(GCIB)によって形成することができる。上スペーサ層210はフィン111の露出した上部を覆うことができ、上スペーサ層210の上面は、フィン111の上面のところに位置し、またはフィン111の上面よりも上もしくは下に位置することができる。
上スペーサ層210の材料は、限定はされないが、酸化シリコン(SiO)、窒化シリコン(SiN)、酸化窒化シリコン(SiON)、炭化窒化シリコン(SiCN)、ホウ素化窒化シリコン(SiBN)、ホウ素化炭化シリコン(SiBC)、低K誘電体またはこれらの組合せを含む、誘電体材料とすることができる。
導電性ゲート充填材200上に有機平坦化層(organic planarization layer)(OPL)を形成し、導電性ゲート充填材200の部分を露出させるようにOPLをパターニングし、次いで導電性ゲート充填材200の露出部分を除去し、続いて仕事関数層を除去することができる。導電性ゲート充填材200の残った部分上に上スペーサ層210を形成することができる。
図17は、ゲート誘電体層、仕事関数層および導電性ゲート充填材から形成されたパターニングされたゲート構造体上の上スペーサを示す、本発明の一実施形態に基づく側断面図である。
第1の領域101に論理FinFETを形成し、第2の領域102にパワーFinFETを形成するために、上スペーサ層210、導電性ゲート充填材200、仕事関数層190およびゲート誘電体層180をマスキングし、エッチングすることができる。これらの層を分割して、第1のゲート誘電体層、第1の仕事関数層および第1の導電性ゲート充填材を含むパワーFinFET用のゲート構造体、ならびに第2のゲート誘電体層、第2の仕事関数層および第2の導電性ゲート充填材を含む論理FinFET用のゲート構造体を形成することができる。下スペーサ層160上に誘電性下層セグメント172およびゲート誘電体層180を形成し、その後に上スペーサ層210、導電性ゲート充填材200、仕事関数層190、ゲート誘電体層180および誘電性下層セグメント172の部分を除去することによって、パワーFinFETのゲート構造体は逆T字形の形状を有することができる。また、下スペーサ層160上にゲート誘電体層180を形成し、その後に上スペーサ層210、導電性ゲート充填材200、仕事関数層190、ゲート誘電体層の部分を除去することによって、論理FinFETのゲート構造体も逆T字形の形状を有することができる。
第1の領域101のゲート構造体と第2の領域102のゲート構造体の間にトレンチを形成することができ、このトレンチは、第1の領域上のゲート構造体を第2の領域上のゲート構造体から物理的および電気的に分離するために分離領域130の上に形成することができる。
図18は、ゲート構造体上および下スペーサ層上の障壁層220を示す、本発明の一実施形態に基づく側断面図である。
障壁層220は、ゲート構造体および下スペーサ層上に形成することができ、共形堆積によって形成することができる。障壁層220は、共形堆積プロセス、例えば原子層堆積(ALD)またはプラズマ強化化学蒸着(PECVD)を使用して形成することができる。しかしながら、他の適当な共形堆積プロセスを使用することもできる。障壁層220は、窒化シリコン(SiN)、炭化窒化シリコン(SiCN)、窒化シリコンホウ素(SiBN)、ドープされた窒化物、酸化窒化シリコン(SiON)またはこれらの組合せでできたものとすることができる。障壁層220は窒化シリコンでできたものとすることができる。障壁層220は、約3nmから約10nmの範囲の均一な厚さを有することができる。障壁層220は、導電性ゲート充填材200から層間誘電体(interlayer dielectric)(ILD)層中への材料の拡散を防ぐのに十分な厚さを有するものとすることができる。
図19は、それぞれのフィン上に形成された上ソース/ドレインを示す、本発明の一実施形態に基づく側断面図である。
ブランケット堆積およびCMPによって障壁層220上にILD層230を形成することができる。CMPは、障壁層220の一部分を露出させるために使用される。ILD層は誘電体(例えばSiO、SiO:Cなど)とすることができる。
障壁層220の露出部分を除去して、その下の第2のスペーサ・キャップ212を露出させることができる。第2のスペーサ・キャップ212および第1のスペーサ・キャップ162を選択的に除去して、フィン・テンプレート120を露出させることができ、フィン・テンプレート120を除去して、フィン111の上面の上に開口を形成することができる。第2のスペーサ・キャップと第1のスペーサ・キャップは同じ材料とすることができる。
それぞれのフィン111上に上ソース/ドレイン240を形成することができる。上ソース/ドレイン240は、フィン111の露出した表面でのエピタキシャル成長によって形成することができる。
図20は、上ソース/ドレイン、下ソース/ドレインおよびn型にドープされたウェルにそれぞれ形成された電気コンタクトを示す、本発明の一実施形態に基づく側断面図である。
ILD層230に、下ソース/ドレイン領域118、ドープされたウェル116および上ソース/ドレイン240まで延びるバイアまたはトレンチを形成することができる。このバイアおよびトレンチ内に、拡散障壁の働きをするバイアおよびトレンチ・ライナ層を形成することができ、このバイアおよびトレンチ・ライナ層は、下ソース/ドレイン領域118上、ドープされたウェル116上および上ソース/ドレイン240上に金属ケイ化物(例えばTiSi)を形成することができる。このバイア・ライナ層は、チタン(Ti)と窒化チタン(TiN)の2重層とすることができる。
電気的接続性を向上させ、抵抗を低減させるため、下ソース/ドレイン領域118上、ドープされたウェル116上および上ソース/ドレイン240上にコンタクト層250を形成することができる。コンタクト層250は、下ソース/ドレイン領域118上、ドープされたウェル116上および上ソース/ドレイン240上でのシリコン(Si)もしくはシリコン-ゲルマニウム(SiGe)またはその両方のエピタキシャル成長によって形成することができ、濃くドープされた層とすることができる。コンタクト層250のドーピングは、例えばイオン注入もしくはアニーリングを使用して実行することができ、またはエピタキシャル・プロセス中にin situで実行することができる。一例では、コンタクト層250のドーピングが例えば、n型デバイス(例えばnFET)に対してはヒ素(As)またはリン(P)を、p型デバイス(例えばpFET)に対してはホウ素(B)を、約1×1020原子/cmから約1×1021原子/cmの範囲の濃度で使用する。コンタクト層250のドーパント濃度は、ドープされたウェル115、116のドーパント濃度の少なくとも5倍とすることができ、または下ソース/ドレイン118と同様とすることができる。
上ソース/ドレイン240上のコンタクト層250上に上電気コンタクト270を形成することができ、下ソース/ドレイン領域118上のコンタクト層250上およびドープされたウェル116上のコンタクト層250上に下電気コンタクト260を形成することができる。下電気コンタクト260および上電気コンタクト270は、導電材料、例えばタングステン(W)、タンタル(Ta)、窒化タンタル(TaN)、コバルト(Co)、ルテニウム(Ru)、または共形に堆積させることができる他の導体とすることができる。これらの下電気コンタクト260および上電気コンタクト270は、(露出した全ての表面を覆う)ブランケットの形態で堆積させることができ、次いで例えば化学機械研摩(CMP)を使用して研摩することができる。それぞれのゲートにゲート・コンタクトを形成することができる。
ドープされたウェル116による約20nmから約200nmの範囲または約50nmから約100nmの範囲の横方向距離Dだけ、下電気コンタクト260とコンタクト層250とを分離することができる。しかしながら、他の距離も企図される。横方向距離Dによって、パワー・デバイスの抵抗および電圧容量を変化させることができる。
n型にドープされたウェル116、またはp型にドープされたウェル115および下ソース/ドレイン領域118は、フィンを通して上ソース/ドレイン240にかけて、下電気コンタクト260と上電気コンタクト270の間に逆T字形の導電性領域119を形成することができる。この逆T字形のウェルは、デバイスのオン抵抗と高電圧能力との間の最適なトレードオフを達成することができる。ウェル116の深さは、パワー・トランジスタのフットプリント(すなわち面積)を増大させることなくウェル抵抗を調整するための別の変量を提供することができる。この逆T字形の導電性領域は、パワー・トランジスタがはるかに大きい電力を処理することを可能にすることができる。パワーFinFET構造体の逆T字形の導電性領域119は、デバイス・チャネルを形成する単一の垂直フィン111を含むことができ、論理デバイスは、同じ下ソース/ドレイン118上に多数のフィン111を含むことができる。この場合、論理デバイスは、逆T字形の導電性領域の利益を失い得る。V字形ゲートを有するVMOS FETに比べてこの構造は単純であり、垂直FinFET製造プロセス・フローに組み込むことができる。このパワーFinFETと論理デバイスは、同じプロセスおよび層を使用して同時に製造することができる。
電流は、パワーFinFETの上ソースから、フィン・チャネルを通って、下ソース/ドレイン領域のない薄くドープされたウェル116に流れ、ウェル116を横切って電気コンタクト250に流れ、下電気コンタクト260を通って流れることができ、10ボルトで流すことができる。薄くドープされたウェル116のより高い抵抗は、濃くドープされたソース/ドレインに比べてチャネルを通る電流を低減させることができ、それによってパワー・デバイスの破壊を防ぐことができる。
本発明の実施形態は、集積回路チップの設計を含むことができ、この設計は、グラフィカル・コンピュータ・プログラミング言語で作成し、(ディスク、テープ、物理ハード・ドライブまたは仮想ハード・ドライブ、例えば記憶アクセス・ネットワーク内の仮想ハード・ドライブなどの)コンピュータ記憶媒体に記憶することができる。設計者が、チップまたはチップの製造に使用するフォトリソグラフィ・マスクを製造しない場合、設計者は、作成した設計を、物理的手段によって(例えばその設計を記憶した記憶媒体のコピーを提供することによって)または電子的に(例えばインターネットを介して)、このような実体に、直接にまたは間接的に送ることができる。記憶された設計は次いで、フォトリソグラフィ・マスクを製造するための適当なフォーマット(例えばGDSII)に変換される。それらのフォトリソグラフィ・マスクは通常、ウェーハ上に形成する、当該チップ設計の多数のコピーを含む。それらのフォトリソグラフィ・マスクは、ウェーハ(もしくはウェーハ上の層またはその両方)の、エッチングまたは他の手法で処理するエリアを画定する目的に利用される。
本明細書に記載された方法を使用して集積回路チップを製造することができる。製造者は、製造した集積回路チップを、未加工のウェーハの形態で(すなわちパッケージ化されていない多数のチップを有する単一のウェーハとして)、または裸ダイ(bare die)として、またはパッケージ化された形態で配布することができる。パッケージ化された形態で配布される場合、チップは、シングル・チップ・パッケージ(例えばマザーボードまたは他のより高次のキャリアに接続されたリード線を有するプラスチック・キャリア)内、またはマルチチップ・パッケージ(例えば表面相互接続もしくは埋込み相互接続またはその両方を有するセラミック・キャリア)内に装着される。いずれにせよ、チップは次いで、(a)マザーボードなどの中間製品の部分または(b)最終製品の部分として、他のチップ、個別回路要素もしくは他の信号処理デバイスまたはこれらの組合せと統合される。この最終製品は、玩具および他の低価格帯用途から、ディスプレイ、キーボードまたは他の入力デバイスおよび中央処理装置を有する高度なコンピュータ製品までにわたる、集積回路チップを含む任意の製品とすることができる。
材料化合物は、列挙された元素、例えばSiGeに関して説明されることも理解すべきである。例えばSiGeはSiGe1-xを含むなど、これらの化合物は、その化合物中の元素をさまざまな割合で含む。上式でxは1以下である。さらに、その化合物に他の元素を含めることもでき、それらの元素が本発明に従って機能することもある。追加の元素を含むそれらの化合物を本明細書では合金と呼ぶ。
本明細書において、「1つの実施形態」または「一実施形態」およびこれらの句の他の変形が使用されているとき、それは、その実施形態に関連して記載された特定の特徴、構造、特性などが、少なくとも1つの実施形態に含まれることを意味する。したがって、本明細書の全体を通じてさまざまな場所に現れる、句「1つの実施形態」または「一実施形態」および任意の他の変化の出現は、その全てが、その同じ実施形態に言及しているとは限らない。
以下の「/」、「および/または」および「のうちの少なくとも1つ」のいずれかの使用、例えば「A/B」、「Aおよび/またはB」および「AとBのうちの少なくとも1つ」の場合のこのような言い回しの使用は、最初に挙げられた選択肢(A)だけの選択、または2番目に挙げられた選択肢(B)だけの選択、または両方の選択肢(AおよびB)の選択を包含することが意図されていることを理解されたい。別の例として、「A、Bおよび/またはC」および「A、BおよびCのうちの少なくとも1つ」の場合、このような言い回しは、最初に挙げられた選択肢(A)だけの選択、または2番目に挙げられた選択肢(B)だけの選択、または3番目に挙げられた選択肢(C)だけの選択、または最初に挙げられた選択肢および2番目に挙げられた選択肢(AおよびB)だけの選択、または最初に挙げられた選択肢および3番目に挙げられた選択肢(AおよびC)だけの選択、または2番目に挙げられた選択肢および3番目に挙げられた選択肢(BおよびC)だけの選択、または3つの全ての選択肢(AおよびBおよびC)の選択を包含することが意図されている。本技術分野および関連技術分野の技術者にはすぐに明らかになることだが、このことは、列挙された品目と同じ数の項目に対して拡張され得る。
本明細書で使用される用語の目的は特定の実施形態を説明することだけであり、それらの用語が例示的な実施形態を限定することは意図されていない。文脈からそうでないことが明らかである場合を除き、本明細書で使用されるとき、単数形の「a」、「an」および「the」は複数形も含むことが意図されている。本明細書で使用されるとき、用語「備える(comprises)」、「備えている(comprising)」、「含む(includes)」もしくは「含んでいる(including)」またはこれらの組合せは、明示された特徴、完全体(integer)、ステップ、動作、要素もしくは構成要素またはこれらの組合せの存在を明示するが、他の1つもしくは複数の特徴、完全体、ステップ、動作、要素、構成要素もしくはこれらのグループ、またはこれらの組合せの存在または追加を排除しないことも理解される。
説明を容易にするため、本明細書では、図に示された1つの要素または特徴と別の要素または特徴との関係を記述するために、「~の下(beneath)」、「~の下方(below)」、「下~(lower)」、「~の上方(above)」、「上~(upper)」などの空間的相対語が使用されることがある。これらの空間的相対語は、図に示された向きだけでなく、使用時または動作時のデバイスのさまざまな向きを包含することが理解される。例えば、図中のデバイスを裏返した場合、別の要素または特徴の「下方」または「下」にあると記載された要素は、その別の要素または特徴の「上方」に位置することになる。したがって、用語「~の下方」は、上方と下方の両方の向きを包含し得る。デバイスがそれ以外の向き(90度回転させた向きまたは別の向き)に置かれることもあり、本明細書で使用される空間的相対記述語もそれに応じて解釈することができる。さらに、1つの層が2つの層「の間に」あると書かれているときには、その1つの層がそれらの2つの層の間の唯一の層であることもあり、または1つもしくは複数の介在層が存在することもあることも理解される。
層、領域または基板などの要素が別の要素「上」または別の要素「の上に」あると書かれているとき、その要素は、この別の要素上に直接にあることもあり、または介在要素が存在することもあることも理解される。逆に、1つの要素が別の要素「上に直接にある」または別の要素「の上に直接にある」と書かれているとき、介在要素は存在しない。1つの要素が別の要素に「接続」または「結合」されていると書かれているとき、その要素は、この別の要素に直接に接続または結合されていることもあり、または介在要素が存在することもあることも理解される。逆に、1つの要素が別の要素に「直接に接続」または「直接に結合」されていると書かれているとき、介在要素は存在しない。
本明細書では、さまざまな要素を説明するために第1、第2などの用語が使用されることがあるが、これらの用語によってそれらの要素が限定されることはないことが理解される。これらの用語は、1つの要素を別の要素から識別するためだけに使用される。したがって、本発明の発想の範囲を逸脱することなく、以下で論じる第1の要素を第2の要素と称することがある。
上で説明した本発明の一実施形態では、基板上に論理デバイスおよびパワー・デバイスを形成する方法が提供され、この方法は、基板の第1の領域上に第1の垂直フィンを形成し、基板の第2の領域上に第2の垂直フィンを形成することを含み、分離領域が第1の領域を第2の領域から分離し、この方法はさらに、第1の垂直フィン上および第2の垂直フィン上に誘電性下層を形成すること、ならびに第2の領域上の誘電性下層上および第2の垂直フィン上にマスキング・ブロックを形成することを含み、このマスキング・ブロックが第1の垂直フィン上の誘電性下層の一部分を露出したままにし、この方法はさらに、誘電性下層の露出部分を除去して、第2の垂直フィン上に誘電性下層セグメントを形成すること、マスキング・ブロックを除去すること、ならびに第2の領域上の誘電性下層セグメント上および第2の垂直フィン上にゲート誘電体層を形成することを含む。このゲート誘電体層は、酸化シリコン(SiO)、窒化シリコン(SiN)、酸化窒化シリコン(SiON)、窒化ホウ素(BN)、高k誘電体材料およびこれらの組合せからなるグループから選択された材料でできたものとすることができる。このゲート誘電体層は、7Åから30Åの範囲の厚さを有することができ、誘電性下層セグメントは、約5nmから約10nmの範囲の厚さを有する。この方法は、ゲート誘電体層および誘電性下層セグメントの下方の基板上に下スペーサ層を形成することを含むことができる。この方法は、第1の垂直フィンの下方の基板内に下ソース/ドレイン領域を形成することを含むことができる。
デバイスおよび製造方法の好ましい実施形態(それらの実施形態は例示的であることおよび限定しないことが意図されている)を説明したが、当業者は、上記の教示を考慮して、変更および変形を加えることができることに留意されたい。したがって、添付の特許請求の範囲に概要が示された本発明の範囲内の変更を、開示された特定の実施形態に加えることができることが理解される。このように本発明の諸態様を説明したが、特許法が求める詳細および特殊性とともに、特許証が主張し、特許証によって保護されることが望ましい事項は、添付の請求の範囲に示されている。

Claims (14)

  1. 基板上に論理デバイスおよびパワー・デバイスを形成する方法であって、
    前記基板の第1の領域上に第1の垂直フィンを形成し、前記基板の第2の領域上に第2の垂直フィンを形成すること
    を含み、分離領域が前記第1の領域を前記第2の領域から分離し、前記方法がさらに、
    前記第1の垂直フィンの下の前記基板内に下ソース/ドレイン領域を形成すること、
    前記第2の垂直フィンの下の前記基板内にドープされたウェルを形成すること、
    前記第2の垂直フィンの側壁上に誘電性下層セグメントを形成すること、ならびに
    前記誘電性下層セグメント上および前記第2の垂直フィン上に第1のゲート構造体を形成すること
    を含む方法。
  2. 前記誘電性下層セグメントが5nmから10nmの範囲の厚さを有する、請求項1に記載の方法。
  3. 前記誘電性下層セグメントが、酸化シリコン(SiO)、酸化窒化シリコン(SiON)、ホウ素化炭化窒化シリコン(SiBCN)、酸化炭化窒化シリコン(SiOCN)およびこれらの組合せからなるグループから選択された材料でできた、請求項1に記載の方
    法。
  4. 前記第1のゲート構造体が、前記誘電性下層セグメント上のゲート誘電体層、前記ゲート誘電体層上の仕事関数層、および前記仕事関数層上の導電性ゲート充填材を含む、請求項1に記載の方法。
  5. 前記第1の垂直フィン上に第2のゲート構造体を形成することをさらに含み、前記ゲート構造体が、前記第1の垂直フィン上の第2のゲート誘電体層、前記ゲート誘電体層上の第2の仕事関数層、および前記第2の仕事関数層上の第2の導電性ゲート充填材を含む、請求項1に記載の方法。
  6. 前記第1の垂直フィン上の前記第2のゲート構造体および前記第2の垂直フィン上の前記第1のゲート構造体がそれぞれ逆T字形のゲート構造体であり、前記第2の領域上の導電性領域が逆T字形の形状を有する、請求項5に記載の方法。
  7. 記下ソース/ドレイン領域が、前記ドープされたウェルのドーパント濃度の少なくとも5倍のドーパント濃度を有する、請求項1に記載の方法。
  8. 前記第1の垂直フィン上に上ソース/ドレインを形成し、前記第2の垂直フィン上に上ソース/ドレインを形成することをさらに含む、請求項7に記載の方法。
  9. 前記上ソース/ドレイン上、前記ドープされたウェル上および前記下ソース/ドレイン領域上にコンタクト層を形成することをさらに含む、請求項8に記載の方法。
  10. 基板上の論理デバイスおよびパワー・デバイスであって、
    前記基板の第1の領域上の第1の垂直フィンおよび前記基板の第2の領域上の第2の垂直フィンであり、分離領域が前記第1の領域を前記第2の領域から分離した、前記第1の垂直フィンおよび前記第2の垂直フィンと、
    前記第1の垂直フィンの下方の前記基板内のドープされた第1のウェルおよび下ソース/ドレイン領域と、
    前記第2の垂直フィンの下方の前記基板内のドープされた第2のウェルと、
    前記下ソース/ドレイン領域上および前記ドープされた第2のウェル上の下スペーサ層と、
    前記下スペーサ層上および前記第2の垂直フィンの側壁上の誘電性下層セグメントと、
    前記誘電性下層セグメント上の第1のゲート誘電体層と
    を備える、基板上の論理デバイスおよびパワー・デバイス。
  11. 前記第1の領域上の前記下スペーサ層上および前記第1の垂直フィン上の第2のゲート誘電体層をさらに備える、請求項10に記載の論理デバイスおよびパワー・デバイス。
  12. 前記ドープされた第1のウェルをp型にドープされたウェルとし、前記ドープされた第2のウェルをn型にドープされたウェルとすることができ、前記n型にドープされたウェルが、1×1017原子/cmから1×1019原子/cmの範囲のドーパント濃度を有し、前記下ソース/ドレイン領域が、1×1018原子/cmから1×1021原子/cmの範囲のドーパント濃度を有する、請求項10に記載の論理デバイスおよびパワー・デバイス。
  13. 前記n型にドープされたウェル上に形成されたコンタクト層をさらに備え、前記コンタクト層と前記第2の垂直フィンとの間の横方向距離が20nmから200nmの範囲にある、請求項12に記載の論理デバイスおよびパワー・デバイス。
  14. 前記下ソース/ドレイン領域が、前記n型にドープされたウェルのドーパント濃度の少なくとも5倍の前記ドーパント濃度を有し、前記n型にドープされたウェルが30nmから150nmの範囲の深さを有する、請求項12に記載の論理デバイスおよびパワー・デバイス。
JP2020531528A 2017-12-15 2018-12-03 基板上に論理デバイスおよびパワー・デバイスを形成する方法ならびに基板上の論理デバイスおよびパワー・デバイス Active JP7271054B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/843,786 2017-12-15
US15/843,786 US10685886B2 (en) 2017-12-15 2017-12-15 Fabrication of logic devices and power devices on the same substrate
PCT/IB2018/059558 WO2019116152A1 (en) 2017-12-15 2018-12-03 Fabrication of logic devices and power devices on the same substrate

Publications (3)

Publication Number Publication Date
JP2021507507A JP2021507507A (ja) 2021-02-22
JP2021507507A5 JP2021507507A5 (ja) 2021-07-26
JP7271054B2 true JP7271054B2 (ja) 2023-05-11

Family

ID=66814713

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020531528A Active JP7271054B2 (ja) 2017-12-15 2018-12-03 基板上に論理デバイスおよびパワー・デバイスを形成する方法ならびに基板上の論理デバイスおよびパワー・デバイス

Country Status (6)

Country Link
US (2) US10685886B2 (ja)
JP (1) JP7271054B2 (ja)
CN (1) CN111433905B (ja)
DE (1) DE112018005623T5 (ja)
GB (1) GB2582087B (ja)
WO (1) WO2019116152A1 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8895327B1 (en) * 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US10832975B2 (en) * 2018-06-12 2020-11-10 International Business Machines Corporation Reduced static random access memory (SRAM) device foot print through controlled bottom source/drain placement
US10916638B2 (en) * 2018-09-18 2021-02-09 International Business Machines Corporation Vertical fin field effect transistor devices with reduced top source/drain variability and lower resistance
DE102020000871A1 (de) * 2019-12-18 2021-06-24 Taiwan Semiconductor Manufacturing Co. Ltd. Strukutur zur steuerung der randkapazitanz
DE102020202038A1 (de) 2020-02-18 2021-08-19 Robert Bosch Gesellschaft mit beschränkter Haftung Vertikaler Fin-Feldeffekttransistor, vertikaler Fin-Feldeffekttransistor-Anordnung und Verfahren zum Bilden eines vertikalen Fin-Feldeffekttransistors

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009088134A (ja) 2007-09-28 2009-04-23 Elpida Memory Inc 半導体装置、半導体装置の製造方法並びにデータ処理システム
US20140001569A1 (en) 2012-06-28 2014-01-02 Walid M. Hafez High voltage three-dimensional devices having dielectric liners
US20170084711A1 (en) 2015-09-21 2017-03-23 Samsung Electronics Co., Ltd. Integrated circuit device and method of manufacturing the same
US20180122930A1 (en) 2016-10-28 2018-05-03 Renesas Electronics Corporation Semiconductor device and method of manufacturing the semiconductor device

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5241203A (en) * 1991-07-10 1993-08-31 International Business Machines Corporation Inverse T-gate FET transistor with lightly doped source and drain region
US5182619A (en) * 1991-09-03 1993-01-26 Motorola, Inc. Semiconductor device having an MOS transistor with overlapped and elevated source and drain
KR0144165B1 (ko) * 1995-05-12 1998-07-01 문정환 인버스 티(t)형 트랜지스터의 개선된 제조방법
US5637898A (en) 1995-12-22 1997-06-10 North Carolina State University Vertical field effect transistors having improved breakdown voltage capability and low on-state resistance
US5960289A (en) * 1998-06-22 1999-09-28 Motorola, Inc. Method for making a dual-thickness gate oxide layer using a nitride/oxide composite region
US6218224B1 (en) 1999-03-26 2001-04-17 Advanced Micro Devices, Inc. Nitride disposable spacer to reduce mask count in CMOS transistor formation
US7652326B2 (en) 2003-05-20 2010-01-26 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture
US20050067630A1 (en) 2003-09-25 2005-03-31 Zhao Jian H. Vertical junction field effect power transistor
US7736965B2 (en) 2007-12-06 2010-06-15 International Business Machines Corporation Method of making a FinFET device structure having dual metal and high-k gates
CN101337141A (zh) * 2008-07-25 2009-01-07 易继新 多分割过滤器及制作过滤纸芯的方法
US8664718B2 (en) 2011-11-30 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. Power MOSFETs and methods for forming the same
CN103367141B (zh) 2012-04-09 2016-06-01 中芯国际集成电路制造(上海)有限公司 功函数测试方法
CN103371410A (zh) * 2012-04-23 2013-10-30 南通天行健工程复合材料有限公司 一种蔓荆子降糖饮料及制作方法
US8823096B2 (en) 2012-06-01 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical power MOSFET and methods for forming the same
US9362386B2 (en) * 2013-02-27 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods for forming the same
US9331197B2 (en) 2013-08-08 2016-05-03 Cree, Inc. Vertical power transistor device
US9590096B2 (en) 2014-12-15 2017-03-07 Infineon Technologies Americas Corp. Vertical FET having reduced on-resistance
KR102424963B1 (ko) * 2015-07-30 2022-07-25 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9620645B1 (en) * 2015-09-30 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with ultra-thin body and method for forming the same
US9825128B2 (en) 2015-10-20 2017-11-21 Maxpower Semiconductor, Inc. Vertical power transistor with thin bottom emitter layer and dopants implanted in trenches in shield area and termination rings
US9368572B1 (en) 2015-11-21 2016-06-14 International Business Machines Corporation Vertical transistor with air-gap spacer
US9741716B1 (en) 2016-09-23 2017-08-22 International Business Machines Corporation Forming vertical and horizontal field effect transistors on the same substrate
CN107316837A (zh) 2017-07-12 2017-11-03 中国科学院微电子研究所 一种cmos器件及其制造方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009088134A (ja) 2007-09-28 2009-04-23 Elpida Memory Inc 半導体装置、半導体装置の製造方法並びにデータ処理システム
US20140001569A1 (en) 2012-06-28 2014-01-02 Walid M. Hafez High voltage three-dimensional devices having dielectric liners
US20170084711A1 (en) 2015-09-21 2017-03-23 Samsung Electronics Co., Ltd. Integrated circuit device and method of manufacturing the same
CN106549017A (zh) 2015-09-21 2017-03-29 三星电子株式会社 集成电路装置及其制造方法
US20180122930A1 (en) 2016-10-28 2018-05-03 Renesas Electronics Corporation Semiconductor device and method of manufacturing the semiconductor device
JP2018073971A (ja) 2016-10-28 2018-05-10 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法

Also Published As

Publication number Publication date
WO2019116152A1 (en) 2019-06-20
US10685886B2 (en) 2020-06-16
US11244869B2 (en) 2022-02-08
CN111433905B (zh) 2023-12-22
CN111433905A (zh) 2020-07-17
GB202007421D0 (en) 2020-07-01
US20200258790A1 (en) 2020-08-13
GB2582087A (en) 2020-09-09
JP2021507507A (ja) 2021-02-22
US20190189521A1 (en) 2019-06-20
DE112018005623T5 (de) 2020-07-23
GB2582087B (en) 2022-03-30

Similar Documents

Publication Publication Date Title
US10998230B2 (en) Fabrication of self-aligned gate contacts and source/drain contacts directly above gate electrodes and source/drains
US10553493B2 (en) Fabrication of a vertical transistor with self-aligned bottom source/drain
US10418485B2 (en) Forming a combination of long channel devices and vertical transport Fin field effect transistors on the same substrate
US10236355B2 (en) Fabrication of a vertical fin field effect transistor with a reduced contact resistance
JP7271054B2 (ja) 基板上に論理デバイスおよびパワー・デバイスを形成する方法ならびに基板上の論理デバイスおよびパワー・デバイス
US10985257B2 (en) Vertical transport fin field effect transistor with asymmetric channel profile
US11251267B2 (en) Vertical transistors with multiple gate lengths
US10374083B1 (en) Vertical fin field effect transistor with reduced gate length variations
US10361200B1 (en) Vertical fin field effect transistor with integral U-shaped electrical gate connection
US11515401B2 (en) Vertical fin field effect transistor with a reduced gate-to-bottom source/drain parasitic capacitance
US10720364B2 (en) Forming vertical transistor devices with greater layout flexibility and packing density

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210518

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210525

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20220502

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220623

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220705

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20221005

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221021

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20221021

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20221205

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221206

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230411

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20230411

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230424

R150 Certificate of patent or registration of utility model

Ref document number: 7271054

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150