JP6553599B2 - 基材の選択的な研磨用の湿式法セリア組成物および関連する方法 - Google Patents

基材の選択的な研磨用の湿式法セリア組成物および関連する方法 Download PDF

Info

Publication number
JP6553599B2
JP6553599B2 JP2016521778A JP2016521778A JP6553599B2 JP 6553599 B2 JP6553599 B2 JP 6553599B2 JP 2016521778 A JP2016521778 A JP 2016521778A JP 2016521778 A JP2016521778 A JP 2016521778A JP 6553599 B2 JP6553599 B2 JP 6553599B2
Authority
JP
Japan
Prior art keywords
polishing composition
less
polishing
substrate
surfactant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016521778A
Other languages
English (en)
Other versions
JP2016539207A (ja
Inventor
ライス ブライアン
ライス ブライアン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
CMC Materials Inc
Original Assignee
Cabot Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cabot Microelectronics Corp filed Critical Cabot Microelectronics Corp
Publication of JP2016539207A publication Critical patent/JP2016539207A/ja
Application granted granted Critical
Publication of JP6553599B2 publication Critical patent/JP6553599B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B1/00Processes of grinding or polishing; Use of auxiliary equipment in connection with such processes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Description

基材の表面を平坦化または研磨するための組成物および方法は、当技術分野でよく知られている。研磨組成物(研磨スラリーとしても知られている)は、典型的には液体キャリア中に研磨材料を含んでおり、そして表面に対して、その表面を、研磨組成物で飽和された研磨パッドと接触させることによって適用される。典型的な研磨材料としては、二酸化ケイ素、酸化セリウム、酸化アルミニウム、酸化ジルコニウム、および酸化スズが挙げられる。研磨組成物は、典型的には、研磨パッド(例えば、研磨布またはディスク)と組合わせて用いられる。研磨組成物中に懸濁される代わりに、もしくは、懸濁されるのに加えて、研磨材料は、研磨パッド中に組み込むことができる。
シャロートレンチアイソレーション(STI)プロセスは、半導体装置の素子を絶縁するための方法である。STIプロセスでは、ポリシリコン層が、シリコン基材上に形成され、浅いトレンチが、エッチングやフォトリソグラフィーによって形成され、そして誘電体層(例えば、酸化物)が、そのトレンチを充填するように堆積される。この方法で形成されたトレンチの深さ、または線の多様性のために、全てのトレンチの完全な充填を確実にするために、過剰の誘電体材料を、基材の上面上に堆積することが、典型的には必要である。
過剰の誘電体材料は、次いで、典型的には、ポリシリコン層を露出させるように、化学機械平坦化プロセスによって除去される。ポリシリコン層が露出されると、化学機械研磨組成物に曝露された基材の最も大きな面積は、ポリシリコンを含み、これは次いで、高度に平坦な、そして均一な表面を得るように研磨されなければならない。従って、全体の研磨速度は、ポリシリコン層の露出で減少するので、ポリシリコン層は、化学機械平坦化プロセスの間に停止層として作用している。
STI基材は、典型的には、慣用の研磨組成物を用いて研磨される。しかしながら、慣用の研磨組成物でSTI基材を研磨することは、基材表面の過剰研磨またはSTIフィーチャの凹部の形成および他のトポグラフィカルな(topographical)欠陥、例えば基材表面上のマイクロスクラッチをもたらすことが観察されている。また、基材の過剰研磨は、酸化物の損失および下にある酸化物が曝露されての研磨もしくは化学的活性からの損傷をもたらして、それは装置品質および性能に悪影響を与える。
基材、例えば、半導体、特にはポリシリコン基材、の研磨および平坦化の間に、所望の平坦化効率、均一性、および除去速度を示し、一方で、研磨および平坦化の間の、欠陥、例えば表面欠陥および下にある構造への損傷ならびに微細構成を最小化する、研磨組成物および研磨方法への必要性がなお存在している。本発明は、そのような研磨組成物および方法を提供する。本発明のそれらの、および他の利点、ならびに更なる本発明の特徴は、ここで与えられる本発明の説明から明らかとなるであろう。
1つの態様では、本発明は、以下の(a)〜(d)を含む、から本質的になる、もしくは、からなる化学機械研磨組成物を提供する。
(a)120nm以下の平均粒子径を有する湿式法セリア研磨剤粒子、
(b)少なくとも1種のアルコールアミン、
(c)少なくとも1つの親水性部分および少なくとも1つの疎水性部分を有する少なくとも1種の界面活性剤、この界面活性剤は、1000以上の分子量を有している、ならびに、
(d)水、
ここで、この研磨組成物は、6以上のpHを有している。
他の態様では、本発明は、基材の研磨方法を提供する。本方法は、基材を、研磨パッドおよび下記の(a)〜(d)を含む研磨組成物と接触させることを含んでいる。
(a)120nm以下の平均粒子径を有する湿式法セリア研磨剤粒子、
(b)少なくとも1種のアルコールアミン、
(c)少なくとも1つの親水性部分および少なくとも1つの疎水性部分を有する少なくとも1種の界面活性剤、この界面活性剤は、1000以上の分子量を有している、ならびに、
(d)水、
ここで、この研磨組成物は、6以上のpHを有している。
図1は、ここでの例1の研磨組成物(X軸)の、テトラエチルオルト珪酸塩(TEOS)、高密度プラズマ(HDP),およびポリシリコン除去速度(Y軸)の棒グラフである。
図2は、ここでの例1の研磨組成物の、50%密度でのピッチ長さ(X軸)に対するディッシング(Y軸)の線グラフである。
図3は、ここでの例1の研磨組成物(X軸)の合計のポリシリコン損失(Y軸)のボックスプロットである。
図4は、ここでの例1の研磨組成物(X軸)の合計の引掻き傷(Y軸)のボックスプロットである。
本発明の態様では、以下の(a)〜(d)を含む、からなる、もしくはから本質的になる、化学機械研磨組成物が提供される。
(a)120nm以下の平均粒子径を有する湿式法セリア研磨剤粒子、
(b)1種もしくは2種以上のアルコールアミン、
(c)少なくとも1つの親水性部分および少なくとも1つの疎水性部分を有する少なくとも1種の界面活性剤、この界面活性剤は、1000以上の分子量を有している、ならびに、
(d)水、
ここで、この研磨組成物は、6以上のpHを有している。
そのような研磨組成物は、スラリーの形態であることができ、そして表面、例えば機械的に弱い表面(例えば、ポリシリコン)を、ここに記載したような研磨パッドを含む好適な化学機械研磨(CMP)装置で研磨するように用いることができる。
幾つかの態様では、本研磨組成物は、誘電体層(例えば、酸化物)の高い除去速度を達成する。更には、幾つかの態様では、本研磨組成物は、ポリシリコンおよび/または窒化ケイ素の低い除去速度を達成する。更に、幾つかの態様では、本研磨組成物は、誘電体層の高い除去速度を達成する一方で、ポリシリコンおよび/または窒化ケイ素の低い除去速度を同時に達成する。更に、幾つかの態様では、本研磨組成物は、誘電体層のトレンチ内で、低いディッシングを示す。ここで用いられる用語「ディッシング」は、誘電体層で充填されたトレンチ中に形成される凹部(recess)の程度を表す。ディッシングは、誘電体で充填されたトレンチと隣接するフィーチャ(例えば、ポリシリコンフィーチャ)の間の高さの差を取得することによって測定される。ディッシングは、当業者に知られている偏光解析法によって測定することができる。更には、幾つかの態様では、本研磨組成物は、基材上に存在する材料の損失において均一性を示す。ここで用いられる用語「均一性」は、基材の特定の領域(例えば、基材の端部、中央部(middle)、中心部(center))からの基材上の材料の合計の損失の尺度を表し、そしてそれぞれの領域からの測定値を比較した場合に、より近い値が、より大きな均一性に相当する。更に、幾つかの態様では、本研磨組成物は、研磨される基材上に低い欠陥を達成する。ここで用いられる用語「欠陥」は、研磨組成物で研磨された後の、基材上に存在する欠陥(例えば、引掻き傷)の数を表す。欠陥性は、当業者に知られている走査型電子顕微鏡によって測定することができる。
本化学機械研磨組成物は、セリア研磨剤を含んでいる。当業者に知られているように、セリアは、希土類元素金属セリウムの酸化物であり、そしてまた酸化セリウム(ceric oxide)、酸化セリウム(cerium oxide)(例えば、セリウム(IV)酸化物)、または二酸化セリウムとしても知られている。セリウム(IV)酸化物(CeO)は、シュウ酸セリウムまたは水酸化セリウムを焼成することによって形成することができる。また、セリウムは、セリウム(III)酸化物、例えばCeを形成する。セリア研磨剤は、セリアのそれらの、または他の酸化物のいずれかの1種もしくは2種以上であることができる。
セリア研磨剤は、いずれかの好適な種類であることができる。好ましくは、セリア研磨剤は、湿式法セリアである。ここで用いられる「湿式法」セリアは、沈降、縮合重合、または同様のプロセスによって調製されたセリアを表す(対立するものとして、例えば、ヒュームドまたは熱分解法セリア)。例えば、幾つかの態様では、湿式法セリアは、セリウム含有前駆体を、pHおよび圧力を調整して、沈降を制御して、制御された粒子径を得ることによって沈降させることによって形成することができる。従って、焼成プロセスが、典型的には、セリア含有前駆体から酸化セリウムを分離し、そしてアニールして所望の結晶性を得る乾式法とは対照的に、湿式法技術は、この方法で、粒子の成長を制御することによってより小さな粒子をもたらすことができる。
湿式法セリア研磨剤を含む本発明の研磨組成物は、本発明の方法によって基材を研磨するのに用いられた場合には、より低い欠陥を示すことが典型的には見出された。特定の理論によって拘束されることは望まないが、湿式法セリアは、実質的に球形のセリア粒子および/またはより小さなセリア粒子を含んでおり、それによって本発明の方法に用いられた場合には、より低い基材の欠陥性をもたらすことが信じられる。ここに記載された湿式法セリア粒子は、基材、例えばウエハと、より小さな運動量およびエネルギーで接触し、それによって欠陥、例えば引掻き傷の大きさおよび頻度を低減させることが、更に信じられる。例となる湿式法セリアとしては、Rhodia(Cranbury、ニュージャージー州)から商業的に入手可能なHC-60(商標)セリアがある。
非球形粒子では、粒子のサイズは、その粒子を取り囲む最小の球の直径である。ここで用いられる修飾されていない用語「粒子径」は、一次粒子および二次粒子の一方または両方を表すことができる。一次粒子は、水性媒体(例えば、水)中に分散されたこれらの個々のセリア粒子を表し、一方で、二次粒子は、水中で互いに融合した個々のセリア粒子の凝集体を表す。粒子サイズは、好適な技術を用いて、例えば、当業者に知られているレーザー回折技術を用いて、測定することができる。
セリア研磨剤粒子は、150nm以下の、いずれかの好適な平均粒子径を有することができる。例えば、セリア研磨剤粒子は、150nm以下、例えば140nm以下、130nm以下、115nm以下、110nm以下、100nm以下、90nm以下、80nm以下、70nm以下、60nm以下、50nm以下、40nm以下、30nm以下、20nm以下、10nm以下、5nm以下、3nm以下、2nm以下、1nm以下、または0.1nm以下の平均粒子径を有することができる。上記の端点のそれぞれは、下限値、例えば、0.1nm〜150nmの範囲で、数値的に適当であれば、例えば0.1nm、1nm、2nm、3nm、4nm、5nm、6nm、7nm、10nm、20nm、30nm、50nm、70nm、100nm、110nm、120nm、130nm、140nm、または150nmの下限値を有することができる。例えば、セリア研磨剤粒子は、0.1nm〜150nm、例えば10nm〜120nm、50nm〜70nm、40nm〜80nm、7nm〜90nm、5nm〜30nm、または20nm〜110nmなどの平均粒子径を有することができる。好ましくは、セリア研磨剤粒子は、120nm以下の平均粒子径を有している。
幾つかの態様では、研磨剤粒子は、85nm以下の平均一次粒子径を有することができる。セリア研磨材粒子は、85nm以下、例えば80nm以下、75nm以下、65nm以下、60nm以下、55nm以下、50nm以下、45nm以下、40nm以下、30nm以下、20nm以下、10nm以下、5nm以下、3nm以下、1nm以下、または0.1nm以下のいずれかの好適な平均一次粒子径を有することができる。上記の端点のそれぞれは、下限値、例えば0.1nm〜85nmの範囲で、数値的に適当であれば、0.1nm、1nm、2nm、3nm、4nm、5nm、6nm、7nm、10nm、12nm、15nm、20nm、30nm、40nm、50nm、または65nmの下限値を有することができる。例えば、セリア研磨剤粒子は、0.1nm〜85nm、例えば10nm〜30nm、5nm〜50nm、3nm〜45nm、40nm〜55nm、12nm〜20nm、または15nm〜65nmの平均一次粒子径を有することができる。好ましくは、セリア研磨剤粒子は、65nm以下の平均一次粒子径を有している。
セリア研磨材粒子は、150nm以下の、いずれかの好適な平均二次粒子径を有することができる。例えば、セリア研磨剤粒子は、150nm以下、例えば140nm以下、130nm以下、115nm以下、110nm以下、または100nm以下の平均二次粒子径を有することができる。上記の端点のそれぞれは、下限値を、例えば0.1nm〜150nmの範囲で、数値的に適当であれば、70nm、80nm、90nm、100nm、110nm、120nm、130nm、140nm、または150nmの下限値を有することができる。例えば、セリア研磨剤粒子は、100nm〜150nm、例えば110nm〜140nm、または120nm〜130nmの平均二次粒子径を有することができる。好ましくは、セリア研磨剤粒子は、120nm以下の平均二次粒子径を有している。
幾つかの態様によれば、セリア研磨剤粒子は、研磨組成物中に集塊を実質的に含まない。集塊は、研磨組成物中により大きな粒子径を生成し、従って研磨組成物で研磨されている基材表面上により高い衝突衝撃を生み出す。従って、集塊は、基材表面上により高い欠陥性をもたらす可能性がある。ここで用いられる用語「実質的に含まない」は、集塊がないか、または実体のない量の集塊を意味している。実体のない量の集塊は、例えば、研磨組成物中の全てのセリア粒子が1質量%以下、例えば、0.5質量%以下、0.1質量%以下、0.01質量%以下、0.001質量%以下、または0.0001質量%以下であることができる。
セリア粒子は、本発明の研磨組成物中で、好ましくはコロイド状に安定である。用語コロイドは、液体キャリア中の研磨剤粒子の懸濁液を表している。コロイド状に安定は、経時的な懸濁液の維持を表している。本発明の文脈では、セリア研磨剤は、研磨剤が100mLのメスシリンダ中に置かれ、そして無撹拌で2時間静置させ、メスシリンダの底部50mL中の粒子の濃度(g/mLで[B])と、メスシリンダの上部50mL中の粒子の濃度(g/mLで[T])との間の差異を、研磨剤組成物中の初期の粒子濃度(g/mLで[C])によって割り算して、0.5以下(すなわち、{[B]−{T}}/[C]≦0.5)である場合に、コロイド状に安定であると考えられる。より好ましくは、[B]−[T]/[C]の値は、0.3以下であり、そして最も好ましくは0.1以下である。
セリア研磨剤粒子は、いずれかの好適な量で、研磨組成物中に存在することができる。本発明の研磨組成物が、少な過ぎるセリア研磨剤を含む場合には、その組成物は、十分な除去速度を示さない可能性がある。反対に、研磨組成物が多過ぎるセリア研磨剤を含む場合には、研磨組成物は、望ましくない研磨性能を示す可能性があり、および/または費用効率が高くない可能性があり、および/または安定性を欠く可能性がある。有利には、幾つかの態様では、セリア研磨剤粒子は、しばしば10〜12質量%の固形分を超える慣用の系に比較して、より小さい固形分濃度で存在する。本発明の態様による、より少ない量のセリア研磨剤粒子の使用は、より低い欠陥性および実質的な費用節約をもたらす可能性がある。
例えば、セリア研磨剤粒子は、0.0005質量%以上、例えば0.001質量%以上、0.0005質量%以上、0.01質量%以上、0.05質量%以上、0.1質量%以上、または0.5質量%以上の濃度で存在することができる。あるいは、もしくは、加えて、セリア研磨剤粒子は、10質量%以下、例えば9質量%以下、8質量%以下、7質量%以下、5質量%以下、3質量%以下、2質量%以下、または1質量%以下の濃度で研磨剤組成物中に存在することができる。従って、研磨組成物は、上記の端点のいずれか2つによって拘束される範囲内の濃度でセリア研磨剤粒子を含むことができる。例えば、セリア研磨剤粒子は、0.005質量%〜10質量%、例えば0.1質量%〜1質量%、0.01質量%〜3質量%、0.005質量%〜7質量%、0.05質量%〜9質量%、0.5質量%〜8質量%、0.001質量%〜5質量%の濃度で存在することができる。好ましくは、セリア研磨剤粒子は、研磨組成物中に0.001質量%〜2質量%の濃度で存在する。幾つかの態様では、セリア研磨剤粒子は、1質量%以下、例えば0.1質量%〜1質量%、例えば0.1質量%〜0.7質量%、0.1質量%〜0.5質量%、0.1質量%〜0.3質量%(例えば0.2質量%)の量で存在する。
幾つかの態様では、研磨されている基材の表面特性を修正して、基材表面を、研磨剤粒子との相互作用により受容的とするように、アルコールアミンが研磨組成物中に含まれる。研磨組成物のpHは、研磨組成物と研磨されている基材の表面の間の相互作用を定めるのに重要な役割を果たす。アルコールアミンが、幾つかの態様では、セリア研磨剤粒子を不安定化することなく、研磨組成物のpHを少なくとも約6、7またはそれ以上のpH(例えば、6〜11のpH)に増加させるのを促進するように含まれる。この点において、未変性のセリア粒子は、より低いpH(例えば、4)を有する可能性があり、そしてアルコールアミンは、研磨組成物中で一次pH調節剤として作用することができ、6のpHでは、溶液から沈降する凝集した粒子の形態への粒子の成長を妨げる。従って、アルコールアミンの存在は、セリア研磨剤粒子の集塊の開始および、さもなければ6のpHで発生する可能性があるその沈殿を低減することができる。
研磨組成物のpHは、少なくとも6以上、例えば6.5以上、7以上、7.5以上、8以上、8.5以上、9以上、9.5以上、または10以上のいずれかの好適なpHであることができる。更に、研磨組成物のpHは、14以下、例えば13.5以下、13以下、12.5以下、12以下、11.5以下、11以下、10.5以下、10以下、9.5以下、9以下、8.5以下、または8以下であることができる。従って、研磨組成物のpHは、上記の端点のいずれかによって拘束された範囲内であることができる。例えば、研磨組成物のpHは、6〜14、例えば6〜10、6〜8、6〜7、7〜14、7〜10、または8〜12であることができる。
アルコールアミンがこのpH範囲内で基材と相互作用するためには、幾つかの態様では、アルコールアミンは、望ましくは7〜11、例えば7.5〜10、例えば8〜9のpKa(水中)を有する官能基を有しており、例えば、それによってアルコールアミンは水中で塩基として作用する。幾つかの態様では、アルコールアミンは、6〜10、例えば7.5〜9、例えば6.5〜7の等電点(pKi、pIとも表される)を有している。
アルコールアミンは、いずれかの好適なアルコールアミンであることができる。好ましくは、アルコールアミンは、2−ジメチルアミノ−2−メチルプロパノール(DMAMP)、トリエタノールアミン、ジエタノールアミン、エタノールアミン、2−アミノ−2−2メチル−1,3−プロパンジオール、それらのビス−トリス、トリス、共形成生成物、またはそれらの組合わせである。
アルコールアミンは、いずれかの好適な濃度で存在することができる。例えば、アルコールアミンは、0.0005質量%以上、例えば0.005質量%以上、0.01質量%以上、0.05質量%以上、0.1質量%以上、または0.5質量%以上の濃度で存在することができる。あるいは、もしくは、加えて、アルコールアミンは、研磨組成物中に、5質量%以下、例えば4質量%以下、3質量%以下、2質量%以下、または1質量%以下の濃度で存在することができる。従って、アルコールアミンは、研磨組成物中に、上記の端点のいずれか2つによって拘束される範囲内の濃度で存在することができる。例えば、アルコールアミンは、0.005質量%〜5質量%、例えば0.01質量%〜3質量%、0.1質量%〜2質量%、0.005質量%〜4質量%、または0.05質量%〜1質量%の濃度で存在することができる。好ましくは、アルコールアミンは、研磨組成物中に、0.001質量%〜1質量%の濃度で存在する。
研磨組成物のpHは、いずれかの好適な手段によって達成および/または維持することができる。より具体的には、研磨組成物は、単独で、またはアルコールアミン、pH緩衝剤、もしくはそれらの組わせと併せて用いられる、第2のpH調整剤を更に含むことができる。第2のpH調整剤は、いずれかの好適なpH調整化合物、例えばいずれかの好適な酸であることができる。典型的には、酸は、酢酸、硝酸、リン酸、シュウ酸、およびそれらの組合わせである。好ましくは、酸は、硝酸である。第2のpH調整剤は、代替的には塩基であることができる。塩基は、いずれかの好適な塩基であることができる。典型的には、塩基は、水酸化カリウム、水酸化アンモニウム、およびそれらの組合わせである。pH緩衝剤は、いずれかの好適な緩衝剤であることができる。例えば、pH緩衝剤は、リン酸塩、硫酸塩、酢酸塩、ホウ酸塩、アンモニウム塩などであることができる。研磨組成物は、好適な量が、ここで説明したpH範囲内に、研磨組成物のpHを達成および/または維持するのに用いられる限りにおいて、いずれかの好適な量のpH調整剤および/またはpH緩衝剤を含むことができる。
少なくとも1つの親水性部分および少なくとも1つの疎水性部分を含む界面活性剤は、いずれかの好適な界面活性剤であることができる。例えば、少なくとも1つの親水性部分および少なくとも1つの疎水性部分を含む界面活性剤は、1000以上のいずれかの好適な分子量を有することができる。例えば、界面活性剤は、1,500以下、例えば1,400以下、1,300以下、1,250以下、1,200以下、1,150以下、1,100以下、または1,050以下の分子量を有することができる。あるいは、もしくは、加えて、界面活性剤は、600以上、例えば700以上、750以上、800以上、850以上、900以上、950以上、または1,000以上の分子量を有することができる。従って、界面活性剤は、上記の端点のいずれかの2つによって拘束された範囲の分子量を有することができる。例えば、界面活性剤は、600〜1,500、700〜1,400、800〜1,150、900〜1,100、または850〜1,050の分子量を有することができる。
幾つかの態様では、少なくとも1つの親水性部分および少なくとも1つの疎水性部分を含む界面活性剤は、250〜7,500の分子量を有している。界面活性剤は、250〜7,500のいずれかの好適な分子量を有することができる。例えば、界面活性剤は、50以上、例えば100以上、150以上、200以上、400以上、500以上、1,000以上、2,500以上、3,000以上、3,500以上、4,000以上、または4,500以上の分子量を有することができる。あるいは、もしくは、加えて、界面活性剤は、10,000以下、例えば9,000以下、8,000以下、7,000以下、6,500以下、6,000以下、5,500以下、または5,000以下の分子量を有することができる。従って、界面活性剤は、上記の端点のいずれか2つによって拘束された範囲の分子量を有することができる。好ましくは、界面活性剤は、50〜10,000、例えば300〜7,000、400〜9,000、2,500〜6,000、500〜6,500、3,000〜5,000、または3,500〜5,500の分子量を有することができる。好ましくは、少なくとも1つの親水性部分および少なくとも1つの疎水性部分を含む界面活性剤は、500〜5,000の分子量を有している。
少なくとも1つの親水性部分および少なくとも1つの疎水性部分を含む界面活性剤は、0.0005質量%以上、例えば0.005質量%以上、0.01質量%以上、0.05質量%以上、0.1質量%以上、または0.5質量%以上の濃度で存在することができる。あるいは、もしくは、加えて、界面活性剤は、研磨組成物中に、5質量%以下、例えば4質量%以下、3質量%以下、2質量%以下、または1質量%以下の濃度で存在することができる。従って、界面活性剤は、研磨組成物中に、上記の端点のいずれか2つによって拘束された範囲内の濃度で存在することができる。例えば、界面活性剤は、0.005質量%〜5質量%、例えば0.01質量%〜3質量%、0.1質量%〜2質量%、0.005質量%〜4質量%、または0.05質量%〜1質量%の濃度で存在することができる。好ましくは、界面活性剤は、研磨組成物中に、0.001質量%〜1質量%の濃度で存在する。
随意選択的に、幾つかの態様では、少なくとも1つの親水性部分および少なくとも1つの疎水性部分を含む界面活性剤は、式A−R−Cを有することができ、ここでAおよびCは親水性部分であり、そしてRは、疎水性部分である。
幾つかの態様では、研磨組成物中で用いられる式A−R−Cを備えた界面活性剤は、二官能性であることができ、AおよびCは、同じまたは異なる部分を含んでいる。ここで用いられる用語「二官能性」は、少なくとも2つの官能基を有する分子を表す。幾つかの態様では、二官能性化合物は、例えば、下記の式Iに見られるように、両端にカルボキシレート基を含み、その間に疎水性のスペーサを有する。
幾つかの態様では、AおよびCの少なくとも一方は、エステル、カルボキシル、およびアルコール官能基またはいずれかの併産物(co-product)またはそれらの組合わせの少なくとも1種を含んでいる。
幾つかの態様では、AおよびCの少なくとも一方は、第一級炭素に結合されたアルコール官能基を含んでいる。アルコール官能基は、いずれかの好適なアルコール官能基であることができる。例えば、アルコール官能基は、メタノール、エタノール、イソプロピル アルコール、ブチルアルコール、ペンタノール、ヘキサデカン−l−オール、エタン−1,2−ジオール、プロパン−1,2−ジオール、プロパン−l,2,3−トリオール、ブタン−1,2,3,4−テトラオ−ル、ペンタン−l,2,3,4,5−ペントール、ヘキサン−1,2,3,4,5,6−ヘキソール、ヘプタン−1,2,3,4,5,6,7−ヘプトール(heptol)、それらの共同形成された生成物、またはそれらの組み合わせを含むことができる。
幾つかの態様では、AおよびCの少なくとも一方は、第三級炭素に結合したカルボキシル官能基を含んでいる。カルボキシル官能基基は、いずれかの好適なカルボキシル官能基であることができる。例えば、カルボキシル官能基は、ギ酸、酢酸、プロピオン酸、酪酸、吉草酸、カプロン酸、エナント酸、カプリル酸、ペラルゴン酸、カプリン酸、ラウリン酸、パルミチン酸、ステアリン酸、アクリル酸、ドコサヘキサエン酸、エイコサペンタエン酸、アセト酢酸安息香酸、サリチル酸、アルダル酸、シュウ酸、マロン酸、リンゴ酸、コハク酸、グルタル酸、アジピン酸、クエン酸、イソクエン酸、アコニット酸、トリカルバリル酸、カルバリル酸(carballylic acid)、乳酸、および酒石酸を含むことができる。
幾つかの態様では、界面活性剤は、ポリオールである。幾つかの態様では、ポリオールは、2つの末端にカルボキシレートおよび/またはアルコール官能基を含み、その間に調節可能な疎水性スペーサを有する。例えば、幾つかの態様では、少なくとも1つの親水性部分および少なくとも1つの疎水性部分を含む界面活性剤は、以下の化学式(式I)のポリオールである。
幾つかの態様では、Rは50〜15,000の分子量を有している。Rは、50〜15,000のいずれかの好適な分子量を有することができる。例えば、Rは、25以上、例えば50以上、60以上、100以上、200以上、500以上、750以上、1,000以上、2,500以上、5,000以上、7,000以上、8,500以上、または10,000以上の分子量を有することができる。あるいは、もしくは、加えて、Rは、15,000以下、例えば14,000以下、13,000以下、12,500以下、11,500以下、11,000以下、10,500以下の分子量を有することができる。従って、Rは、上記の端点のいずれか2つによって拘束された範囲の分子量を有することができる。例えば、Rは、25〜15,000、例えば1,000〜13,000、100〜12,500、7,000〜11,500、または5,000〜11,000の分子量を有することができる。好ましくは、Rは、100〜10,000の分子量を有している。
ブタンジオールおよびヘキサンジオールは、本発明の幾つかの態様によれば、望ましくない界面活性剤である。従って、幾つかの態様では、研磨組成物は、ジオール、例えばブタンジオールおよびヘキサンジオールを実質的に含まない。ここで用いられる用語「実質的に含まない」は、そのようなジオールが零、または実体のない量を意味している。集塊の実態のない量は、研磨組成物の5質量%以下、例えば4質量%以下、3質量%以下、2質量%以下、1質量%以下、または0.5質量%以下、または0.1質量%以下であることができる。
随意選択的に、幾つかの態様では、研磨組成物は、1種もしくは2種以上のノニオン性界面活性剤を含むことができる。ノニオン性界面活性剤は、いずれかの好適なノニオン性界面活性剤であることができる。好ましくは、ノニオン性界面活性剤は、ポリソルベート、ポリソルベート20、ポリソルベート60、ポリソルベート65、ポリソルベート80、ポリソルベート85、ソルビタン、ポリオキシエチレンエーテル、エトキシレート、アクリル、ポリエーテルポリオール、hydropalat 3233、ソルビタンモノラウレート、ポリオキシエチレン(40)ノニルフェニルエーテル、ペンタエリトリトールエトキシレート、グリセロールプロポキシレート−ブロック−エトキシレート、アクリル共重合体、ポリプロピレンオキシド系トリオール、それらの共形成生成物、またはそれらの組み合わせである。いくつかの態様では、ノニオン性ポリマーは、界面活性剤および/または湿潤剤として機能する。ノニオン性界面活性剤の存在は、ポリシリコンの除去速度を低下させる一方で、誘電体層(例えば、酸化物)の有用な除去速度を有利に可能にする。更には、ノニオン性界面活性剤の存在は、本発明の幾つかの態様では、低いディッシングを可能にする。更に、本発明の幾つかの態様では、ノニオン性界面活性剤の存在は、研磨されている基材の低い欠陥性を可能にする。
研磨組成物中に存在するのであれば、ノニオン性界面活性剤は、研磨組成物中にいずれかの好適な濃度で存在することができる。例えば、ノニオン性界面活性剤は、0.0005質量%以上、例えば0.005質量%以上、0.01質量%以上、0.05質量%以上、0.1質量%以上、または0.5質量%以上の濃度で存在することができる。あるいは、もしくは、加えて、ノニオン性界面活性剤は、研磨組成物中に、5質量%以下、例えば4質量%以下、3質量%以下、2質量%以下、または1質量%以下の濃度で存在することができる。従って、ノニオン性界面活性剤は、研磨組成物中に、上記の端点のいずれか2つによって拘束された濃度で存在することができる。例えば、ノニオン性界面活性剤は、0.005質量%〜5質量%、例えば0.01質量%〜3質量%、0.1質量%〜2質量%、0.005質量%〜4質量%、または0.05質量%〜1質量%の濃度で存在することができる。好ましくは、ノニオン性界面活性剤は、研磨組成物中に、0.001質量%〜1.0質量%の濃度で存在する。
ノニオン性界面活性剤は、いずれかの好適な親水性親油性バランス(HLB)を有することができる。例えば、ノニオン性界面活性剤は、3以上、例えば4以上、5以上、5以上、7以上、8以上、9以上、10以上、または11以上のHLBを有することができる。あるいは、もしくは、加えて、ノニオン性界面活性剤は、22以下、例えば21以下、20以下、19以下、18以下、17以下、16以下、15以下、14以下、13以下、または12以下のHLBを有することができる。従って、ノニオン性界面活性剤は、上記の端点のいずれか2つによって拘束された範囲のHLBを有することができる。例えば、ノニオン性界面活性剤は、3〜22、4〜21、5〜20、6〜19、10〜13、または8〜15のHLBを有することができる。好ましくは、ノニオン性界面活性剤は、7〜18のHLBを有している。
随意選択的に、幾つかの態様では、研磨組成物は、1種もしくは2種以上の増粘剤を含むことができる。増粘剤は、例えば、ディッシング低減剤として作用するように含むことができる。増粘剤は、いずれかの好適な増粘剤であることができる。好ましくは、増粘剤は、セルロース化合物、デキストラン、ポリビニルアルコール、カラギーナン、キトサン、ヒドロキシエチルセルロース、カルボキシエチルセルロース、ヒドロキシメチルセルロース、メチルセルロース、ヒドロキシプロピルセルロース、それらの共形成生成物、またはそれらの組み合わせである。
研磨組成物中に存在するのであれば、増粘剤は、研磨組成物中にいずれかの好適な濃度で存在することができる。例えば、増粘剤は、0.0005質量%以上、例えば0.005質量%以上、0.01質量%以上、0.05質量%以上、0.1質量%以上、または0.5質量%以上の濃度で存在することができる。あるいは、もしくは、加えて、増粘剤は、5質量%以下、例えば4質量%以下、3質量%以下、2質量%以下、または1質量%以下の濃度で研磨組成物中に存在することができる。従って、増粘剤は、上記の端点のいずれか2つによって拘束された範囲の濃度で、研磨組成物中に存在することができる。例えば、増粘剤は、0.005質量%〜5質量%、例えば0.01質量%〜3質量%、0.1質量%〜2質量%、0.005質量%〜4質量%、または0.05質量%〜1質量%の濃度で存在することができる。好ましくは、増粘剤は、0.001質量%〜1質量%の濃度で、研磨組成物中に存在することができる。
随意選択的に、幾つかの態様では、研磨組成物は、例えば、除去速度促進剤、欠陥低減剤、またはその両方として作用する、1種もしくは2種以上のカチオン性ポリマーを含むことができる。カチオン性ポリマーは、いずれかの好適なカチオン性ポリマーであることができる。好ましくは、カチオン性ポリマーは、ポリ(メタクリルオキシエチルトリメチルアンモニウム)クロリド(polyMADQUAT)、ポリ(ジアリルジメチルアンモニウム)クロリド(polyDADMAC)、ポリ(アクリルアミド)、ポリ(アリルアミン)、ポリ(ビニルイミダゾリウム)(poly(vinylimidazolium))、ポリ(ビニルピリジウム)、それらの共形成生成物またはそれらの組合わせである。
研磨組成物中に存在するのであれば、カチオン性ポリマーは、研磨組成物中にいずれかの好適な濃度で存在することができる。例えば、カチオン性ポリマーは、0.0005質量%以上、例えば0.005質量%以上、0.01質量%以上、0.05質量%以上、0.1質量%以上、または0.5質量%以上の濃度で存在することができる。あるいは、もしくは、加えて、カチオン性ポリマーは、研磨組成物中に、5質量%以下、例えば4質量%以下、3質量%以下、2質量%以下、または1質量%以下の濃度で存在することができる。従って、カチオン性ポリマーは、研磨組成物中に、上記の端点のいずれか2つによって拘束された範囲の濃度で存在することができる。例えば、カチオン性ポリマーは、0.005質量%〜5質量%、例えば0.01質量%〜3質量%、0.1質量%〜2質量%、0.005質量%〜4質量%、または0.05質量%〜1質量%の濃度で存在することができる。好ましくは、カチオン性ポリマーは、研磨組成物中に、0.001質量%〜1質量%の濃度で存在する。
随意選択的に、研磨組成物は、1種もしくは2種以上の添加剤を更に含んでいる。例示的な添加剤としては、調整剤、酸(例えば、スルホン酸)、錯化剤(例えば、アニオン性ポリマー錯化剤)、キレート化剤、殺生物剤、スケール抑制剤、分散剤などが挙げられる。
殺生物剤は、いずれかの好適な殺生物剤であることができ、そして研磨組成物中にいずれかの好適な量で存在することができる。好適な殺生物剤は、イソチアゾリノン(isothiazolinone)殺生物剤である。研磨組成物中に用いられる殺生物剤の量は、典型的には1〜50ppm、好ましくは10〜20ppmである。
研磨組成物は、いずれかの好適な技術によって調製することができ、その多くは当業者に知られている。研磨組成物は、バッチまたは連続プロセスによって調製することができる。通常は、研磨組成物は、ここに記載された成分を、いずれかの順序で組み合わせることによって調製することができる。ここで用いられる用語「成分」は、個々の成分(例えば、セリア研磨剤、アルコールアミン、少なくとも1つの親水性部分および少なくとも1つの疎水性部分を含む界面活性剤、水、随意選択的なノニオン性界面活性剤、随意選択的な増粘剤、随意選択的なカチオン性ポリマーおよび/またはいずれかの随意選択的な添加剤)ならびに、成分のいずれかの組合わせ(例えば、セリア研磨剤、アルコールアミン、少なくとも1つの親水性部分および少なくとも1つの疎水性部分を含む界面活性剤、水、随意選択的なノニオン性界面活性剤、随意選択的な増粘剤、随意選択的なカチオン性ポリマーなど)を含んでいる。
例えば、研磨組成物は、(i)液体キャリアの全てまたは一部を準備すること、(ii)分散液を調製するためのいずれかの好適な手段を用いて、液体キャリア中に、セリア研磨剤、アルコールアミン、少なくとも1つの親水性部分および少なくとも1つの疎水性部分を含む界面活性剤、水、随意選択的なノニオン性界面活性剤、随意選択的な増粘剤、随意選択的なカチオン性ポリマーおよび/またはいずれかの随意選択的な添加剤を分散させること、(iii)分散液のpHを必要に応じて調整すること、ならびに(iv)随意選択的に、好適な量のいずれかの他の随意選択的な成分および/または添加剤を混合物に加えること、によって調製することができる。
あるいは、研磨組成物は、(i)酸化セリウムスラリー中に、1種もしくは2種以上の成分(例えば、少なくとも1つの親水性部分および少なくとも1つの疎水性部分を含む界面活性剤、水、随意選択的なノニオン性界面活性剤、随意選択的な増粘剤、随意選択的なカチオン性ポリマーおよび/またはいずれかの随意選択的な添加剤)を準備すること、(ii)添加剤溶液中に1種もしくは2種以上の成分を準備すること(例えば、少なくとも1つの親水性部分および少なくとも1つの疎水性部分を含む界面活性剤、水、随意選択的なノニオン性界面活性剤、随意選択的な増粘剤、随意選択的なカチオン性ポリマーおよび/またはいずれかの随意選択的な添加剤)、(iii)酸化セリウムスラリーと添加剤溶液を混合して、混合物を形成すること、(iv)随意選択的に、好適な量のいずれかの他の随意選択的な添加剤を混合物に加えること、ならびに(v)混合物のpHを必要に応じて調整することによって調製することができる。
研磨組成物は、セリア研磨剤、アルコールアミン、少なくとも1つの親水性部分および少なくとも1つの疎水性部分を含む界面活性剤、随意選択的なノニオン性界面活性剤、随意選択的な増粘剤、随意選択的なカチオン性ポリマーおよび/またはいずれかの随意選択的な添加剤、ならびに水を含む1液型系として供給することができる。あるいは、本発明の研磨組成物は、酸化セリウムスラリーおよび添加剤溶液を含む2液型系として供給することができ、ここで酸化セリウムスラリーは、セリア研磨剤、少なくとも1つの親水性部分および少なくとも1つの疎水性部分を含む界面活性剤、辞意選択的なノニオン性界面活性剤、随意選択的な増粘剤、随意選択的なカチオン性ポリマーおよび/または随意選択的な添加剤から本質的になる、または、からなっている。2液型の系は、2つのパッケージ、すなわち酸化セリウムスラリーおよび添加剤溶液の混合比を変化させることによって、基材の全体的な平坦化特性および研磨速度の調整を可能にさせる。
そのような2液型の研磨系を用いるのに、種々の方法を用いることができる。例えば、酸化セリウムスラリーおよび添加剤溶液を、供給パイプの出口で結合され、そして連結された異なるパイプによって、研磨台に供給することができる。酸化セリウムスラリーおよび添加剤溶液は、研磨の直ぐ前または直前に混合することができ、あるいは研磨台に同時に供給することができる。更には、2液型が混合された場合には、所望により、研磨組成物を調整し、そして基材研磨特性をもたらすように、脱イオン水を加えることができる。
同様に、3、4または5液型以上の系を本発明と関連して用いることができ、複数の容器のそれぞれは、本発明の化学機械研磨組成物の異なる成分を、1種もしくは2種以上の随意選択的な成分を、および/または1種もしくは2種以上の同じ成分を異なる濃度で、含んでいる。
2つもしくは3つ以上の貯蔵装置中に容れられた成分を混合して、使用の地点で、または使用の地点の近傍で研磨組成物を生成させるために、貯蔵装置は、典型的には、それぞれの貯蔵装置から研摩組成物の使用の地点(例えば、プラテン、研磨パッド、または基材表面自体)へと導く、1つもしくは2つ以上の流れ経路が与えられている。ここで用いられる用語「使用の地点」は、研磨組成物が基材表面に適用される地点(例えば、研磨パッドまたは基材表面自体)を表している。用語「流れ経路」は、個々の貯蔵容器から、そこに貯蔵された成分の使用の地点への流れの流路を意味している。流れ経路は、それぞれ使用の地点に直接に導くことができるか、または2つもしくは3つ以上の流れ経路を、いずれかの地点で、使用の地点に導く単一の流れ経路中に組み合わせることができる。更には、いずれかの流れ経路(例えば、個々の流れ経路または組み合わされた流れ経路)を、成分の使用の地点に到達する前に、先ず1つもしくは2つ以上の他の装置(例えば、ポンプ装置、計量装置、混合装置など)に導くことができる。
研磨組成物の成分は、使用の地点に独立に供給することができ(例えば、成分は基材表面に供給され、そこで成分は研磨プロセスの間に混合される)、または1種もしくは2種以上の成分は、使用の地点への供給の前に、例えば使用の地点への供給の直ぐ前、もしくは直前に、混合することができる。成分が、プラテン上で、混合された形態に、加えられる5分間以内前に、例えばプラテン上で、混合された形態に、加えられる4分間以内、3分間以内、2分間以内、1分間以内、45秒間以内、30秒間以内、10秒間以内前に、或いは使用の地点で成分の供給と同時に(例えば、成分は分配器で混合される)混合される場合には、成分は「使用の地点への供給の直前に」混合される。また、成分が、使用の地点の5m以内で、例えば使用の地点の1m以内で、あるいは使用の地点の10cm以内で(例えば、使用の地点の1cm以内で)混合される場合には、成分は、「使用の地点への供給の直前に」混合される。
研磨組成物の2種もしくは3種以上の成分が、使用の地点に到達する前に混合された場合には、それらの成分は、流れ経路の中で混合することができ、そして混合装置の使用なしに、使用の地点へと供給される。あるいは、1種もしくは2種以上の流れ経路を、2種もしくは3種以上の成分の混合を促進するように、混合装置中に導くことができる。いずれかの好適な混合装置を用いることができる。例えば、混合装置は、ノズルまたはジェット(例えば、高圧ノズルまたはジェット)であることができ、それを通して2種もしくは3種以上の成分が流れる。あるいは、混合装置は、1つもしくは2つ以上の入口(それによって、研磨スラリーの2種もしくは3種以上の成分が混合機へと導入される)、および少なくとも1つの出口(それを通して混合された成分が混合機を出て、直接に、または装置の他の要素を経由して(例えば、1つもしくは2つ以上の流れ経路を経由して)使用の地点に供給される))を含む容器型の混合装置であることができる。更に、混合装置は、2つ以上のチャンバーを含むことができ、それぞれのチャンバーは、少なくとも1つの入口および少なくとも1つの出口を有しており、2種もしくは3種以上の成分がそれぞれのチャンバー中で混合される。容器型の装置が用いられる場合には、混合装置は、それらの成分の混合を更に促進するように、好ましくは混合機構を含んでいる。混合機構は、当技術分野で通常知られており、そして攪拌機(stirrers)、混合機、攪拌機(agitators)、翼付きバッフル、ガススパージャーシステム、振とう機などが挙げられる。
また、研磨組成物は、濃縮物として提供することができ、濃縮物は、使用の前に適切な量の水で希釈されることが意図されている。そのような態様では、研磨組成物濃縮物は、研磨組成物の成分を、濃縮物を適切な量の水で希釈した場合に、研磨組成物のそれぞれの成分が、研磨組成物中に、それぞれの成分について上記した適切な範囲内の量で存在するような量で含んでいる。例えば、セリア研磨剤、アルコールアミン、少なくと1つの親水性部分および少なくとも1つの疎水性部分を含む界面活性剤、随意選択的なノニオン性界面活性剤、随意選択的な増粘剤、随意選択的なカチオン性ポリマーおよび/またはいずれかの随意選択的な添加剤は、濃縮物中に、それぞれの成分について上記した濃度よりも2倍大きい(例えば、3倍、4倍、または5倍の)量でそれぞれ存在することができ、それによって、濃縮物が等体積の水(例えば、それぞれ2等体積の水、3等体積の水、または4等体積の水)で希釈された場合に、それぞれの成分が、研磨組成物中に、それぞれの成分について上記で説明した範囲内の量で存在するようになる。更に、当業者に理解されるように、セリア研磨剤、アルコールアミン、少なくとも1つの親水性部分および少なくとも1つの疎水性部分を含む界面活性剤、随意選択的なノニオン性界面活性剤、随意選択的な増粘剤、随意選択的なカチオン性ポリマーおよび/またはいずれかの随意選択的な添加剤が、濃縮物中に少なくとも部分的に、または完全に溶解されるように、濃縮物は、最終的な研磨組成物中に存在する水の適切な割合を含むことができる。
また、本発明の態様では、ここで記載された研磨組成物の態様で、基材を研磨する方法を提供する。基材の研磨方法は、(i)基材を準備すること、(ii)研磨パッドを準備すること、(iii)本発明の態様による研磨組成物を準備すること、(iv)基材を、研磨パッドおよび研磨組成物と接触させること、ならびに(v)研磨パッドおよび研磨組成物を、基材に対して動かして、基材の少なくとも1部を削り取って基材を研磨すること、を含んでいる。
特に、本方法の幾つかの態様は、基材を、研磨パッドならびに、(a)120nm以下の平均粒子径を有する湿式法セリア研磨剤粒子、(b)少なくとも1種のアルコールアミン、(c)少なくとも1つの親水性部分および少なくとも1つの疎水性部分を有する少なくとも1種の界面活性剤、この界面活性剤は、1000以上の分子量を有している、ならびに(d)水、を含む研磨組成物と接触させることを含んでおり、この研磨組成物は6以上のpHを有している。本方法は、研磨パッドおよび研磨組成物を、基材に対して動かして、基材の少なくと1部を削り取って基材を研磨することを更に含んでいる。
接触させる工程では、研磨組成物は、当業者によって理解されるように、好適な量で存在する。
削り取る工程は、例えば、基材の所望の研磨を達成させるように、好適な時間に亘って行われる。
研磨組成物は、いずれかの好適な基材を研磨するために用いることができ、そして低誘電率材料を含む少なくとも1つの層(典型的には表面層)を含む基材を研磨するのに特に有用である。好適な基材としては、半導体工業で用いられるウエハが挙げられる。ウエハは、典型的には、例えば金属、金属酸化物、金属窒化物、金属複合材、金属合金、低誘電率材料、またはそれらの組合わせを含んでいる、もしくは、からなっている。本発明の方法は、酸化ケイ素および/またはポリシリコンの幾らかの部分が、基材から除去されて基材を研磨するように、酸化ケイ素および/またはポリシリコンを含む基材を研磨するために特に有用である。
本発明の研磨組成物で研磨される誘電体層(例えば、酸化ケイ素)は、いずれかの好適な誘電率、例えば3.5以下、例えば3以下、2.5以下、2以下、1.5以下、または1以下の誘電率を有することができる。あるいは、もしくは、加えて、誘電体層は、1以上、例えば1.5以上、2以上、2.5以上、3以上、または3.5以上の誘電率を有することができる。従って、誘電体層は、上記の端点のいずれか2つによって拘束される範囲内の誘電率を有することができる。例えば、誘電体層は、1〜3.5の範囲、例えば2〜3の範囲、2〜3.5の範囲、2.5〜3の範囲、2.5〜3.5の範囲の誘電率を有することができる。
特定の態様では、基材は、酸化ケイ素および/または窒化ケイ素とともにポリシリコンを含んでいる。ポリシリコンは、いずれかの好適なポリシリコンであることができ、それらの多くは当技術分野で知られている。ポリシリコンは、いずれかの好適な相を有することができ、そしてアモルファス、結晶性またはそれらの組合わせであることができる。酸化ケイ素は、同様にいずれかの好適な酸化ケイ素であることができ、それらの多くは当技術分野で知られている。酸化ケイ素の好適な種類としては、ホウリンケイ酸塩ガラス(BPSG)、プラズマ促進テトラエチルオルトケイ酸塩(PETEOS)、テトラエチルオルトケイ酸塩(TEOS)、熱酸化物、非ドープケイ酸塩ガラス、および高密度プラズマ(HDP)酸化物が挙げられるが、それらには限定されない。
研磨組成物は、本発明の方法によって酸化ケイ素を含む基材を研磨する場合に、望ましくは高い除去速度を示す。例えば、本発明の態様によって、高密度プラズマ(HDP)酸化物および/またはプラズマ促進テトラエチルオルトケイ酸塩(PETEOS)、塗布ガラス(spin-on-glass)(SOG)および/またはテトラエチルオルトケイ酸塩(TEOS)を含むシリコンウエハを研磨する場合に、研磨組成物は、望ましくは、400Å/分以上、例えば700Å/分以上、1,000Å/分以上、1,250Å/分以上、1,500Å/分以上、1,750Å/分以上、2,000Å/分以上、2,500Å/分以上、3,000Å/分以上、3,500Å/分以上、4000Å/分以上、4500Å/分以上、または5000Å/分以上の酸化ケイ素除去速度を示す。
研磨組成物は、本発明の方法によってポリシリコンおよび/または窒化ケイ素を含む基材を研磨する場合に、望ましくは低い除去速度を示す。例えば、本発明の態様によってポリシリコンを含むシリコンウエハを研磨する場合には、研磨組成物は、望ましくは、1,000Å/分以下、例えば750Å/分以下、500Å/分以下、250Å/分以下、100Å/分以下、50Å/分以下、25Å/分以下、10Å/分以下、または更には5Å/分以下のポリシリコンおよび/または窒化ケイ素の除去速度を示す。
例えば、幾つかの態様では、本発明の研磨組成物および方法は、酸化ケイ素トレンチによって分離されたポリシリコンの区画を有する用途に有用である。幾つかの態様では、研磨組成物は、ポリ上停止(stop-on-poly)(SOP)用途、例えば、欠陥、例えば引っ掻き傷を受け易い、不揮発性メモリー装置のための「NAND型フラッシュ」研摩に用いることができる。望ましくは、幾つかの態様では、本発明により研磨組成物および方法の使用は、ウエハの収率を、少なくとも90%、例えば少なくとも92%、少なくとも95%、少なくとも97%などへと高めることができる。
研磨組成物は、基材を研磨した場合には、望ましくは、好適な技術によって測定された、低いディッシングを示す。例えば、本発明の態様で、誘電体層(例えば、酸化物)で充填されたトレンチを含む、パターン化されたシリコンウエハを研磨する場合には、研磨組成物は、望ましくは、2500Å以下、例えば2000Å以下、1750Å以下、1500Å以下、1250Å以下、1000Å以下、750Å以下、500Å以下、250Å以下、100Å以下、50Å以下、または25Å以下のディッシングを示す。
研磨組成物は、基材を研磨した場合に、望ましくは、好適な技術で測定された、低い粒子欠陥を示す。本発明の研磨組成物で研磨された基材上の粒子欠陥は、いずれかの好適な技術によって測定することができる。例えば、レーザー光散乱法、例えば暗視野垂直ビームコンポジット(DCN)および暗視野傾斜ビームコンポジット(DCO)を、研磨された基材上の粒子欠陥を測定するのに用いることができる。粒子欠陥を評価するための好適な機器が、例えばKLA-Tencorから入手可能である(例えば、120nmの閾値または160nmの閾値で操作されるSURFSCAN(商標)SPl装置)。
本研磨組成物で研磨された基材、特には酸化ケイ素および/またはポリシリコンを含むシリコンは、望ましくは、20,000カウント以下、例えば17,500カウント以下、15,000カウント以下、12,500カウント以下、3,500カウント以下、3,000カウント以下、2,500カウント以下、2,000カウント以下、1,500カウント以下、または1,000カウント以下のDCN値を有している。好ましくは、本発明の態様によって研磨された基材は、750カウント以下、例えば500カウント、250カウント、125カウント、または更には100カウント以下のDCN値を有している。
本研磨組成物の態様で研磨された基材は、望ましくは、好適な技術によって測定された、低い合計の引掻き傷のカウントを示す。例えば、研磨組成物は、望ましくは、90カウント以下、例えば80カウント以下、70カウント以下、60カウント以下、50カウント以下、40カウント以下、30カウント以下、20カウント以下、10カウント以下、5カウント以下、2カウント以下、または1.5カウント以下の合計の引掻き傷のカウントを示す。
本研磨組成物の態様でポリシリコン基材を研磨する場合には、基材からのポリシリコン損失は、ポリシリコン基材の端部、中部および中心部から測定することができる。研磨組成物は、望ましくは、基材を研磨した場合に、好適な技術によって測定された、ポリシリコン損失の均一性を示す。例えば、ポリシリコン基材の端部、中部、および中心部からのポリシリコン損失値は、望ましくは、互いに50Å以内、例えば、互いに40Å以内、互いに30Å以内、互いに20Å以内、互いに10Å以内、互いに5Å以内、互いに2.5Å以内、互いに1.0Å以内、または互いに0.1Å以内である。
研磨組成物は、同時に表面不完全度、欠陥、腐食、浸食および停止層の除去を最小化しながら、特定の材料に選択的な効果的な研磨を与えるように誂えることができる。選択性は、研磨組成物の成分の相対的な濃度を変更することによって、ある程度、制御することができる。望ましい場合には、研磨組成物は、5:1以上、例えば10:1以上、15:1以上、25:1以上、50:1以上、100:1以上、または150:1以上の、二酸化ケイ素のポリシリコンに対する研磨選択性で、基材を研磨するのに用いることができる。特定の配合品は、例えば20:1以上、または更には30:1以上の、更により高い二酸化ケイ素のポリシリコンに対する選択性を示すことができる。
本発明によれば、基材は、いずれかの好適な技術によって、ここに記載された研磨組成物で平坦化、または研磨することができる。本発明の研磨方法は、CMP装置と共に使用するのに特に好適である。典型的には、CMP装置は、プラテン(使用の場合には、動作し、そして軌道の、直線状の、または円形の動作からもたらされる速度を有している)、プラテンに接触しており、そして動作中はプラテンと共に動く研磨パッド、ならびに研磨パッドの表面に対して接触させ、そして動かすことによって研磨される基材を支持する支持体を含んでいる。研磨組成物の態様は、増大されたプラテン速度(例えば、50rpm以上、例えば100rpm以上)を可能にする。基材の研磨は、基材を本発明の研磨組成物および望ましくは研磨パッドと接触させて配置し、そして次いで基材、例えばここに記載された1種もしくは2種以上の基材材料の表面の少なくとも一部を研摩組成物で削り取って、基材を研磨することによって起こる。
基材は、いずれかの好適な研磨パッド(例えば、研磨表面)を用いて研磨組成物で研磨することができる。好適な研磨パッドとしては、例えば織られた、および不織の研磨パッドが挙げられる。更には、好適な研磨パッドは、様々な密度、硬度、厚さ、圧縮性、圧縮への反発の能力、および圧縮弾性率のいずれかの好適なポリマーを含むことができる。好適なポリマーとしては、例えば、ポリ塩化ビニル、ポリフッ化ビニル、ナイロン、フルオロカーボン、ポリカーボネート、ポリエステル、ポリアクリル酸エステル、ポリエーテル、ポリエチレン、ポリアミド、ポリウレタン、ポリスチレン、ポリプロピレン、それらの共形成された生成物、ならびにそれらの混合物が挙げられる。軟質ポリウレタン研磨パッドは、本発明の研磨方法とともに特に有用である。典型的なパッドとしては、SURFIN(商標)000、SURFIN(商標)SSW1、SPM3100(例えば、Eminess Technologiesから商業的に入手可能である)、POLITEX(商標)、およびFujibo POLYPAS(商標)27が挙げられるが、それらには限定されない。特に好ましい研磨パッドとしては、Cabot Microelectronicsから商業的に入手可能なEPIC(商標)D100パッドがある。
望ましくは、CMP装置は、その場での研磨終点検知システムを更に含んでおり、その多くは当技術分野で知られている。加工品の表面から反射された光または他の輻射線を分析することによって研磨プロセスを検査および監視するための技術が、当技術分野で知られている。そのような方法が、例えば米国特許第5,196,353号明細書、米国特許第5,433,651号明細書、米国特許第5,609,511号明細書、米国特許第5,643,046号明細書、米国特許第5,658,183号明細書、米国特許第5,730,642号明細書、米国特許第5,838,447号明細書、米国特許第5,872,633号明細書、米国特許第5,893,796号明細書、米国特許第5,949,927号明細書、および米国特許第5,964,643号明細書に記載されている。望ましくは、研磨されている加工品に対する研磨プロセスの進行の検査および監視は、研磨終点の決定、すなわち特定の加工品に対して研磨プロセスを何時停止するかの決定を可能にする。
下記の例は、本発明を更に説明するが、しかしながら、勿論のこと、本発明の範囲を限定するものとは決して理解されてはならない。
例1
この例は、二官能性ポリエステルを含む界面活性剤を、4種の異なる研磨組成物(1A〜1D)に加える効果を示している。この例の研磨組成物は、0.43%の、120nm以下の平均粒子径の湿式法セリア研磨剤粒子、1800ppmのポリエチレングリコール8000、18ppmのピコリン酸を、種々の量の、二官能性ポリエステルを含む界面活性剤(ポリオール)、および種々の水準のトリエタノールアミンを含んでいた。それぞれの研磨組成物の界面活性剤およびトリエタノールアミンの水準が、表1Aに列挙されている。
以下の測定が、研磨組成物1A〜1Dで適切な基材を研磨した後に、それぞれの研磨組成物について行われた;1)均一な酸化ケイ素フィルム(ブランケットウエハ)からの酸化ケイ素の除去速度、2)均一なポリシリコンフィルム(ポリシリコンブランケットウエハ)からのポリシリコンの除去速度、3)ポリシリコンフィルム中にパターン化された、酸化ケイ素で充填されたトレンチで示された研磨組成物のディッシング、4)2200Åのポリシリコン基部上に堆積された7000Åの酸化ケイ素からなるパターン化されたウエハからのポリシリコン損失性能、および5)ポリシリコンブランケットウエハ上で研磨組成物1A〜1Dによって示された全引掻き傷。
研磨組成物1A〜1Dのディッシングが、図2において、対照と表示された第1の対照と比較された。この対照は、4285ppmのPEG8000、43ppmのピコリン酸、および0.72%の、120nm以下の平均粒子径の湿式法セリア研磨剤粒子を含んでいた。
研磨組成物1A〜1Dのポリシリコン損失性能が、第2の対照の研磨組成物と比較された。この第2の対照は、図3中で対照と表示されており、2500ppmのPluronic(商標)L31(BASF、Chicago、イリノイ州)および160ppmのDequest(商標)2000(Thermphos International BV、Vlissingen、オランダ)を含んでいる。
テトラエチルオルトシリケート(TEOS)、高密度プラズマ(HDP)、およびポリシリコンのブランケットウエハが、研磨組成物1A〜1Dで研磨された。更に、パターン化ウエハおよびポリシリコン損失ウエハが、研磨組成物1A〜1Dで研磨された。研磨は、Mirra(商標)CMP装置(Applied Materials, Inc.(Santa Clara、カリフォルニア州)で行った。Mirra(商標)プロセスの研磨パラメータは、下記の表1Bに示した。
ディッシングは、F5偏光解析法装置(KLA-Tencor(Milpitas、カリフォルニア州))によって、トレンチ内部の酸化ケイ素フィルムと周りのポリシリコンフィルムの間の厚さの差異を比較することによって、測定したが、より大きな差異が、より大きなディッシングの比率に相当する。
研磨に続いて、それぞれの研磨組成物について、TEOS、HDP、およびポリシリコン除去速度をÅ/分で測定した。結果を図1に示したが、これは特定の研磨組成物(X軸)についての、3種の表面ウエハタイプの除去速度(Y軸)を示す棒グラフである。
それぞれの研磨組成物についてのディッシングをÅで測定し、そして図2に示したが、これはそれぞれの研磨組成物について、種々の長さのピッチ(X軸)内で測定されたディシング(Y軸)を示す線グラフである。更に、研磨に続いて、それぞれの研磨組成物についての合計のポリシリコン損失をÅで測定した。結果を図3に示したが、これは、ポリシリコン損失ウエハの中央、中部、および端部(X軸)で特定の研磨組成物によって示された合計のポリシリコン損失(Y軸)のボックスプロットである。
更に、研磨に続いて、それぞれの研磨組成物によって示された合計の引掻き傷を、ウエハ表面欠陥の位置を決定するようにプログラムされた走査型電子顕微鏡を用いて、測定した。結果を、図4に示したが、これは、特定の研磨組成物(X軸)によって示された合計の引掻き傷(Y軸)のボックスプロットである。
これらの結果は、二官能性ポリエステルを含む界面活性剤の研磨組成物1A〜1Dへの添加は、幾つかの望ましい効果を生み出したことを示している。
特に、研磨組成物1A〜1Dは、低いポリシリコン除去速度を維持しながら、高いHDP除去速度を示した。また、研磨組成物1A〜1DのTEOS除去速度も、それぞれの研磨組成物について、ポリシリコン除去速度よりも高いままであった。
更に、これらの結果は、研磨組成物1A〜1D中の二官能性ポリエステルを含む界面活性剤の存在は、対照と比較した場合には、実質的に向上したディッシング性能を示している。特に、対照は、100ミクロンピッチの長さで300Åのディッシングを示したが、一方で、研磨組成物1A〜1Dは、100ミクロンピッチの長さで200Å未満のディッシングを示した。試験された最も長い長さである、1800ミクロンピッチの長さでは、研磨組成物1A〜1Dのディッシングは、400Å未満のままであったが、一方で、対照は、1000Å超のディッシングを示した。
更に、これらの結果は、研磨組成物1A〜1C中の二官能性ポリエステルを含む界面活性剤の存在は、対照と比較した場合に、シリコン損失ウエハ上でより均一なポリシリコン損失を生み出したことを示している。均一性は、ポリシリコン損失ウエハの中心、中部、および端部からの合計のポリシリコン損失を比較することによって測定されたが、より接近した値は、より大きなそしてより理想的な均一性を示している。また、合計のポリシリコン損失は、理想的には可能な限り小さい。
特に、研磨組成物1Cは、ポリシリコン損失ウエハの中心、中部、および端部で測定された合計のポリシリコン損失値が、互いに4Å以内であったので、ほぼ完全な均一性を示した。研磨組成物1Cについて測定された全ての3つのポリシリコン損失値も同様に11Å未満であった。これらの結果は、特に、その中心のポリシリコン損失を、その端部および中部のポリシリコン損失と比較した場合に、均一性を欠く対照の研磨組成物に対する改善である。また、対照の研磨組成物は、ポリシリコン損失ウエハの中心で、35Å超のポリシリコン損失を示した。
最後に、これらの結果は、研磨組成物1A〜1D中の二官能性ポリエステルを含む界面活性剤の存在は、特に研磨組成物1A、1Bおよび1Dで、容認できる水準の引掻き傷を生じたことを示している。
研磨組成物1Cはこの群の最も高い合計の引掻き傷を示したが、それらの結果は、対照の研磨組成物の商業的に容認できる引掻き傷の数に匹敵する。
ここに引用された全ての参照文献、例えば刊行物、特許出願、および特許は、それぞれの参照文献を個々に、そして具体的に、参照することによって本明細書の内容とし、そしてその全体をここに説明したのと同じ程度に、ここに参照することによって本明細書の内容とする。
本発明を説明する文脈(特には、添付の特許請求の範囲の文脈)における用語「a」および「an」および「the」および同様の指示語の使用は、特に断りない限り、または文脈から明確に否定されない限り、単数と複数の両方を包含すると理解されなければならない。用語「有する(having)」、「含む(including)」および「含む(comprising)」は、特に断りの回限り開放型の用語(すなわち、「含むが、しかしながらそれらには限定されない」を意味する)と理解されなければならない。本明細書における数値範囲の記載は、特に断りのない限り、その範囲内に入るそれぞれの個々の値を独立して表すための略記方法としての役割をすることが単に意図されており、そしてそれぞれの個々の値が、それが独立して本明細書中に記載されているのと同様に本明細書中に組み込まれている。本明細書中に記載された全ての方法は、特に断りない限り、または文脈から明確に否定されない限り、いずれかの好適な順序で行うことができる。本明細書中に与えられるいずれかの、そして全ての例、または例示的な用語(例えば、「例えば」)は、単に、本発明をよりよく説明することを意図したものであり、そして特に断りのない限り、本発明の範囲に限定を加えるものではない。明細書中のいずれの用語も、いずれかの特許請求されていない要素が、本発明の実施に必須であると示していると理解されてはならない。
本発明を実施するための、本発明者らに知られているベストモードを含めた、本発明の好ましい態様がここに記載されている。これらの好ましい態様の変更は、前述の説明を読むことによって、当業者には明らかとなるであろう。本発明者らは、必要に応じてそのような変更を用いることを想定しており、そして本発明者らは、本発明が、本明細書中に具体的に記載されたのとは、違ったように実施されることを意図している。従って、本発明は、適用可能な法によって許容されるように、ここに添付された特許請求の範囲に記載された主題の全ての変更および等価物を含んでいる。更には、それらの全ての可能な変更における上記の要素のいずれかの組合わせは、特に断りのない限り、または文脈から明確に否定されない限り、本発明によって包含される。
本発明は、以下の態様を含んでいる。
(1)(a)120nm以下の平均粒子径を有する湿式法セリア研磨剤粒子、
(b)少なくとも1種のアルコールアミン、
(c)少なくとも1つの親水性部分および少なくとも1つの疎水性部分を有する少なくとも1種の界面活性剤、該界面活性剤は1000以上の分子量を有している、ならびに、
(d)水、
を含んでなる化学機械研磨組成物であって、
該組成物は6以上のpHを有している、化学機械研磨組成物。
(2)前記研磨剤粒子が、65nm以下の平均一次粒子径を有する、(1)記載の研磨組成物。
(3)前記研磨剤粒子が、前記組成物中に0.001質量%〜2質量%の量で存在する、(1)記載の研磨組成物。
(4)前記アルコールアミンが、6〜10のpKiを有する、(1)記載の研磨組成物。
(5)前記アルコールアミンが、7〜11のpKaを有する官能基を有する、(1)記載の研磨組成物。
(6)前記アルコールアミンが、2−ジメチルアミノ−2−メチルプロパノール、トリエタノールアミン、ジエタノールアミン、エタノールアミン、2−アミノ−2−メチル−l,3−プロパンジオール、それらのビス−トリス、トリス、またはいずれかの組み合わせである、(1)記載の研磨組成物。
(7)前記アルコールアミンが、前記組成物中に0.001質量%〜1質量%の量で存在する、(1)記載の研磨組成物。
(8)前記界面活性剤が、以下の式、
A−R−C
式中、AおよびCは親水性部分、そしてRは疎水性部分である、
である、(1)記載の研磨組成物。
(9)前記界面活性剤が、二官能性であり、かつAおよびCが同じである、(8)記載の研磨組成物。
(10)AおよびCの少なくとも一方が、エステル、カルボキシル、およびアルコール官能基の少なくとも1種、あるいはそれらのいずれかの組合わせ含む、(8)記載の研磨組成物。
(11)AおよびCの少なくとも一方が、第1級炭素に結合されたアルコール官能基を含む、(8)記載の研磨組成物。
(12)AおよびCの少なくとも一方が、第3級炭素に結合されたカルボキシル官能基を含む、(8)記載の研磨組成物。
(13)Rが、100〜10000の分子量を有する、(8)記載の研磨組成物。
(14)
前記界面活性剤が、以下の式
で表される、(1)記載の研磨組成物。
(15)前記界面活性剤が、500〜5000の分子量を有する、(1)記載の研磨組成物。
(16)基材を化学機械研磨する方法であって、
(i)基材を、研磨パッドおよび下記の(a)〜(d)を含む研磨組成物と接触させること
(a)120nm以下の平均粒子径を有する湿式法セリア研磨剤粒子、
(b)少なくとも1種のアルコールアミン、
(c)少なくとも1つの親水性部分および少なくとも1つの疎水性部分を有する少なくとも1種の界面活性剤、該界面活性剤は、1000以上の分子量を有している、ならびに、
(d)水、
該研磨組成物は、6以上のpHを有している、ならびに、
(ii)該研磨パッドおよび該研磨組成物を、該基材に対して動かして、該基材の少なくとも一部を削り取って、該基材を研磨すること、
を含んでなる、方法。
(17)前記基材が、ポリシリコンおよび酸化ケイ素を含み、そして少なくとも酸化ケイ素が該基材から除去されて該基材が研磨される、(16)記載の方法。
(18)ポリシリコンよりもより多くの酸化ケイ素が前記基材から除去されて、該基材が研磨される、(17)記載の方法。
(19)前記アルコールアミンが、2−ジメチルアミノ−2−メチルプロパノール、トリエタノールアミン、ジエタノールアミン、エタノールアミン、2−アミノ−2−メチル−l,3−プロパンジオール、それらのビス−トリス、トリス、またはいずれかの組み合わせである、(16)記載の方法。
(20)前記界面活性剤が、以下の式、
A−R−C
式中、AおよびCは親水性部分、そしてRは疎水性部分である、
である、(16)記載の方法。

Claims (14)

  1. (a)120nm以下の平均粒子径を有する湿式法セリア研磨剤粒子、
    (b)少なくとも1種のアルコールアミン、
    (c)少なくとも1つの親水性部分および少なくとも1つの疎水性部分を有する少なくとも1種の界面活性剤、該界面活性剤は500以上の分子量を有している、ならびに、
    (d)水、
    を含んでなる化学機械研磨組成物であって、
    該組成物は6以上のpHを有しており、
    前記界面活性剤が、以下の式、
    A−R−C
    式中、AおよびCは親水性部分、そしてRは疎水性部分である、
    であり、
    前記界面活性剤が、二官能性であり、かつAおよびCが同じであり、そして、
    AおよびCの少なくとも一方が、第3級炭素に結合されたカルボキシル官能基を含む、
    化学機械研磨組成物。
  2. 前記研磨剤粒子が、65nm以下の平均一次粒子径を有する、請求項1記載の研磨組成物。
  3. 前記研磨剤粒子が、前記組成物中に0.001質量%〜2質量%の量で存在する、請求項1記載の研磨組成物。
  4. 前記アルコールアミンが、6〜10のpKiを有する、請求項1記載の研磨組成物。
  5. 前記アルコールアミンが、7〜11のpKaを有する官能基を有する、請求項1記載の研磨組成物。
  6. 前記アルコールアミンが、2−ジメチルアミノ−2−メチルプロパノール、トリエタノールアミン、ジエタノールアミン、エタノールアミン、2−アミノ−2−メチル−l,3−プロパンジオール、それらのビス−トリス、トリス、またはいずれかの組み合わせである、請求項1記載の研磨組成物。
  7. 前記アルコールアミンが、前記組成物中に0.001質量%〜1質量%の量で存在する、請求項1記載の研磨組成物。
  8. Rが、100〜10000の分子量を有する、請求項1記載の研磨組成物。
  9. 前記界面活性剤が、以下の式
    で表される、請求項1記載の研磨組成物。
  10. 前記界面活性剤が、500〜5000の分子量を有する、請求項1記載の研磨組成物。
  11. 基材を化学機械研磨する方法であって、
    (i)基材を、研磨パッドおよび下記の(a)〜(d)を含む研磨組成物と接触させること
    (a)120nm以下の平均粒子径を有する湿式法セリア研磨剤粒子、
    (b)少なくとも1種のアルコールアミン、
    (c)少なくとも1つの親水性部分および少なくとも1つの疎水性部分を有する少なくとも1種の界面活性剤、該界面活性剤は、500以上の分子量を有している、ならびに、
    (d)水、
    該研磨組成物は、6以上のpHを有しており、
    前記界面活性剤が、以下の式、
    A−R−C
    式中、AおよびCは親水性部分、そしてRは疎水性部分である、
    であり、
    前記界面活性剤が、二官能性であり、かつAおよびCが同じであり、
    AおよびCの少なくとも一方が、第3級炭素に結合されたカルボキシル官能基を含む、
    (ii)該研磨パッドおよび該研磨組成物を、該基材に対して動かして、該基材の少なくとも一部を削り取って、該基材を研磨すること、
    を含んでなる、方法。
  12. 前記基材が、ポリシリコンおよび酸化ケイ素を含み、そして少なくとも酸化ケイ素が該基材から除去されて該基材が研磨される、請求項11記載の方法。
  13. ポリシリコンよりもより多くの酸化ケイ素が前記基材から除去されて、該基材が研磨される、請求項12記載の方法。
  14. 前記アルコールアミンが、2−ジメチルアミノ−2−メチルプロパノール、トリエタノールアミン、ジエタノールアミン、エタノールアミン、2−アミノ−2−メチル−l,3−プロパンジオール、それらのビス−トリス、トリス、またはいずれかの組み合わせである、請求項11記載の方法。
JP2016521778A 2013-10-10 2014-09-30 基材の選択的な研磨用の湿式法セリア組成物および関連する方法 Active JP6553599B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/050,722 2013-10-10
US14/050,722 US9279067B2 (en) 2013-10-10 2013-10-10 Wet-process ceria compositions for polishing substrates, and methods related thereto
PCT/US2014/058209 WO2015053981A1 (en) 2013-10-10 2014-09-30 Wet-process ceria compositions for selectively polishing substrates, and methods related thereto

Publications (2)

Publication Number Publication Date
JP2016539207A JP2016539207A (ja) 2016-12-15
JP6553599B2 true JP6553599B2 (ja) 2019-07-31

Family

ID=52808763

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016521778A Active JP6553599B2 (ja) 2013-10-10 2014-09-30 基材の選択的な研磨用の湿式法セリア組成物および関連する方法

Country Status (7)

Country Link
US (1) US9279067B2 (ja)
EP (1) EP3055377B1 (ja)
JP (1) JP6553599B2 (ja)
KR (1) KR102283156B1 (ja)
CN (1) CN105829487B (ja)
TW (1) TWI544065B (ja)
WO (1) WO2015053981A1 (ja)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101693278B1 (ko) * 2015-09-25 2017-01-05 유비머트리얼즈주식회사 슬러리 및 이를 이용한 기판 연마 방법
US10301508B2 (en) * 2016-01-25 2019-05-28 Cabot Microelectronics Corporation Polishing composition comprising cationic polymer additive
KR102444627B1 (ko) * 2016-06-22 2022-09-16 씨엠씨 머티리얼즈, 인코포레이티드 아민-함유 계면활성제를 포함하는 연마 조성물
US10584266B2 (en) 2018-03-14 2020-03-10 Cabot Microelectronics Corporation CMP compositions containing polymer complexes and agents for STI applications
US10626298B1 (en) 2019-03-20 2020-04-21 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing compositions and methods for suppressing the removal rate of amorphous silicon
KR20210132204A (ko) * 2019-03-25 2021-11-03 씨엠씨 머티리얼즈, 인코포레이티드 Cmp 슬러리에 대한 입자 분산을 개선하는 첨가제
CN110041831A (zh) * 2019-05-23 2019-07-23 北京利研科技有限公司 一种纳米氧化铈抛光液及其制备方法
KR20240062241A (ko) * 2022-10-28 2024-05-09 솔브레인 주식회사 화학적 기계적 연마 슬러리 조성물 및 반도체 소자의 제조 방법

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5196353A (en) 1992-01-03 1993-03-23 Micron Technology, Inc. Method for controlling a semiconductor (CMP) process by measuring a surface temperature and developing a thermal image of the wafer
US6614529B1 (en) 1992-12-28 2003-09-02 Applied Materials, Inc. In-situ real-time monitoring technique and apparatus for endpoint detection of thin films during chemical/mechanical polishing planarization
US5658183A (en) 1993-08-25 1997-08-19 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing including optical monitoring
US5433651A (en) 1993-12-22 1995-07-18 International Business Machines Corporation In-situ endpoint detection and process monitoring method and apparatus for chemical-mechanical polishing
JP3270282B2 (ja) 1994-02-21 2002-04-02 株式会社東芝 半導体製造装置及び半導体装置の製造方法
JP3313505B2 (ja) 1994-04-14 2002-08-12 株式会社日立製作所 研磨加工法
US5964643A (en) 1995-03-28 1999-10-12 Applied Materials, Inc. Apparatus and method for in-situ monitoring of chemical mechanical polishing operations
US5893796A (en) 1995-03-28 1999-04-13 Applied Materials, Inc. Forming a transparent window in a polishing pad for a chemical mechanical polishing apparatus
US5838447A (en) 1995-07-20 1998-11-17 Ebara Corporation Polishing apparatus including thickness or flatness detector
US5872633A (en) 1996-07-26 1999-02-16 Speedfam Corporation Methods and apparatus for detecting removal of thin film layers during planarization
US5759917A (en) 1996-12-30 1998-06-02 Cabot Corporation Composition for oxide CMP
US6533832B2 (en) * 1998-06-26 2003-03-18 Cabot Microelectronics Corporation Chemical mechanical polishing slurry and method for using same
US6443812B1 (en) * 1999-08-24 2002-09-03 Rodel Holdings Inc. Compositions for insulator and metal CMP and methods relating thereto
US6492308B1 (en) * 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
KR100378180B1 (ko) * 2000-05-22 2003-03-29 삼성전자주식회사 화학기계적 연마 공정용 슬러리 및 이를 이용한 반도체소자의 제조방법
EP1211024A3 (en) * 2000-11-30 2004-01-02 JSR Corporation Polishing method
US20030162398A1 (en) * 2002-02-11 2003-08-28 Small Robert J. Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same
US7071105B2 (en) 2003-02-03 2006-07-04 Cabot Microelectronics Corporation Method of polishing a silicon-containing dielectric
US20070218811A1 (en) 2004-09-27 2007-09-20 Hitachi Chemical Co., Ltd. Cmp polishing slurry and method of polishing substrate
JP5133874B2 (ja) * 2005-04-28 2013-01-30 テクノ セミケム シーオー., エルティーディー. 高段差酸化膜の平坦化のための自動研磨停止機能を有する化学機械的研磨組成物
TW200743666A (en) 2006-05-19 2007-12-01 Hitachi Chemical Co Ltd Chemical mechanical polishing slurry, CMP process and electronic device process
EP2061070A4 (en) * 2006-09-11 2010-06-02 Asahi Glass Co Ltd POLISHING AGENT FOR AN INTEGRATED SEMICONDUCTOR ELEMENTS, POLISHING METHOD AND METHOD FOR PRODUCING AN INTEGRATED SEMICONDUCTOR EQUIPMENT
KR100829594B1 (ko) * 2006-10-10 2008-05-14 삼성전자주식회사 화학 기계적 연마용 슬러리 조성물 및 이를 이용한 반도체메모리 소자의 제조 방법
US8247327B2 (en) * 2008-07-30 2012-08-21 Cabot Microelectronics Corporation Methods and compositions for polishing silicon-containing substrates
US8506831B2 (en) * 2008-12-23 2013-08-13 Air Products And Chemicals, Inc. Combination, method, and composition for chemical mechanical planarization of a tungsten-containing substrate
KR20100079834A (ko) * 2008-12-31 2010-07-08 제일모직주식회사 구리 배리어층 연마용 cmp 슬러리 조성물 및 이를 이용한 연마 방법
CN107083233A (zh) * 2010-02-24 2017-08-22 巴斯夫欧洲公司 研磨制品,其制备方法及其应用方法
KR101480179B1 (ko) * 2011-12-30 2015-01-09 제일모직주식회사 Cmp 슬러리 조성물 및 이를 이용한 연마 방법
US8916061B2 (en) * 2012-03-14 2014-12-23 Cabot Microelectronics Corporation CMP compositions selective for oxide and nitride with high removal rate and low defectivity
TWI573864B (zh) * 2012-03-14 2017-03-11 卡博特微電子公司 具有高移除率及低缺陷率之對氧化物及氮化物有選擇性之cmp組成物
US8778211B2 (en) * 2012-07-17 2014-07-15 Cabot Microelectronics Corporation GST CMP slurries

Also Published As

Publication number Publication date
JP2016539207A (ja) 2016-12-15
CN105829487A (zh) 2016-08-03
TW201514286A (zh) 2015-04-16
KR102283156B1 (ko) 2021-07-30
EP3055377A1 (en) 2016-08-17
CN105829487B (zh) 2018-04-17
KR20160070092A (ko) 2016-06-17
EP3055377A4 (en) 2017-04-05
WO2015053981A1 (en) 2015-04-16
US9279067B2 (en) 2016-03-08
US20150102010A1 (en) 2015-04-16
TWI544065B (zh) 2016-08-01
EP3055377B1 (en) 2019-03-20

Similar Documents

Publication Publication Date Title
JP6553599B2 (ja) 基材の選択的な研磨用の湿式法セリア組成物および関連する方法
JP6466925B2 (ja) 基材の研磨用の湿式法セリア組成物および関連する方法
JP6082097B2 (ja) 酸化物および窒化物に選択的な高除去速度および低欠陥のcmp組成物
EP2999762B1 (en) Cmp compositions selective for oxide and nitride with high removal rate and low defectivity
JP7404255B2 (ja) Sti用途のcmp組成物
EP3230395B1 (en) Cmp compositons exhibiting reduced dishing in sti wafer polishing
JP7021073B2 (ja) 改善された安定性及び改善された研磨特性を有する、選択的窒化物スラリー
US10640679B2 (en) CMP compositions selective for oxide and nitride with improved dishing and pattern selectivity
WO2015184320A1 (en) Cmp compositions selective for oxide over polysilicon and nitride with high removal rate and low defectivity

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170920

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180824

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180911

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181210

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20190108

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190425

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20190514

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190604

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190704

R150 Certificate of patent or registration of utility model

Ref document number: 6553599

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350