JP6494910B2 - Enhanced electrolyte hydrodynamics for efficient mass transport during electroplating - Google Patents

Enhanced electrolyte hydrodynamics for efficient mass transport during electroplating Download PDF

Info

Publication number
JP6494910B2
JP6494910B2 JP2013257021A JP2013257021A JP6494910B2 JP 6494910 B2 JP6494910 B2 JP 6494910B2 JP 2013257021 A JP2013257021 A JP 2013257021A JP 2013257021 A JP2013257021 A JP 2013257021A JP 6494910 B2 JP6494910 B2 JP 6494910B2
Authority
JP
Japan
Prior art keywords
substrate
electroplating
electrolyte
plate
flow
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2013257021A
Other languages
Japanese (ja)
Other versions
JP2014118634A5 (en
JP2014118634A (en
Inventor
スティーブン・ティー.・マイヤー
ブライアン・エル.・バカルー
ハイイーン・フー
トーマス・ポナスワミー
カミロ ヒルトン・ディアス
カミロ ヒルトン・ディアス
ロバート・ラッシュ
デビッド・ダブリュ.・ポーター
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/893,242 external-priority patent/US9624592B2/en
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of JP2014118634A publication Critical patent/JP2014118634A/en
Publication of JP2014118634A5 publication Critical patent/JP2014118634A5/ja
Application granted granted Critical
Publication of JP6494910B2 publication Critical patent/JP6494910B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/008Current shielding devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/02Tanks; Installations therefor
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/10Agitating of electrolytes; Moving of racks
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/04Electroplating with moving electrodes
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/08Electroplating with moving electrolyte e.g. jet electroplating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/002Cell separation, e.g. membranes, diaphragms

Description

本出願は、2012年12月12日に出願された名称「電気メッキ中の効率的な物質輸送のための電解質流体力学の強化」の米国仮特許出願第61/736499号(代理人整理番号LAMRP015P)の優先権の利益を主張するものであり、上記出願は参照によりその全体を全ての目的のために本明細書に援用される。本出願はまた、2013年5月13日に出願された名称「電気メッキ装置のための横断流マニフォールド」の米国特許出願第13/893242号(代理人整理番号NOVLP367X1)の一部継続出願であり、この一部継続出願は、2011年6月29日に出願された名称「電気メッキ中の効率的な物質輸送のための電解質流体力学の制御」の米国特許出願第13/172642号(代理人整理番号NOVLP367)の一部継続出願であり、この一部継続出願は、2010年10月21日に出願された名称「電気メッキセル用の流れ分配及び流れ成形プレート」の米国仮特許出願第61/405608号(代理人整理番号NOVLP396P);2010年8月18日に出願された名称「ウエハレベルパッケージのための高流量プロセス」の米国仮特許出願第61/374911(代理人整理番号NOVLP367P);及び2010年7月2日に出願された名称「傾斜のあるHRVA」の米国仮特許出願第61/361333(代理人整理番号NOVLP366P)の優先権の利益を主張するものであり、上記各出願は参照によりその全体を全ての目的のために本明細書に援用される。更に、米国特許出願第13/893242は、2012年5月14日に出願された名称「電気メッキ装置のための横断流マニフォールド」の米国仮特許出願第61/646598(代理人整理番号NOVLP367X1P)の優先権の利益を主張するものであり、上記出願はその全体を全ての目的のために本明細書に援用される。 This application is based on US Provisional Patent Application No. 61 / 736,499 entitled Attorney Docket No. LAMRP 015 P, filed Dec. 12, 2012, entitled "Enhanced Electrolyte Fluid Dynamics for Efficient Material Transport During Electroplating". The above application is incorporated by reference in its entirety for all purposes. This application is also a continuation-in-part of US patent application Ser. No. 13 / 893,242 (Attorney Docket No. NOVLP367X1), filed May 13, 2013, entitled “ Cross-flow manifold for electroplating apparatus”. No. 13 / 172,642, filed on Jun. 29, 2011, entitled "Control of Electrolyte Fluid Dynamics for Efficient Material Transport During Electroplating". This is a continuation-in-part application of US Provisional Patent Application No. 61/61, filed October 21, 2010, entitled "Flow distribution and flow shaping plate for electroplating cells". No. 405608 (Attorney Docket No. NOVLP 396 P); filed on August 18, 2010 entitled "High-flow process for wafer level packages. U.S. Provisional Patent Application No. 61 / 374,911 (Attorney Docket No. NOVLP 367P); and U.S. Provisional Patent Application No. 61 / 361,333 (Attorney Docket No.) filed on July 2, 2010, entitled "An inclined HRVA" Claiming the benefit of the priority of NOVLP 366 P), each of which is incorporated herein by reference in its entirety for all purposes. Further, U.S. patent application Ser. No. 13 / 893,242 is directed to U.S. Provisional Patent Application No. 61 / 646,598 (Attorney Docket No. NOVLP 367 X1 P) entitled " Cross-flow Manifold for Electroplating Apparatus" filed May 14, 2012. It claims the benefit of priority and the above application is incorporated herein in its entirety for all purposes.

本明細書で開示する実施形態は、電気メッキ中の電解質の流体力学を制御するための方法及び装置に関する。より詳細には、本明細書に記載する方法及び装置は、半導体ウエハ基材、特に複数の凹状の特徴部分を有する半導体ウエハ上に金属メッキを施すために、特に便利である。例示的なプロセス及び特徴は、例えば約50μm未満の幅を有する(例えば銅、ニッケル、スズ及びスズ合金ハンダの)小さなマイクロバンプの抵抗貫通メッキの特徴部分、及び銅のシリコン貫通電極(TSV)の特徴部分を有してよい。   Embodiments disclosed herein relate to methods and apparatus for controlling the fluid dynamics of an electrolyte during electroplating. More particularly, the methods and apparatus described herein are particularly convenient for metal plating on semiconductor wafer substrates, particularly semiconductor wafers having a plurality of recessed features. Exemplary processes and features include, for example, small microbump resistive through plating features (e.g., of copper, nickel, tin and tin alloy solders) having widths less than about 50 [mu] m, and copper through silicon vias (TSVs) It may have features.

電気化学蒸着プロセスは、現代の集積回路製造において十分に確立されている。20世紀初頭における、金属線相互接続のアルミニウムから銅への移行は、大幅に洗練された電気蒸着プロセス及びメッキ治具の需要を高める原因となった。このような洗練のほとんどは、デバイスのメタライゼーション層におけるより小さい導電ラインに対する需要に呼応して展開された。このような銅線は、一般に「ダマシン」処理と呼ばれる方法論(予備受動態化メタライゼーション)において、極めて薄い、高いアスペクト比を有する溝及びビア内に金属を電気メッキすることによって形成される。   Electrochemical deposition processes are well established in modern integrated circuit fabrication. The aluminum-to-copper migration of metal wire interconnects at the beginning of the 20th Century has led to a significant increase in the demand for sophisticated electrodeposition processes and plating tools. Most of this refinement has evolved in response to the demand for smaller conductive lines in the metallization layer of the device. Such copper wires are formed by electroplating metal in very thin, high aspect ratio trenches and vias in a methodology (pre-passivated metallization) commonly referred to as "damascene" processing.

電気化学蒸着は現在、ウエハレベルパッケージ(WLP)及びシリコン貫通電極(TSV)電気接続技術として一般に公知である、洗練されたパッケージ及び複数のチップの相互接続技術の市場における需要を満たすことができるものとなっている。これらの技術は、一般に(トランジスタ工程(FEOL)における相互接続と比較して)大きめの特徴部分サイズ及び高いアスペクト比を原因の一部とする、極めて重大な課題を有する。   Electrochemical deposition can now meet the market demand for sophisticated packages and multiple chip interconnect technologies, commonly known as wafer level package (WLP) and through silicon via (TSV) electrical connection technology It has become. These techniques generally have significant challenges, due in part to large feature sizes and high aspect ratios (compared to interconnects in transistor process (FEOL)).

パッケージ特徴部分のタイプ及び応用(例えばチップ貫通接続TSV、相互接続再分配配線、又はフリップチップピラー等のチップ‐基板若しくはチップ‐チップ接合)に応じて、現行の技術では、メッキされる特徴部分の主要寸法は通常約2μm超、典型的には約5〜100μmとなる(例えば、銅ピラーは約50μmとなり得る)。電力バス等のいくつかのオンチップ構造に関しては、メッキされる特徴部分は100μmより大きくなり得る。WLP特徴部分のアスペクト比は、約2:1(高さ:幅)程度に高くなることがあるものの、典型的には約1:1以下であり、その一方でTSV構造は極めて高いアスペクト比(例えば約20:1辺り)を有し得る。   Depending on the type and application of the package features (e.g. chip-to-chip or chip-to-chip junctions such as through chip vias TSVs, interconnect redistribution interconnects, or flip chip pillars), the current technology is that of the features to be plated. The major dimensions will usually be greater than about 2 μm, typically about 5 to 100 μm (eg, copper pillars can be about 50 μm). For some on-chip structures, such as power busses, the features to be plated can be larger than 100 μm. The aspect ratio of WLP features can be as high as about 2: 1 (height: width), but is typically about 1: 1 or less, while the TSV structure has a very high aspect ratio ( For example, about 20: 1).

100〜200μmから50μm未満(例えば20μm)にWLP構造のサイズを縮小すると、このスケールでは、特徴部分のサイズと典型的な物質輸送境界層の厚さ(平坦表面への対流輸送が発生する距離)がほぼ等しくなるため、固有の一連の問題が発生する。従来の大型の特徴部分による生成の場合は、特徴部分への流体及び物質の対流輸送は、流動場が特徴部分に全体的に貫入することによって起こるものであったが、小型の特徴部分の場合、流れの渦及び停滞の形成によって、成長中の特徴部分内での物質輸送の速度及び均一性を妨害し得る。従って、小型の「マイクロバンプ」及びTSV特徴部分内での極めて均一な物質輸送を生成するための新規の方法が必要とされる。   When scaling down the size of the WLP structure from 100-200 μm to less than 50 μm (eg 20 μm), this scale shows the size of the feature and the typical mass transport boundary layer thickness (the distance at which convective transport to a flat surface occurs) Because they are approximately equal, a unique set of problems occur. In the case of the production with a large conventional feature, the convective transport of fluid and material to the feature has been caused by the penetration of the flow field into the feature as a whole, but in the case of a small feature The formation of flow vortices and stagnation can impede the speed and uniformity of mass transport within the growing feature. Thus, there is a need for new methods to create very uniform mass transport within small "microbumps" and TSV features.

特徴部分のサイズのみならずメッキ速度によっても、WLP及びTSVの応用例とダマシンの応用例は区別される。多くのWLPの応用例において、メッキする金属(例えば銅、ニッケル、金、銀ハンダ等)に応じて、(例えば可変であるウエハパターンの資本生産性を目的として、並びにダイ内及び特徴部分の標的内等におけるウエハの要件に関して)製造要件とコストに関する要件との間、及び技術的要件と技術的困難との間のバランスが存在する。銅の場合、このバランスは通常、少なくとも約2μm/分の速度、典型的には少なくとも約3〜4μm/分以上の速度で達成される。スズ及びスズ合金メッキの場合、約3μm/分超のメッキ速度、及び応用例によっては少なくとも約7μm/分のメッキ速度が必要となり得る。ニッケル及び金ストライクメッキ(例えば低濃度金フラッシュ膜層)の場合、メッキ速度は約0.1〜1.5μm/分であり得る。金属に関連する高いメッキ速度のこれらのレジームにおいて、電解質中の金属イオンの、メッキ表面への効率的な物質輸送は重要である。   Not only the size of the feature but also the plating rate distinguishes between WLP and TSV applications and damascene applications. In many WLP applications, depending on the metal to be plated (eg copper, nickel, gold, silver solder etc), for example for capital productivity of wafer patterns that are variable, and targets for in-die and feature There is a balance between manufacturing requirements and cost requirements, as well as technical requirements and technical difficulties, with respect to wafer requirements at an intern level. In the case of copper, this balance is usually achieved at a rate of at least about 2 μm / min, typically at least about 3 to 4 μm / min or more. In the case of tin and tin alloy plating, plating rates greater than about 3 μm / min and in some applications at least about 7 μm / min may be required. For nickel and gold strike plating (e.g., low concentration gold flash film layers), the plating rate may be about 0.1 to 1.5 [mu] m / min. In these regimes of high plating rates associated with metals, efficient mass transport of metal ions in the electrolyte to the plated surface is important.

特定の実施形態では、ウエハ内の良好なメッキ均一性(WIW均一性)、特定のダイの全ての特徴部分内及び間の良好なメッキ均一性(WID均一性)、並びに個々の特徴部分それ自体内での良好なメッキ均一性(WIF均一性)を達成するために、ウエハの表面全体にわたって極めて均一な様式でメッキを行う必要がある。WLP及びTSVの応用例における高いメッキ速度は、電気蒸着層の均一性に関して課題を有する。様々なWLPの応用例について、メッキはウエハ表面の直径に沿って約5%以下の半分の範囲の変動(half range variation)(これをWIW不均一性と呼び、これはダイ内に単一の特徴部分があるタイプに関してウエハの直径にわたる複数の位置において測定したものである)を示す必要がある。同様に、同等に困難な要件としては、サイズ(例えば特徴部分の直径)が異なる、又は特徴部分の密度(例えば、チップダイのアレイの中央に特徴部分が孤立しているか若しくは埋め込まれているか)が異なる様々な複数の特徴部分の均一な蒸着(厚さ及び形状)がある。この性能仕様は一般にWID不均一性と呼ばれる。WID不均一性は、上述のような様々なタイプの特徴部分の局所的な可変性(例えば<5%の半分の範囲の変動)と、ウエハ上の特定の位置(例えば中間半径部分、中央又は縁部)のダイにおける、所定のウエハのダイ内の特徴部分の平均高さ又はその他の寸法の平均との比として測定される。   In certain embodiments, good plating uniformity within the wafer (WIW uniformity), good plating uniformity within and between all features of a particular die (WID uniformity), and individual features themselves In order to achieve good plating uniformity (WIF uniformity) within, it is necessary to plate in a very uniform manner across the surface of the wafer. The high plating rates in WLP and TSV applications have challenges with respect to the uniformity of the electrodeposited layer. For various WLP applications, plating has a half range variation of less than about 5% along the diameter of the wafer surface (this is called WIW non-uniformity, which is a single die within the die). It is necessary to indicate that the features are measured at multiple locations across the diameter of the wafer for certain types. Similarly, equally difficult requirements include different sizes (eg, feature diameters) or feature densities (eg, whether features are isolated or embedded in the center of the array of chip dies). There is a uniform deposition (thickness and shape) of different features. This performance specification is commonly referred to as WID non-uniformity. WID non-uniformity may be due to local variability of various types of features as described above (e.g., variation in the range of <5% by half) and specific locations on the wafer (e.g. Measured as the ratio of the average height or other dimensions of the features in the die of a given wafer at the edge die).

別の困難な要件としては、特徴部分内形状の一般的な制御がある。流れ及び物質輸送対流の適切な制御がないと、メッキ後に、線又はピラーは、2次元又は3次元(例えばサドル又はドームの形状)で凸状、平坦又は凹状に傾斜してしまうが、常にではないものの一般的には平坦な外形が好まれる。これらの困難に対処する一方で、WLPの応用例は、従来の、本質的に安価なピックアンドプレースシリアルルーティング作業と競合しなければならない。更に、WLPの応用例のための電気化学蒸着は、鉛、スズ、スズ−銀並びにニッケル、コバルト、金、パラジウム及びこれらの様々な合金(銅を含むものもある)等の他のアンダーバンプメタライゼーション(UBM)材料のハンダ等の、銅ではない様々な金属のメッキを必要とし得る。スズ−銀近共晶合金(near eutectic alloys)のメッキは、鉛−スズ共晶ハンダの代替である無鉛ハンダとしてメッキに使用される合金のためのメッキ技術の一例である。   Another difficult requirement is the general control of feature geometry. Without proper control of flow and mass transport convection, after plating, the lines or pillars will be convex, flat or concave in two or three dimensions (eg saddle or dome shape) but always Although generally flat contours are preferred. While addressing these difficulties, WLP applications must compete with traditional, inherently inexpensive pick and place serial routing tasks. In addition, electrochemical deposition for WLP applications can be performed using lead, tin, tin-silver and other under bump meta such as nickel, cobalt, gold, palladium and their various alloys (some of which include copper). It may require the plating of various metals other than copper, such as solder of metallization (UBM) material. Plating of tin-silver near eutectic alloys is an example of a plating technique for alloys used for plating as lead-free solders that are alternatives to lead-tin eutectic solders.

本明細書に記載する実施形態は、基材上に材料を電気メッキするための方法及び装置に関する。一般に、本明細書で開示する技術は、メッキを通してイオンを輸送するよう適合された複数の貫通孔、及びメッキ均一性を改善するための一連の隆起部又は段差を有する、改良されたチャネル付きイオン抵抗性要素の使用を伴う。実施形態の一態様では、電気メッキ装置であって:(a)実質的に平坦な基材上に金属を電気メッキする間、電解質及びアノードを含むよう構成された、電気メッキ用チャンバ;(b)電気メッキ中、基材のメッキ面がアノードと分離されるように、実質的に平坦な基材を保持するよう構成された、基材ホルダ;(c)イオン抵抗性要素であって、(i)イオン抵抗性要素を貫通して延在する、電気メッキ中にイオン抵抗性要素を通してイオンを輸送するよう適合された、複数のチャネル;(ii)基材のメッキ面と実質的に平行であり、基材のメッキ面と空隙で分離されている、基材対面側部;及び(iii)イオン抵抗性要素の基材対面側部上に位置決めされた複数の隆起部、を含む、イオン抵抗性要素;(d)空隙に電解質の横断流を導入するための、空隙への流入口;並びに(e)空隙内を流れる電解質の横断流を受承するための、空隙の流出口を含む、電気メッキ装置が提供され、ここで、電気メッキ中、流入口及び流出口は、基材のメッキ面上の方位的にほぼ対向する周上の位置に位置決めされる。 Embodiments described herein relate to methods and apparatus for electroplating a material on a substrate. In general, the techniques disclosed herein provide an improved channeled ion having a plurality of through holes adapted to transport ions through plating, and a series of ridges or steps to improve plating uniformity. With the use of resistive elements. In one aspect of the embodiment, an electroplating apparatus comprising: (a) an electroplating chamber configured to include an electrolyte and an anode during electroplating of a metal on a substantially flat substrate; (b B.) A substrate holder configured to hold a substantially flat substrate such that the plated surface of the substrate is separated from the anode during electroplating; (c) an ion resistant element; i) a plurality of channels extending through the ion resistant element adapted to transport ions through the ion resistant element during electroplating; (ii) substantially parallel to the plating surface of the substrate Ionic resistance, comprising: a substrate facing side separated from the plated surface of the substrate and the air gap; and (iii) a plurality of ridges positioned on the substrate facing side of the ion resistant element (d) is an electrolyte transverse flow of the air gap; sexual element For input, the inlet to the air gap; and to receive a transverse flow of electrolyte through the (e) the air gap, comprising an outlet of the air gap, the electroplating apparatus is provided wherein, during the electroplating The inlet and the outlet are positioned at positions on the plating surface of the substrate in azimuthally substantially opposite circumferences.

いくつかの実施形態では、イオン抵抗性要素の基材対面側部と、基材のメッキ面との間の空隙は、基材のメッキ面とイオン抵抗性要素の平面との間を測定した場合に約15mm未満である。特定の場合において、基材のメッキ面と隆起部の最高部との間の空隙は約0.5〜4mmとなり得る。特定の場合において、隆起部は約2〜10mmの高さを有し得る。様々な実施形態では、隆起部は平均して、電解質の横断流の方向に対して実質的に垂直に配向される。隆起部のうちの1つ又は複数又は全ては、少なくとも約3:1の長さ:幅アスペクト比を有してよい。様々な実施形態では、隆起部は基材のメッキ面と実質的に同延である。 In some embodiments, the air gap between the substrate facing side of the ion resistant element and the plated surface of the substrate is measured between the plated surface of the substrate and the plane of the ion resistant element Less than about 15 mm. In certain cases, the air gap between the plated surface of the substrate and the top of the ridge may be about 0.5 to 4 mm. In certain cases, the ridges may have a height of about 2 to 10 mm. In various embodiments, the ridges are, on average, oriented substantially perpendicular to the direction of cross flow of the electrolyte. One or more or all of the ridges may have a length: width aspect ratio of at least about 3: 1. In various embodiments, the ridges are substantially coextensive with the plated surface of the substrate.

多くの異なる隆起部の形状を使用できる。いくつかの場合においては、イオン抵抗性要素上に、少なくとも2つの異なる形状及び/又はサイズの隆起部が存在する。1つ又は複数の隆起部は、電気メッキ中に電解質がそれを通って流れることができる切り欠き部を含んでよい。隆起部は一般に、矩形、三角形、円筒形又はこれらの組合せの形状に成形してよい。隆起部はより複雑な形状を有してもよく、例えば、隆起部の頂部及び底部に沿って異なる形状の切り欠き部を有する、概ね矩形の隆起部が考えられる。いくつかの場合においては、隆起部は三角形の上部を有する。例として、三角形の先端を有する矩形の隆起部が考えられる。別の例として、全体として三角形の形状を有する隆起部が考えられる。   Many different ridge shapes can be used. In some cases, ridges of at least two different shapes and / or sizes are present on the ion resistant element. The one or more ridges may include notches through which the electrolyte can flow during electroplating. The ridges may generally be shaped in the form of a rectangle, a triangle, a cylinder or a combination thereof. The ridges may have more complex shapes, e.g., generally rectangular ridges with differently shaped cutouts along the top and bottom of the ridges are contemplated. In some cases, the ridges have a triangular top. As an example, a rectangular ridge with a triangular tip can be considered. As another example, ridges having a generally triangular shape can be considered.

隆起部は、チャネル付きイオン抵抗性プレートから直角に、又は直角でない角度で、又は複数の角度を組合せた角度で延伸してよい。言い換えると、いくつかの実施形態では、隆起部はイオン抵抗性要素の平面に対して実質的に垂直な面を含む。代替として、又は更に、隆起部はイオン抵抗性要素の平面から直角でない角度だけずれた面を含んでよい。いくつかの実施形態では、隆起部は2つ以上のセグメントからなる。例えば、隆起部は第1の隆起部セグメント及び第2の隆起部セグメントを含んでよく、第1及び第2の隆起部セグメントはそれぞれ、電解質の横断流の方向から、実質的に等しいが符号が逆である角度だけずれている。 The ridges may extend from the channeled ion resistant plate at a right angle, or at a non-right angle, or at a combination of angles. In other words, in some embodiments, the ridges comprise a plane substantially perpendicular to the plane of the ion resistant element. Alternatively, or additionally, the ridges may include planes that are offset from the plane of the ionically resistive element by an angle that is not normal. In some embodiments, the ridges consist of two or more segments. For example, the ridges may include a first ridge segment and a second ridge segment, wherein the first and second ridge segments are each substantially equal but opposite in sign from the direction of cross flow of the electrolyte. It is offset by the opposite angle.

イオン抵抗性要素は、電場を成形し、電気メッキ中に基材付近の電解質流の性質を制御するよう構成してよい。様々な実施形態では、下側マニフォールド領域をイオン抵抗性要素の下面の下に位置決めしてよく、この下面は基材ホルダと反対側を向いている。中央電解質チャンバ及び1つ又は複数の供給チャネルは、中央電解質チャンバから流入口及び下側マニフォールド領域の両方に電解質を送達するよう構成してよい。このようにして、電解質を流入口に直接送達して、チャネル付きイオン抵抗性要素の上側での横断流を開始させることができ、また電解質を同時に下側マニフォールド領域に送達でき、電解質はチャネル付きイオン抵抗性要素のチャネルを通過して、基材とチャネル付きイオン抵抗性要素との間の空隙に入ることになる。横断流注入マニフォールドを、流入口に流体連通させてよい。横断流注入マニフォールドは、イオン抵抗性要素のキャビティによって少なくとも部分的に画定され得る。特定の実施形態では、横断流注入マニフォールドはその全体がイオン抵抗性要素内にある。 The ion resistant element may be configured to shape the electric field and control the nature of the electrolyte flow near the substrate during electroplating. In various embodiments, the lower manifold region may be positioned below the lower surface of the ion resistant element, the lower surface facing away from the substrate holder. The central electrolyte chamber and one or more feed channels may be configured to deliver electrolyte from the central electrolyte chamber to both the inlet and lower manifold regions. In this way, the electrolyte can be delivered directly to the inlet to initiate cross flow on the upper side of the channeled ion resistant element, and the electrolyte can be simultaneously delivered to the lower manifold region, the electrolyte being channeled It will pass through the channels of the ion resistant element and enter the void between the substrate and the channeled ion resistant element. A cross flow injection manifold may be in fluid communication with the inlet. The cross flow injection manifold may be at least partially defined by the cavity of the ion resistant element. In certain embodiments, the cross flow injection manifold is entirely within the ion resistant element.

イオン抵抗性要素の周縁部全体に流れ制限リングを位置決めしてよい。流れ制限リングは、横断流注入マニフォールドからの流れを、基材の表面に対して平行な方向に流れるように再配向する助けとなり得る。装置はまた、メッキ中に基材ホルダを回転させるための機構を含んでもよい。いくつかの実施形態では、流入口は、基材のメッキ面の周付近に、約90〜180°の弧にわたって広がっている。流入口は、方位的に別個の複数の流入口セグメントを含んでよい。複数の電解質供給流入口は、方位的に別個の複数の流入口セグメントに電解質を送達するよう構成してよい。更に、1つ又は複数の流れ制御要素は、電気メッキ中に複数の電解質供給流入口内の電解質の複数の体積流量を独立して制御するよう構成してよい。様々な場合において、流入口及び流出口は、電気メッキ中に基材のメッキ面上に剪断力を生成又は維持するために、空隙内で電解質の横断流を生成するよう適合してよい。特定の実施形態では、隆起部を、複数の平行な列に配向してよい。この列は、非隆起空隙で分離された2つ以上の不連続な隆起部を含んでよく、隣接する列の非隆起空隙は実質的に、電解質の横断流の方向に互いに整列されていない。 A flow restricting ring may be positioned across the perimeter of the ion resistant element. The flow restricting ring can help redirect the flow from the cross flow injection manifold to flow in a direction parallel to the surface of the substrate. The apparatus may also include a mechanism for rotating the substrate holder during plating. In some embodiments, the inlet extends around an arc of about 90-180 degrees, around the circumference of the plated surface of the substrate. The inlet may include a plurality of azimuthally distinct inlet segments. The plurality of electrolyte feed inlets may be configured to deliver electrolyte to a plurality of azimuthally distinct inlet segments. Additionally, one or more flow control elements may be configured to independently control multiple volumetric flow rates of electrolyte in the multiple electrolyte supply inlets during electroplating. In various cases, the inlet and outlet may be adapted to create a cross flow of electrolyte within the air gap to generate or maintain shear forces on the plated surface of the substrate during electroplating. In certain embodiments, the ridges may be oriented in multiple parallel rows. The row may include two or more discrete ridges separated by non-raised air gaps, wherein the non-raised air gaps of adjacent rows are not substantially aligned with one another in the direction of the cross flow of the electrolyte.

本明細書で開示する実施形態の別の態様では、電気メッキ装置であって:(a)実質的に平坦な基材上に金属を電気メッキする間、電解質及びアノードを含むよう構成された、電気メッキ用チャンバ;(b)電気メッキ中、基材のメッキ面がアノードと分離されるように、実質的に平坦な基材を保持するよう構成された、基材ホルダ;(c)イオン抵抗性要素であって、(i)イオン抵抗性要素を貫通して延在する、電気メッキ中にイオン抵抗性要素を通してイオンを輸送するよう適合された、複数のチャネル;(ii)基材のメッキ面と実質的に平行であり、基材のメッキ面と空隙で分離されている、基材対面側部;及び(iii)イオン抵抗性要素の基材対面側部上に位置決めされた段差であって、この段差は高さ及び直径を有し、段差の直径は基材のメッキ面と実質的に同延であり、段差の高さ及び直径はメッキ中に電解質が基材ホルダの下、段差の上を通って空隙内へと流れることができる程度に十分に小さい、段差、を含む、イオン抵抗性要素;(d)空隙に電解質を導入するための、空隙への流入口;並びに(e)空隙内を流れる電解質の横断流を受承するための、空隙の流出口を含む、電気メッキ装置が提供され、ここで、流入口及び流出口は、電気メッキ中に基材のメッキ面上に剪断力を生成又は維持するために、空隙内で電解質の横断流を生成するよう適合される。 Another aspect of the presently disclosed embodiments is an electroplating apparatus comprising: (a) including an electrolyte and an anode during electroplating of a metal on a substantially flat substrate; A chamber for electroplating; (b) a substrate holder configured to hold a substantially flat substrate such that the plated surface of the substrate is separated from the anode during electroplating; (c) ionic resistance (A) a plurality of channels adapted to transport ions through the ion resistant element during electroplating extending through the ion resistant element; (ii) plating the substrate A substrate facing side substantially parallel to the plane and separated by a void from the plating side of the substrate; and (iii) a step positioned on the substrate facing side of the ion resistant element This step has height and diameter, and The diameter is substantially coextensive with the plated surface of the substrate, and the height and diameter of the step is such that during plating the electrolyte can flow under the substrate holder, over the step and into the air gap. Sufficiently small, including steps, (d) an inlet to the cavity for introducing electrolyte into the cavity, and (e) for receiving a cross flow of electrolyte flowing within the cavity An electroplating apparatus is provided, including an outlet for the void, wherein the inlet and outlet are electrolytes within the void to create or maintain a shear force on the plated surface of the substrate during electroplating. Adapted to produce a cross flow of

本明細書で開示する実施形態の更なる態様では、標準的な直径の半導体ウエハ上に材料をメッキするための電気メッキ装置で使用するための、チャネル付きイオン抵抗性要素が提供され、このイオン抵抗性要素は:半導体ウエハのメッキ面とほぼ同延である、約2〜25mmの厚さを有するプレート;プレートの厚さを貫通して延在する、少なくとも約1000個の非連通貫通孔であって、電気メッキ中にプレートを通してイオンを輸送するよう適合された、貫通孔;及びプレートの片側上に位置決めされた複数の隆起部を含む。   In a further aspect of the presently disclosed embodiments, a channeled ion resistant element is provided for use in an electroplating apparatus for plating materials on semiconductor wafers of standard diameter. The resistive element is: a plate having a thickness of about 2 to 25 mm, which is approximately coextensive with the plated surface of the semiconductor wafer; with at least about 1000 non-communicating through holes extending through the thickness of the plate A through hole adapted to transport ions through the plate during electroplating, and a plurality of ridges positioned on one side of the plate.

本明細書で開示する実施形態の別の態様では、標準的な直径の半導体ウエハ上に材料をメッキするための電気メッキ装置で使用するための、チャネル付きイオン抵抗性要素が提供され、このイオン抵抗性要素は:半導体ウエハのメッキ面とほぼ同延である、約2〜25mmの厚さを有するプレート;プレートの厚さを貫通して延在する、少なくとも約1000個の非連通貫通孔であって、電気メッキ中にプレートを通してイオンを輸送するよう適合された、貫通孔;並びに段差であって:プレートの中央領域のプレートの膨隆部;及びプレートの周縁部に位置決めされたプレートの非膨隆部を備える、段差を含む。   In another aspect of the presently disclosed embodiments, a channeled ion resistant element is provided for use in an electroplating apparatus for plating materials on semiconductor wafers of standard diameter. The resistive element is: a plate having a thickness of about 2 to 25 mm, which is approximately coextensive with the plated surface of the semiconductor wafer; with at least about 1000 non-communicating through holes extending through the thickness of the plate A through hole adapted to transport ions through the plate during electroplating; and a step: the bulge of the plate in the central region of the plate; and the non-bulge of the plate positioned at the periphery of the plate Including the step, including the step.

本明細書で開示する更なる態様では、基材を電気メッキするための方法が提供され、この方法は:(a)基材ホルダ内に実質的に平坦な基材を受承することであって、基材のメッキ面は露出しており、基材ホルダは電気メッキ中、基材のメッキ面がアノードと分離されるように基材を保持するよう構成されている、受承すること;(b)基材を電解質に浸漬することであって、基材のメッキ面とイオン抵抗性要素の平面との間に空隙を形成し、イオン抵抗性要素は基材のメッキ面と少なくともほぼ同延であり、イオン抵抗性要素は電気メッキ中にイオン抵抗性要素を通してイオンを輸送するよう適合されており、イオン抵抗性要素はイオン抵抗性要素の基材対面側部上に複数の隆起部を備え、この隆起部は基材のメッキ面と実質的に同延である、浸漬すること;(c)(i)側部流入口から空隙内へ入り、側部流出口から出るように;及び(ii)イオン抵抗性要素の下からイオン抵抗性要素を通過して空隙内へ入り、側部流出口から出るように、基材ホルダ内の基材と接触するように電解質を流すことであって、側部流入口及び側部流出口は、電気メッキ中に空隙内の電解質の横断流を生成するよう設計又は構成されている、電解質を流すこと;(d)基材ホルダを回転させること;並びに(e)(c)と同様に電解質を流しながら、基材のメッキ面上に材料を電気メッキすることを含む。 In a further aspect disclosed herein, a method is provided for electroplating a substrate, the method comprising: (a) receiving a substantially flat substrate in a substrate holder And the substrate plating surface is exposed, and the substrate holder is configured to hold the substrate during electroplating such that the plating surface of the substrate is separated from the anode; (B) immersing the substrate in the electrolyte, forming an air gap between the plated surface of the substrate and the plane of the ion resistant element, the ion resistant element being at least about the same as the plated surface of the substrate The ion-resistant element is adapted to transport ions through the ion-resistant element during electroplating, the ion-resistant element comprising a plurality of ridges on the substrate facing side of the ion-resistant element The ridges are substantially coextensive with the plated surface of the substrate. Immersing; (c) (i) into the void from the side inlet and out of the side outlet; and (ii) void through the ion resistant element from under the ion resistant element Flowing electrolyte into contact with the substrate in the substrate holder, into and out of the side outlet, the side inlet and the side outlet being in the air gap during electroplating (D) rotating the substrate holder; and (e) flowing the electrolyte in the same manner as (c), while the electrolyte flow is designed or configured to generate the cross flow of the electrolyte; Including electroplating the material on the plated surface.

いくつかの実施形態では、空隙は、基材のメッキ面とイオン抵抗性要素の平面との間を測定した場合に約15mm未満である。基材のメッキ面と隆起部の最高部表面との間の空隙は、約0.5〜4mmとなり得る。特定の実装形態において、側部流入口は、方位的に別個であり流体連通していない2つ以上のセクションに分離され得、流入口の方位的に別個のセクションへの電解質の流れは独立して制御され得る。いくつかの場合においては、流れ配向要素を空隙内に位置決めしてよい。流れ配向要素によって、側部流入口から側部流出口への実質的に直線の流路に電解質を流すことができる。   In some embodiments, the air gap is less than about 15 mm as measured between the plated surface of the substrate and the plane of the ion resistant element. The air gap between the plated surface of the substrate and the top surface of the ridge may be about 0.5 to 4 mm. In certain implementations, the side inlets may be separated into two or more sections that are azimuthally distinct and not in fluid communication, and electrolyte flow to the azimuthally distinct sections of the inlets is independent. Can be controlled. In some cases, the flow directing element may be positioned in the air gap. The flow directing element allows the electrolyte to flow in a substantially straight flow path from the side inlet to the side outlet.

本明細書で開示する別の態様では、基材を電気メッキするための方法が提供され、この方法は:(a)基材ホルダ内に実質的に平坦な基材を受承することであって、基材のメッキ面は露出しており、基材ホルダは電気メッキ中、基材のメッキ面がアノードと分離されるように基材を保持するよう構成されている、受承すること;(b)基材を電解質に浸漬することであって、基材のメッキ面とイオン抵抗性要素の平面との間に空隙を形成し、イオン抵抗性要素は基材のメッキ面と少なくともほぼ同延であり、イオン抵抗性要素は電気メッキ中にイオン抵抗性要素を通してイオンを輸送するよう適合されており、イオン抵抗性要素はイオン抵抗性要素の基材対面側部上に段差を備え、この段差はイオン抵抗性要素の中心領域に位置決めされ、イオン抵抗性要素の非膨隆部で囲まれている、浸漬すること;(c)(i)側部流入口から段差を越えて空隙内へ入り、再び段差を越えて側部流出口から出るように;及び(ii)イオン抵抗性要素の下からイオン抵抗性要素を通過して空隙内へ入り、段差を越えて側部流出口から出るように、基材ホルダ内の基材と接触するように電解質を流すことであって、側部流入口及び側部流出口は、電気メッキ中に空隙内の電解質の横断流を生成するよう設計又は構成されている、電解質を流すこと;(d)基材ホルダを回転させること;並びに(e)(c)と同様に電解質を流しながら、基材のメッキ面上に材料を電気メッキすることを含む。 In another aspect disclosed herein, a method is provided for electroplating a substrate, the method comprising: (a) receiving a substantially flat substrate in a substrate holder And the substrate plating surface is exposed, and the substrate holder is configured to hold the substrate during electroplating such that the plating surface of the substrate is separated from the anode; (B) immersing the substrate in the electrolyte, forming an air gap between the plated surface of the substrate and the plane of the ion resistant element, the ion resistant element being at least about the same as the plated surface of the substrate The ion-resistant element is adapted to transport ions through the ion-resistant element during electroplating, the ion-resistant element comprising a step on the substrate facing side of the ion-resistant element, The step is positioned in the central region of the ion resistant element and (C) (i) from the side inlet into the air gap over the step and into the air gap, and again from the side through the outlet from the side outlet; And (ii) from under the ion-resistant element through the ion-resistant element and into the air gap to contact the substrate in the substrate holder so that it passes over the step and exits the side outlet. Flowing the electrolyte, wherein the side inlets and the side outlets are designed or configured to produce a cross flow of electrolyte in the air gap during electroplating; (d) Rotating the substrate holder; and (e) electroplating the material onto the plated surface of the substrate while flowing the electrolyte as in (c).

以上の及び他の特徴について、関連する図面を参照して以下に説明する。   These and other features are described below with reference to the associated drawings.

図1Aは、特定の実施形態による、一連の隆起部をその上に有する、チャネル付きイオン抵抗性要素の等角図である。FIG. 1A is an isometric view of a channeled ion resistant element having a series of ridges thereon, according to a particular embodiment.

図1Bは、半導体ウエハを電気化学的に処理するための基材保持及び位置決め装置の斜視図である。FIG. 1B is a perspective view of a substrate holding and positioning apparatus for processing semiconductor wafers electrochemically.

図1Cは、コーン及びカップを含む基材保持アセンブリの部分断面図である。FIG. 1C is a partial cross-sectional view of a substrate holding assembly including a cone and a cup.

図1Dは、この実施形態を実施する場合に使用できる、電気メッキセルの簡略図である。FIG. 1D is a simplified view of an electroplating cell that can be used to practice this embodiment.

図2は、本明細書で開示する特定の実施形態による、典型的にはカソードチャンバ内にある、電気メッキ装置の様々な部品の分解図である。FIG. 2 is an exploded view of various components of an electroplating apparatus, typically within the cathode chamber, in accordance with certain embodiments disclosed herein.

図3Aは、この特定の実施形態による、横断流の側部流入口及び周囲のハードウェアの拡大図である。FIG. 3A is an enlarged view of the cross flow side inlet and surrounding hardware according to this particular embodiment.

図3Bは、本明細書で開示する様々な実施形態による、横断流の流出口、CIRPマニフォールド流入口、及び周囲のハードウェアの拡大図である。FIG. 3B is an enlarged view of the cross flow outlet, CIRP manifold inlet, and surrounding hardware in accordance with various embodiments disclosed herein.

図4は、図3A〜Bに示す電気メッキ装置の様々な部品の断面図である。FIG. 4 is a cross-sectional view of various components of the electroplating apparatus shown in FIGS. 3A-B.

図5は、特定の実施形態による、6つの独立したセグメントに分割された横断流注入マニフォールド及びシャワーヘッドを示す。FIG. 5 shows a cross flow injection manifold and showerhead divided into six independent segments according to a specific embodiment.

図6は、一実施形態によるCIRP及び関連するハードウェアの、横断流の流入口側に特に焦点を当てた上面図である。FIG. 6 is a top view of the CIRP and associated hardware, with particular focus on the inflow side of the cross flow , according to one embodiment.

図7は、本明細書で開示する様々な実施形態による、横断流マニフォールドの流入口側及び流出口側両方を示す、CIRP及び関連するハードウェアの簡略上面図である。FIG. 7 is a simplified top view of a CIRP and associated hardware showing both the inlet and outlet sides of a cross flow manifold in accordance with various embodiments disclosed herein.

図8Aは、特定の実施形態による、横断流流入口領域の設計を示す図である。FIG. 8A illustrates a cross flow inlet region design according to a particular embodiment. 図8Bは、特定の実施形態による、横断流流入口領域の設計を示す図である。FIG. 8B shows a cross flow inlet region design according to a particular embodiment.

図9は、特定の関連する幾何学的寸法を示す、横断流流入口領域の図である。FIG. 9 is an illustration of a cross flow inlet area showing certain relevant geometric dimensions.

図10Aは、段差を有するチャネル付きイオン抵抗性プレートを使用する、横断流流入口領域の図である。FIG. 10A is a diagram of a cross flow inlet area using a channeled ion resistant plate with steps.

図10Bは、段差を有するチャネル付きイオン抵抗性プレートの一例を示す。FIG. 10B shows an example of a channeled ion resistive plate having a step.

図11は、一連の隆起部を有するチャネル付きイオン抵抗性プレートを使用する、横断流流入口領域の図である。FIG. 11 is an illustration of a cross flow inlet region using a channeled ion resistant plate having a series of ridges.

図12は、隆起部を有するチャネル付きイオン抵抗性プレートの拡大図である。FIG. 12 is an enlarged view of a channeled ion resistant plate having a ridge.

図13は、特定の実施形態による、隆起部のある形状及び設計を示す。FIG. 13 illustrates the raised shape and design according to a particular embodiment. 図14は、特定の実施形態による、図13とは異なる隆起部の形状及び設計を示す。FIG. 14 illustrates different ridge shapes and designs from that of FIG. 13 according to certain embodiments.

図15は、2つの異なる種類の切り欠き部を有する隆起部を示す。FIG. 15 shows a ridge having two different types of cutouts.

図16は、図15に示すタイプの隆起部を有する、チャネル付きイオン抵抗性プレートを示す。FIG. 16 shows a channeled ion resistant plate having ridges of the type shown in FIG.

図17は、列内で空隙によって分離された不連続な隆起部を有する、チャネル付きイオン抵抗性プレートの簡略上面図である。FIG. 17 is a simplified top view of a channeled ion resistant plate having discrete ridges separated by air gaps in a row.

図18は、隆起部を有するチャネル付きイオン抵抗性プレートの拡大断面図である。FIG. 18 is an enlarged cross-sectional view of a channeled ion resistant plate having ridges.

図19は、隆起部が複数のセグメントからなる、チャネル付きイオン抵抗性プレートの一実施形態の簡略上面図である。FIG. 19 is a simplified top view of an embodiment of a channeled ion resistant plate in which the ridges are comprised of multiple segments.

図20は、チャネル付きイオン抵抗性プレート上に隆起部を追加することによって、バンプの高さ及び厚さの変動を小さくすることにより、より均一なメッキを促進できることを示す、実験データである。FIG. 20 is experimental data showing that adding ridges on a channeled ion resistant plate can promote more uniform plating by reducing bump height and thickness variations.

本出願において、用語「半導体ウエハ」、「ウエハ」、「基材」、「ウエハ基材」及び「部分的に製造された集積回路」は交換可能に使用される。用語「部分的に製造された集積回路」は、シリコンウエハ上での集積回路製造の多数の段階のうちのいずれかの途中におけるシリコンウエハを表すことができることが当業者にはわかるであろう。以下の詳細な説明では、本発明はウエハ上に実装するものとする。半導体ウエハは通常200、300又は450mmの直径を有する。しかしながら、本発明は上記に限定されない。加工品は様々な形状、サイズ及び材料のものであってよい。半導体ウエハに加えて、本発明によって利益を得ることができる他の加工品として、プリント回路基板等の様々な物品が挙げられる。   In the present application, the terms "semiconductor wafer", "wafer", "substrate", "wafer substrate" and "partially manufactured integrated circuit" are used interchangeably. It will be appreciated by those skilled in the art that the term "partially manufactured integrated circuit" can refer to a silicon wafer in the middle of any of the multiple stages of integrated circuit fabrication on a silicon wafer. In the following detailed description, the present invention will be mounted on a wafer. Semiconductor wafers typically have a diameter of 200, 300 or 450 mm. However, the present invention is not limited to the above. Workpieces may be of various shapes, sizes and materials. In addition to semiconductor wafers, other workpieces that can benefit from the present invention include various articles such as printed circuit boards.

以下の説明において、本明細書で提示する実施形態の完全な理解を提供するために、多数の具体的な詳細を述べる。本明細書で開示する実施形態は、これら具体的な詳細のうちのいくつか又は全てを用いずに実施してよい。他に、本明細書で開示する実施形態を不必要にわかりにくくしないよう、公知のプロセス作業については本明細書では説明しなかった。本明細書で開示する実施形態について、特定の実施形態に関連して説明するが、これは本明細書で開示する実施形態を限定することを意図したものではないことを理解されたい。   In the following description, numerous specific details are set forth in order to provide a thorough understanding of the embodiments presented herein. The embodiments disclosed herein may be practiced without some or all of these specific details. In other instances, well known process operations have not been described herein so as not to unnecessarily obscure the embodiments disclosed herein. Although the embodiments disclosed herein are described in connection with specific embodiments, it should be understood that this is not intended to limit the embodiments disclosed herein.

以下の議論において、本明細書で開示する実施形態の上部(top)及び底部(bottom)特徴部分(又は上側(upper)及び下側(lower)特徴部分等、同様の用語)又は要素について言及する際、上部および底部という用語は、単に好都合であるために使用されているものであり、本発明に関する言及又は実装の1つの枠組みのみを表すものである。上部及び底部構成部品を上下逆にした構成、並びに/又は上部及び底部構成部品を左側及び右側構成部品若しくは右側及び左側構成部品とした構成等、他の構成が可能である。本明細書で説明するのは、1つ又は複数の金属を基材上に電気メッキするための装置及び方法である。全体として、基材が半導体ウエハである実施形態について説明するが、本発明はこれに限定されない。   In the following discussion, reference will be made to the top and bottom features (or similar terms such as upper and lower features, etc.) or elements of the embodiments disclosed herein. Here, the terms top and bottom are used merely for convenience, and represent only one framework of reference or implementation to the present invention. Other configurations are possible, such as configurations where the top and bottom components are upside down and / or configurations where the top and bottom components are left and right components or right and left components. Described herein are apparatus and methods for electroplating one or more metals on a substrate. Generally, embodiments are described where the substrate is a semiconductor wafer, but the invention is not limited thereto.

本明細書で開示する実施形態は、極めて均一なメッキ層を得るためのメッキ中の電解質の流体力学の制御のために構成された電気メッキ装置、及びこのような制御を含む方法を含む。具体的な実装形態では、本明細書で開示する実施形態は、衝突流(加工品表面に向かう又は加工品表面に垂直な流れ)及び剪断流(「横断流」又は加工品表面に平行な流速を有する流れと呼ぶ場合がある)の組合せを生成する方法及び装置を用いる。 Embodiments disclosed herein include electroplating apparatus configured for control of the hydrodynamics of the electrolyte during plating to obtain a highly uniform plating layer, and methods including such control. In a specific implementation, the embodiments disclosed herein provide impinging flow (flow toward or perpendicular to the workpiece surface) and shear flow (" cross flow " or flow velocity parallel to the workpiece surface). Use a method and apparatus to generate a combination of flows).

本明細書で開示する実施形態は、ウエハのメッキ表面とチャネル付きイオン抵抗性プレート(CIRP)の上部との間に小型チャネル(横断流マニフォールド)を提供するCIRPを用いる。CIRPは多くの機能を果たすが、これらの機能の中には:1)一般にCIRPの下側に位置するアノードからウエハへとイオン電流を流すことができる;2)CIRPを通して上方向へ、及び一般にウエハ表面へと流体を流すことができる;並びに3)横断流マニフォールド領域から離れて及び横断流マニフォールド領域の外に、電解質の流れを制限及び限定することができる、が含まれる。横断流マニフォールド領域内の流れは、CIRPの貫通孔から注入された流体、及び典型的にはCIRP上の、ウエハの片側に配置された横断流注入マニフォールドから入ってくる流体からなる。 Embodiments disclosed herein use a CIRP that provides a small channel ( cross flow manifold) between the plated surface of the wafer and the top of the channeled ion resistant plate (CIRP). While CIRP performs a number of functions, among these functions: 1) generally allows the ion current to flow from the anode located on the underside of the CIRP to the wafer; 2) upward through the CIRP, and generally fluid can flow into the wafer surface; and 3) out of the away from the transverse flow manifold region and transverse flow manifold region, it is possible to limit and restrict the flow of the electrolyte include. The flow in the cross flow manifold region consists of the fluid injected from the through holes of the CIRP, and the fluid coming in from the cross flow injection manifold located on one side of the wafer, typically on the CIRP.

本明細書で開示する実施形態では、最大蒸着速度並びにウエハの面全体にわたる及びメッキ特徴部分内でのメッキの均一性を改善するために、CIRPの上面を修正する。CIRPの上面の修正は、段差又は一連の隆起部の形態を取り得る。図1Aは、その上に一連の隆起部151を有するCIRP150の等角図である。これらのCIRPの修正については、以下でより詳細に議論する。   In the embodiments disclosed herein, the top surface of the CIRP is modified to improve the maximum deposition rate and plating uniformity across the entire surface of the wafer and within the plating features. The correction of the top surface of the CIRP may take the form of a step or series of ridges. FIG. 1A is an isometric view of a CIRP 150 having a series of ridges 151 thereon. These CIRP modifications are discussed in more detail below.

特定の実装形態では、横断流マニフォールド内に横断流を印加するための機構は、例えば適切な流れ配向及び分配手段をチャネル付きイオン抵抗性要素の周上又は近傍に有する流入口である。流入口はカソード液の横断流をチャネル付きイオン抵抗性要素の基材対面表面に沿って配向する。流入口は方位的に非対称であり、部分的にチャネル付きイオン抵抗性要素の周上にある。流入口は1つ又は複数の空隙又はキャビティ、例えば、チャネル付きイオン抵抗性要素の径方向外側に位置決めされた、横断流注入マニフォールドと呼ばれる環状キャビティを含んでよい。横断流注入マニフォールドと協働させるための他の要素を任意に提供する。これら他の要素は、横断流注入流分配シャワーヘッド、横断流制限リング、及び流れ配向フィンを含んでよく、図面を参照して以下に更に説明する。 In certain implementations, the mechanism for applying cross flow in the cross flow manifold is, for example, an inlet having appropriate flow orientation and distribution means on or near the perimeter of the channeled ion resistant element. The inlet directs the cross flow of catholyte along the substrate facing surface of the channeled ion resistant element. The inlet is azimuthally asymmetric and is partially on the periphery of the channeled ion resistant element. The inlet may include one or more air gaps or cavities, eg, an annular cavity positioned radially outward of the channeled ion resistant element, referred to as a cross flow injection manifold. Optionally provide other elements to cooperate with the cross flow injection manifold. These other elements may include a cross flow injection flow distribution showerhead, a cross flow restriction ring, and flow directing fins, which are further described below with reference to the drawings.

特定の実施形態では、電気メッキ中にチャネル付きイオン抵抗性要素の孔から出る少なくとも約3cm/秒(例えば少なくとも約5cm/秒又は少なくとも約10cm/秒)の平均流速を生成するように、基材のメッキ面へ向かって又はメッキ面に垂直な方向に電解質を流すことができるよう、装置を構成する。特定の実施形態では、基材のメッキ表面の中心点を通過する、約3cm/秒(例えば少なくとも約5cm/秒以上、少なくとも約10cm/秒以上、少なくとも約15cm/秒以上又は少なくとも約20cm/秒以上)の電解質の平均横断速度を生成するような条件下で動作するよう、装置を構成する。特定の実施形態では、これらの流量(即ちイオン抵抗性要素の孔を出る流量及び基材のメッキ面を通過する流量)は、電解質の総流量が約20L/分であり基材の直径が約12インチである電気メッキセルにおいて適切である。本明細書に記載する実施形態は、様々な基材サイズで実施できる。いくつかの場合においては、基材は約200mm、約300mm又は約450mmの直径を有する。更に、本明細書に記載する実施形態は、広範な総流量において実施できる。特定の実装形態では、電解質の総流量は約1〜60L/分、約6〜60L/分、約5〜25L/分、又は約15〜25L/分である。メッキ中に達成される流量は、使用しているポンプのサイズ及び性能等、特定のハードウェアによる制約によって制限され得る。本明細書で開示する技術をより大型のポンプを用いて実施する場合、本明細書で挙げる流量はより多くてよいことは、当業者には理解されるであろう。   In certain embodiments, the substrate is produced to produce an average flow rate of at least about 3 cm / s (eg, at least about 5 cm / s or at least about 10 cm / s) exiting the pores of the channelized ion resistant element during electroplating. The apparatus is configured to allow the electrolyte to flow towards the plated surface of the or in a direction perpendicular to the plated surface. In certain embodiments, about 3 cm / s (eg, at least about 5 cm / s or more, at least about 10 cm / s or more, at least about 15 cm / s or more, or at least about 20 cm / s The device is configured to operate under conditions that produce an average transverse velocity of the electrolyte). In certain embodiments, these flow rates (i.e., the flow rates exiting the pores of the ion resistant element and the flow rates through the plated surface of the substrate) have a total electrolyte flow rate of about 20 L / min and the diameter of the substrate is about Suitable for electroplating cells that are 12 inches. The embodiments described herein can be practiced with various substrate sizes. In some cases, the substrate has a diameter of about 200 mm, about 300 mm or about 450 mm. Furthermore, the embodiments described herein can be implemented at a wide range of total flow rates. In particular implementations, the total flow rate of the electrolyte is about 1 to 60 L / min, about 6 to 60 L / min, about 5 to 25 L / min, or about 15 to 25 L / min. The flow rates achieved during plating may be limited by certain hardware constraints, such as the size and performance of the pump being used. It will be understood by those skilled in the art that when the technology disclosed herein is practiced with a larger pump, the flow rates listed herein may be higher.

いくつかの実施形態では、電気メッキ装置は分離したアノード及びカソードチャンバを含み、2つのチャンバそれぞれに異なる電解質構成、電解質循環ループ、及び/又は流体力学が存在する。チャンバ間での1つ又は複数の成分の直接的な対流輸送(流れによる物質の移動)を妨害するため、及びチャンバ間の所望の分離を維持するために、イオン透過膜を用いてよい。この膜は大量の電解質流を阻害でき、また陽イオンのみ(陽イオン交換膜)又は陰イオンのみ(陰イオン交換膜)等、イオンを選択的に透過輸送させながら有機添加物等の特定の種の輸送を排除できる。具体的な例として、いくつかの実施形態では、膜は、DuPont社(デラウェア州ウィルミントン)製の陽イオン交換膜であるNAFION(登録商標)又は関連するイオン選択性ポリマーを含む。他の場合には、膜はイオン交換材料を含まず、代わりに微多孔性材料を含む。従来、カソードチャンバ内の電解質を「カソード液」と呼び、アノードチャンバ内の電解質を「アノード液」と呼ぶ。アノード液及びカソード液は異なる組成を有することが多々あり、アノード液はメッキ用添加物(例えば加速剤、抑制剤及び/又は均平剤)をほとんど含まないか全く含まず、カソード液はこれらの添加物を相当な濃度で含有する。金属イオン及び酸の濃度も、2つのチャンバ間で異なることがある。分離したアノードチャンバが含む電気メッキ装置の一例は、2000年11月3日に出願された米国特許第6527920号(代理人整理番号NOVLP007)、2002年8月27年に出願された米国特許第6821407号(代理人整理番号NOVLP048)、2009年12月17日に出願された米国特許第8262871号(代理人整理番号NOVLP308)に記載されており、これらはそれぞれ、参照によりその全体が本明細書に援用される。   In some embodiments, the electroplating apparatus includes separate anode and cathode chambers, wherein different electrolyte configurations, electrolyte circulation loops, and / or fluid dynamics exist in each of the two chambers. An ion permeable membrane may be used to prevent direct convective transport (transfer of material by flow) of one or more components between the chambers, and to maintain a desired separation between the chambers. This membrane can inhibit a large amount of electrolyte flow, and specific species such as organic additives while selectively permeating and transporting ions, such as cations only (cation exchange membranes) or anions only (anion exchange membranes) Transport can be eliminated. As a specific example, in some embodiments, the membrane comprises NAFION®, a cation exchange membrane from DuPont (Wilmington, DE) or related ion selective polymers. In other cases, the membrane does not contain ion exchange material, but instead contains microporous material. Conventionally, the electrolyte in the cathode chamber is referred to as "catholyte" and the electrolyte in the anode chamber is referred to as "anolyte". The anolyte and catholyte often have different compositions and the anolyte contains little or no plating additive (eg, accelerator, inhibitor and / or leveling agent) and the catholyte contains Additives are included at significant concentrations. The concentrations of metal ions and acids may also differ between the two chambers. An example of an electroplating apparatus that includes a separated anode chamber is described in U.S. Patent No. 6,527,920 filed on November 3, 2000 (Attorney Docket No. NOVLP 007), U.S. Patent No. 6,821,407, filed August 27, 2002. No. (Attorney Docket No. NOVLP 048), US Pat. No. 8,262,871 filed on Dec. 17, 2009 (Attorney Docket No. NOVLP 308), each of which is incorporated herein by reference in its entirety. It is incorporated.

いくつかの実施形態では、膜はイオン交換材料を含む必要はない。いくつかの実施例では、膜はKoch Membrane(マサチューセッツ州ウィルミントン)製のポリエーテルスルホン等の微多孔性材料で製造される。このタイプの膜は、スズ−銀メッキ及び金メッキ等の不活性アノードを用いる応用例に最も適切であるが、ニッケルメッキ等の可溶性アノードを用いる応用例にも使用してよい。   In some embodiments, the membrane need not include an ion exchange material. In some embodiments, the membrane is made of a microporous material such as polyethersulfone manufactured by Koch Membrane (Wilmington, Mass.). Films of this type are most suitable for applications using inert anodes such as tin-silver plating and gold plating, but may also be used for applications using soluble anodes such as nickel plating.

特定の実施形態では、本明細書の他の部分でより完全に説明するように、カソード液は
電気メッキセル内に2つの主経路のうちの1つを通って流れてよい。第1の経路では、カソード液はマニフォールド領域に供給され、この領域をこれ以降、CIRPの下側かつ通常は(必ずしもそうである必要はないが)セル膜及び/又は膜フレームホルダの上側に配置された「CIRPマニフォールド領域」と呼ぶ。CIRPマニフォールド領域から、カソード液はCIRPの様々な孔を上方向へCIRP内へと通過して基材の空隙(横断流又は横断流マニフォールド領域と呼ぶ場合もある)へと移動し、ウエハ表面へ向かう方向へ移動する。第2の横断流電解質供給経路では、カソード液は横断流注入マニフォールド領域の片側から、横断流注入マニフォールド領域内へと供給される。横断流注入マニフォールドから、カソード液はCIRPを通過して基材の空隙(即ち横断流マニフォールド)へと移動し、基材の表面全体にわたって基材の表面に概ね平行な方向に流れる。
In certain embodiments, the catholyte may flow into the electroplating cell through one of two main paths, as described more fully elsewhere herein. In the first pass, the catholyte is supplied to the manifold area, which is then placed under the CIRP and usually (but not necessarily) on the cell membrane and / or membrane frame holder. It is called "CIRP manifold area". From the CIRP manifold area, the catholyte travels upward through the various pores of the CIRP and into the CIRP into the substrate void (sometimes referred to as cross flow or cross flow manifold area) to the wafer surface. Move in the direction to head. In the second crossflow electrolyte supply passage, catholyte from one side of the cross-flow injection manifold region is supplied to the cross-flow injection manifold region. From the cross flow injection manifold, the catholyte passes through the CIRP and travels to the void of the substrate (ie, the cross flow manifold), flowing across the surface of the substrate in a direction generally parallel to the surface of the substrate.

本明細書に記載するいくつかの態様は様々なタイプのメッキ装置で使用できるが、簡単化及び明確化のために、実施例の大半は、ウエハ面が下を向いた「噴水型」メッキ装置に関するものとする。このような装置では、メッキされる加工品(典型的には上述の実施例における半導体ウエハ)は一般に、実質的に水平に配向され(いくつかの場合においては、メッキプロセスの一部又は全部にわたって、完全な水平状態から数度だけ変更する場合があり得る)、メッキ中に回転させて概ね垂直方向上向きの電解質対流パターンを得るために電源供給され得る。ウエハの中心から縁部への衝突流の統合、及び回転するウエハの中心に比べて、縁部の角速度が増大する性質によって、径方向に増大する剪断(ウエハに平行な)流速が生成される。噴水型メッキクラスのセル/装置のメンバの一例は、Novellus Systems, Inc.(カリフォルニア州サンホセ)が製造し市販しているSabre(登録商標)電気メッキシステムである。更に、噴水型電気メッキシステムは、例えば2001年8月10日に出願された米国特許第6800187号(代理人整理番号NOVLP020)、及び2008年11月7日に出願された米国特許第8308931号(代理人整理番号NOVLP299)に記載されており、これらは参照によりその全体が本明細書に援用される。   Although some aspects described herein can be used with various types of plating apparatus, for the sake of simplicity and clarity, most of the examples are "wafer-type" plating apparatus with the wafer face down. Shall be concerned with In such devices, the workpieces to be plated (typically the semiconductor wafer in the above example) are generally oriented substantially horizontally (in some cases, throughout part or all of the plating process) It may be powered during the plating to obtain a generally vertically upward electrolyte convection pattern, which may change from perfect horizontal by only a few degrees. Integration of impinging flow from the center of the wafer to the edge, and the nature of the increased angular velocity of the edge relative to the center of the rotating wafer, produces a radially increasing shear (parallel to the wafer) flow rate . One example of a fountain-type plating cell / device member is Novellus Systems, Inc. A Saber (R) electroplating system manufactured and marketed by San Jose, CA. In addition, fountain-type electroplating systems are disclosed, for example, in US Pat. No. 6,800,187 filed on Aug. 10, 2001 (Attorney Docket No. NOVLP 020) and US Pat. No. 8,308,931 filed on Nov. 7, 2008. Attorney Docket No. NOVLP 299), which are incorporated herein by reference in their entirety.

メッキされる基材は概ね平坦であるか又は実質的に平坦である。ここでは、溝、ビア、フォトレジストパターン等の特徴部分を有する基材は、実質的に平坦であるとみなす。これらの特徴部分は顕微鏡的スケールである場合が多いが、必ずしもそうではない。多くの実施形態では、基材表面の1つ又は複数の部分を電解質への曝露に対してマスキングしてよい。   The substrate to be plated is generally flat or substantially flat. Here, substrates having features such as trenches, vias, photoresist patterns, etc. are considered substantially flat. These features are often, but not necessarily, on a microscopic scale. In many embodiments, one or more portions of the substrate surface may be masked against exposure to the electrolyte.

図1Bに関する以下の説明は、本明細書に記載する装置及び方法の理解を助ける一般的かつ非限定的な内容を提供する。図1Bは、半導体ウエハを電気化学的に処理するための、ウエハ保持及び位置決め装置100の斜視図である。装置100はウエハ係合部品(本明細書では「クラムシェル」部品と呼ぶことがある)を含む。実際のクラムシェルは、ウエハと封止材との間に圧力を印加できるカップ102及びコーン103を含み、これによってウエハはカップ内に固定される。   The following description of FIG. 1B provides general and non-limiting content that aids in the understanding of the devices and methods described herein. FIG. 1B is a perspective view of a wafer holding and positioning apparatus 100 for processing semiconductor wafers electrochemically. The apparatus 100 includes wafer engaging components (sometimes referred to herein as "clamshell" components). The actual clamshell includes a cup 102 and a cone 103 that can apply pressure between the wafer and the encapsulant, whereby the wafer is secured within the cup.

カップ102は、天板105に接続された支柱104で支持される。このアセンブリ(102〜105)をまとめてアセンブリ101とするが、これはモータ107によって心棒106を介して駆動される。モータ107は取付ブラケット109に取り付けられる。心棒106はウエハ(この図では図示せず)にトルクを伝達し、これによってウエハはメッキ中に回転できる。心棒106内の空気圧シリンダ(図示せず)もまた、カップとコーン103との間に垂直方向の力をもたらし、これによってカップ内に格納されたウエハと封止部材(リップシール)との間の封止を生成する。この議論のために、部品102〜109を含むアセンブリをまとめてウエハホルダ111と呼ぶ。しかしながら、「ウエハホルダ」という概念は一般に、ウエハと係合してウエハの移動及び位置決めを可能とする、部品の様々な組合せ及び部分的組合せにまで及ぶ。   The cup 102 is supported by a column 104 connected to the top plate 105. The assemblies (102-105) are combined into an assembly 101, which is driven by a motor 107 through a mandrel 106. The motor 107 is attached to the mounting bracket 109. The mandrel 106 transmits torque to the wafer (not shown in this view), which allows the wafer to rotate during plating. A pneumatic cylinder (not shown) in the stem 106 also provides a vertical force between the cup and the cone 103, thereby between the wafer stored in the cup and the sealing member (lip seal). Generate a seal. For the purposes of this discussion, the assembly containing parts 102-109 will be collectively referred to as wafer holder 111. However, the concept "wafer holder" generally extends to various combinations and subcombinations of parts that engage the wafer to allow movement and positioning of the wafer.

第2のプレート117に摺動可能に接続される第1のプレート115を含む傾動アセンブリを、取付ブラケット109に接続する。駆動シリンダ113を、車軸関節119及び121においてそれぞれプレート115及びプレート117の両方に接続する。よって、駆動シリンダ113はプレート117を横切るようにプレート115(及びそれにともなってウエハホルダ111)を摺動させるための力をもたらす。ウエハホルダ111の遠位端(即ち取付ブラケット109)は、プレート115とプレート117との間の接触領域を画定する弧状経路(図示せず)に沿って移動し、従ってウエハホルダ111の近位端(即ちカップ及びコーンのアセンブリ)は仮想の枢軸上で傾動する。これにより、ウエハをメッキ槽に角度を付けて入れることができる。   A tilting assembly including a first plate 115 slidably connected to a second plate 117 is connected to the mounting bracket 109. Drive cylinders 113 are connected to both plates 115 and 117 at axle joints 119 and 121, respectively. Thus, the drive cylinder 113 provides a force to slide the plate 115 (and hence the wafer holder 111) across the plate 117. The distal end of wafer holder 111 (i.e. mounting bracket 109) moves along an arcuate path (not shown) which defines the contact area between plate 115 and plate 117 and thus the proximal end of wafer holder 111 (i.e. The cup and cone assembly) tilts on a virtual pivot. This allows the wafer to be angled into the plating bath.

装置100全体を上下に垂直に持ち上げて、別のアクチュエータ(図示せず)を介してウエハホルダ111の近位端をメッキ液に浸漬させる。よって、2部品位置決め機構は、電解質に対して垂直な軌道に沿った垂直移動、及びウエハの水平配向(電解質表面に対して平行)を偏向することができる傾動移動をもたらす(角度付きウエハ浸漬性能)。移動性能及び関連する装置100のハードウェアのより詳細な説明は、2001年5月31日に出願され2003年4月22日に交付された米国特許第6551487号(代理人整理番号NOVLP022)に記載されており、これは参照によりその全体が本明細書に援用される。   The entire apparatus 100 is vertically lifted up and down to immerse the proximal end of the wafer holder 111 in a plating solution through another actuator (not shown). Thus, the two-part positioning mechanism provides vertical movement along a trajectory perpendicular to the electrolyte and tilting movement that can deflect the horizontal orientation of the wafer (parallel to the electrolyte surface) (Angled wafer immersion performance ). A more detailed description of mobility performance and associated device 100 hardware can be found in US Pat. No. 6,551,487 (Attorney Docket No. NOVLP 022), filed May 31, 2001 and issued April 22, 2003. Which is incorporated herein by reference in its entirety.

なお、装置100は典型的には、アノード(例えば銅製アノード又は非金属不活性アノード)及び電解質を格納するメッキチャンバを有する特定のメッキセルと共に使用される。メッキセルはまた、電解質をメッキセル全体に、かつメッキされる加工品に対して循環させるための配管又は配管接続も含んでよい。メッキセルはまた、アノード区画及びカソード区画内において電解質の異なる化学組成を維持するために設計された膜又は他のセパレータを含んでもよい。また任意に、物理的手段(例えば弁を含むポンプでの直接輸送又は越流トラフ)でアノード液をカソード液槽又は主メッキ槽に移動させるための手段を設けてもよい。   It should be noted that the apparatus 100 is typically used with a particular plating cell having an anode (eg, a copper anode or a non-metal inert anode) and a plating chamber containing an electrolyte. The plating cell may also include piping or piping connections to circulate the electrolyte throughout the plating cell and to the workpiece being plated. The plating cell may also include a membrane or other separator designed to maintain different chemical composition of the electrolyte in the anode and cathode compartments. Also optionally, means may be provided to move the anolyte to the catholyte reservoir or main plating reservoir by physical means (e.g. direct transport with a pump including a valve or overflow trough).

クラムシェルのカップ及びコーンのアセンブリを以下でより詳細に説明する。図1Cは、アセンブリ100のコーン103及びカップ102を含む部分101の断面図である。なお、この図はカップ及びコーンの製品アセンブリを正確に図示することを意図したものではなく、議論を目的として様式化した図である。カップ102は支柱104を介して天板105によって支持され、支柱はネジ108で取り付けられている。一般に、カップ102はウエハ145が載置される支持体を提供する。これは開口を含み、この開口を通してメッキセルからの電解質がウエハに接触できる。なお、ウエハ145は前側142を有し、メッキが施されるのはこの前側142である。ウエハ145の周はカップ102上に載置される。コーン103は、メッキ中にウエハを所定の位置に保持するために、ウエハの裏側に圧力を印加する。   The clamshell cup and cone assembly is described in more detail below. FIG. 1C is a cross-sectional view of portion 101 including cone 103 and cup 102 of assembly 100. Note that this figure is not intended to accurately illustrate the cup and cone product assembly, but is a stylized figure for discussion purposes. The cup 102 is supported by the top plate 105 via a support 104, and the support is attached by a screw 108. In general, cup 102 provides a support on which wafer 145 is mounted. This includes an opening through which the electrolyte from the plating cell can contact the wafer. The wafer 145 has a front side 142, and the front side 142 is plated. The circumference of the wafer 145 is placed on the cup 102. The cone 103 applies pressure to the backside of the wafer to hold the wafer in place during plating.

ウエハをアセンブリ101に装填するために、心棒106によって、コーン103を図示した位置から、コーン103が天板105に接触するまで持ち上げる。この位置から、カップとコーンとの間にウエハ145を挿入できる空隙が生成され、これによってウエハ145をカップ内に装填できる。次にコーン103を下げ、図示したようにウエハがカップ102の周に対して係合し、ウエハの外周に沿ったリップシール143を径方向に越える一連の電気的接触(図1Cでは図示せず)と一致する。チャネル付きイオン抵抗性プレート(CIRP)上に段差又は一連の隆起部を使用する実施形態では、ウエハ又はウエハホルダがCIRPと接触するのを回避するために、ウエハを若干異なる方法で挿入してよい。この場合、ウエハホルダはまず、ウエハを電解質の表面に対してある角度で挿入してよい。次にウエハホルダは、ウエハが水平位置を取るようにウエハを回転させてよい。ウエハが回転している間、ウエハはCIRPが妨げられない限り、電解質中へと下方向に移動し続けてよい。ウエハ挿入の最後の部分は、ウエハをまっすぐ下向きに挿入することを含んでよい。このまっすぐ下向きの移動は、ウエハが水平に配向されたら(即ちウエハが傾斜していない状態になった後で)実行できる。   To load the wafer into the assembly 101, the mandrel 106 lifts the cone 103 from the illustrated position until the cone 103 contacts the top plate 105. From this position, an air gap is created between the cup and the cone that allows the wafer 145 to be inserted, thereby allowing the wafer 145 to be loaded into the cup. Next, the cone 103 is lowered and the wafer engages against the circumference of the cup 102 as shown, and a series of electrical contacts (not shown in FIG. 1C) radially across the lip seal 143 along the wafer's periphery Match with). In embodiments using a step or series of ridges on a channeled ion resistant plate (CIRP), the wafer may be inserted in a slightly different manner to avoid contact of the wafer or wafer holder with the CIRP. In this case, the wafer holder may first insert the wafer at an angle to the surface of the electrolyte. The wafer holder may then rotate the wafer such that the wafer is in a horizontal position. While the wafer is rotating, the wafer may continue to move downward into the electrolyte as long as the CIRP is not impeded. The final portion of the wafer insertion may include inserting the wafer straight down. This straight down movement can be performed when the wafer is oriented horizontally (i.e. after the wafer is in a non-tilted state).

心棒106は、コーン103をウエハ145に係合させるための垂直方向の力、及びアセンブリ101を回転させるためのトルクの両方を伝達する。伝達されるこれらの力を、図1Cでは矢印で示す。なお、ウエハのメッキは典型的には(図1Cの上部の破線矢印で示すような)ウエハの回転中に行われる。   Mandrel 106 transmits both the vertical force to engage cone 103 to wafer 145 and the torque to rotate assembly 101. These transmitted forces are indicated by arrows in FIG. 1C. Note that plating of the wafer is typically performed during the rotation of the wafer (as shown by the dashed arrow at the top of FIG. 1C).

カップ102は圧縮可能なリップシール143を有し、コーン103がウエハ145と係合すると、このリップシール143は液密封止を形成する。コーン及びウエハからの垂直方向の力はリップシール143を圧縮し、これによって液密封止が形成される。リップシールは、電解質がウエハ145の裏側(ここでは銅又はスズイオン等の汚染種がシリコン内に直接導入され得る)に接触すること、及び装置101の脆弱な部品と接触することを防ぐ。ウエハ145の裏側を更に保護するための液密封止を形成する、カップとウエハの境界に配置される封止材を設けてもよい(図示せず)。   The cup 102 has a compressible lip seal 143 which, when engaged with the wafer 145, forms a fluid tight seal. The vertical forces from the cone and the wafer compress the lip seal 143 thereby forming a fluid tight seal. The lip seal prevents the electrolyte from contacting the back side of the wafer 145 (here, contaminating species such as copper or tin ions may be introduced directly into the silicon) and contacting fragile parts of the device 101. An encapsulant placed at the cup-wafer interface may be provided (not shown) to form a fluid tight seal to further protect the backside of the wafer 145.

コーン103はまた、封止材149を含む。図示したように、封止材149はコーン103の縁部付近に位置し、係合するとカップの上側領域に位置する。これもまた、カップの上からクラムシェルに入り得るいずれの電解質からウエハ145の裏側を保護する。封止材149はコーン又はカップに固定してよく、単一の封止材又は複数の部品からなる封止材であってよい。   Cone 103 also includes encapsulant 149. As shown, the seal 149 is located near the edge of the cone 103 and, when engaged, is located in the upper region of the cup. This again protects the backside of wafer 145 from any electrolyte that may enter the clamshell from above the cup. The encapsulant 149 may be secured to a cone or cup and may be a single encapsulant or a plurality of components.

メッキを開始すると、コーン103をカップ102の上へと持ち上げ、ウエハ145をアセンブリ101に導入する。典型的にはロボットアームによってウエハを初めにカップ102に導入する際、ウエハの前側142はリップシール143上に軽く載置される。メッキ中、均一なメッキの達成を助けるためにアセンブリ101は回転する。後続の図では、アセンブリ101をより簡略化して、メッキ中にウエハのメッキ表面142における電解質の流体力学を制御するための部品と関連して示す。   Once plating is initiated, the cone 103 is lifted onto the cup 102 and the wafer 145 is introduced into the assembly 101. Typically, the front side 142 of the wafer is lightly placed on the lip seal 143 as the robot arm initially introduces the wafer into the cup 102. During plating, the assembly 101 is rotated to help achieve uniform plating. In subsequent figures, assembly 101 is more simplified and shown in conjunction with components for controlling the hydrodynamics of the electrolyte at the plating surface 142 of the wafer during plating.

図1Dは、ウエハ145上に金属をメッキするためのメッキ装置725の断面図であり、ウエハ145はウエハホルダ101によって保持、位置決め、及び回転される。装置725はメッキセル155を含み、これは例えば銅製アノードであるアノードチャンバ160及びアノード溶液を有するデュアルチャンバセルである。アノードチャンバ及びカソードチャンバは、例えば支持部材735で支持されたカチオン性膜740によって分離される。メッキ装置725は、上述のようなCIRP410を含む。流れ切替弁325はCIRP410の頂部にあり、これは上述のように横断方向の剪断流の生成を助ける。カソード液は流れポート710を介して(膜740の上側の)カソードチャンバに導入される。流れポート710から、カソード液は上述のようにCIRP410を通過し、ウエハ145のメッキ表面上に衝突流を生成する。カソード液の流れポート710に加えて、追加の流れポート710aは、流れ切替弁325の空隙/流出口から離れた位置にあるその出口において、カソード液を導入する。この実施例では、流れポート710aの出口は、流れ成形プレート410のチャネルとして形成される。その結果得られる機能は、ウエハ表面を横切る横断方向の流れを増強して、ウエハ145(及び流れ成形プレート410)を横切る流れベクトルを標準化するために、カソード液の流れを、CIRP410とウエハのメッキ表面145との間に形成されるメッキ領域に直接導入することである。   FIG. 1D is a cross-sectional view of a plating apparatus 725 for plating metal on a wafer 145, which is held, positioned, and rotated by the wafer holder 101. Apparatus 725 includes a plating cell 155, which is a dual chamber cell having an anode chamber 160, eg, a copper anode, and an anode solution. The anode and cathode chambers are separated by, for example, a cationic membrane 740 supported by a support member 735. The plating apparatus 725 includes the CIRP 410 as described above. The flow switching valve 325 is at the top of the CIRP 410, which assists in the generation of transverse shear flow as described above. The catholyte is introduced into the cathode chamber (above the membrane 740) via the flow port 710. From flow port 710, the catholyte passes through CIRP 410 as described above, creating an impinging flow on the plated surface of wafer 145. In addition to the catholyte flow port 710, the additional flow port 710a introduces catholyte at its outlet remote from the air gap / outlet of the flow diverter valve 325. In this embodiment, the outlet of flow port 710 a is formed as a channel of flow shaping plate 410. The resulting function is to enhance cathodic flow across the wafer surface and standardize the catholyte flow to plate the CIRP 410 and wafer to standardize the flow vector across the wafer 145 (and the flow shaping plate 410). Directly into the plating area formed between it and the surface 145.

本明細書に記載する実施形態を更に図示及び説明するために、多数の図を提供する。これらの図はとりわけ、本明細書で開示する電気メッキ装置に関連する構造要素及び流路の様々な図を含む。これらの要素には特定の名称/参照番号が与えられており、これは図2〜19に関する説明において一貫して使用される。図2は、ウエハホルダ254、横断流制限リング210、横断流リングガスケット238、横断流シャワーヘッド242を有するチャネル付きイオン抵抗性プレート(CIRP)206、及び流体調整ロッド270を有する膜フレーム274を含む特定の実施形態に存在する複数の要素を示す。図2では、これらの部品がどのように嵌合するかを例示するために、これらの要素を分解図で示す。 A number of figures are provided to further illustrate and explain the embodiments described herein. These figures among others include various views of the structural elements and flow paths associated with the electroplating apparatus disclosed herein. These elements are given specific names / reference numbers, which are used consistently in the description with respect to FIGS. FIG. 2 includes a wafer holder 254, a cross flow limiting ring 210, a cross flow ring gasket 238, a channeled ion resistant plate (CIRP) 206 with a cross flow shower head 242, and a membrane frame 274 with a fluid conditioning rod 270. 1 shows a plurality of elements present in the embodiment of. In FIG. 2 these elements are shown in an exploded view to illustrate how these parts fit.

以下の実施形態はそのほとんどが、電気メッキ装置が分離したアノードチャンバを含むことを想定している。本明細書に記載する特徴部分はカソードチャンバに含まれる。図3A、3B及び4に関して、カソードチャンバの底面は膜フレーム274及び膜202(注:膜202は極めて薄いため、実際に外しされていないが、膜フレーム274の下側表面に位置するものとしてその位置202を示す)を含み、これらはアノードチャンバをカソードチャンバから分離する。可能ないかなる数のアノード及びアノードチャンバ構成を用いてよい。   Most of the following embodiments assume that the electroplating apparatus includes a separate anode chamber. The features described herein are included in the cathode chamber. With reference to FIGS. 3A, 3B and 4, the bottom of the cathode chamber is the membrane frame 274 and the membrane 202 (note: the membrane 202 is extremely thin and thus not actually removed, but as being located on the lower surface of the membrane frame 274). Position 202), which separate the anode chamber from the cathode chamber. Any number of possible anode and anode chamber configurations may be used.

以下の説明では、横断流マニフォールド又はマニフォールド領域226内でカソード液を制御することに大いに焦点が当てられている。この横断流マニフォールド領域226はまた、空隙又はCIRP−ウエハ間空隙226と呼んでもよい。カソード液は(1)チャネル付きイオン抵抗性プレート206のチャネル及び(2)横断流開始構造250の2つの分離した入口点を通って横断流マニフォールド226へ入る。CIRP206のチャネルを介して横断流マニフォールド226に到達したカソード液は、加工品の面に向かって、典型的には実質的に垂直方向に配向される。このようにチャネルによって送達されたカソード液は、加工品の面に衝突する小さなジェット流を形成でき、この加工品は典型的には、チャネル付きプレート206に対してゆっくりと(例えば約1〜30rpmで)回転している。対照的に、横断流開始構造260を介して横断流マニフォールド226に到達したカソード液は、加工品の面に対して実質的に平行に配向される。 In the following description, the control of the catholyte in the cross flow manifold or manifold region 226 is largely focused. This cross flow manifold region 226 may also be referred to as the air gap or the CIRP-to-wafer air gap 226. The catholyte enters the cross flow manifold 226 through (1) the channels of the channeled ion resistant plate 206 and (2) two separate inlet points of the cross flow initiation structure 250. The catholyte reaching the cross flow manifold 226 through the channels of the CIRP 206 is typically oriented substantially vertically towards the surface of the workpiece. The catholyte delivered in this manner by the channels can form a small jet stream that impinges on the surface of the workpiece, which is typically slow (eg, about 1 to 30 rpm) relative to the channeled plate 206. ) Is rotating. In contrast, the catholyte reaching the cross flow manifold 226 via the cross flow initiation structure 260 is oriented substantially parallel to the plane of the workpiece.

以上の議論で示したように、チャネル付きイオン抵抗性プレート206(チャネル付きイオン抵抗性要素、CIRP、高抵抗性仮想アノード即ちHRVAと呼ぶこともある)はメッキ中、作動中の電極(ウエハ又は基材)と対電極(アノード)との間に位置決めされ、これによって、ウエハ境界の比較的近傍に大きく局所的なイオンシステム抵抗性を呈し(またこれによって電場を制御及び成形し)、電解質流の性質を制御できる。本出願の様々な図面は、本明細書で開示する装置の他の構造的特徴部分に対するチャネル付きイオン抵抗性プレート206の相対位置を示す。このようなイオン抵抗性要素206の一例は、2008年11月7日に出願された米国特許第8308931号(代理人整理番号NOVLP299)に記載されており、これは既に参照によりその全体が本明細書に援用されている。この文献に記載されているチャネル付きイオン抵抗性プレートは、比較的低い導電性を有するもの又は極めて薄い抵抗性シード層を含むもの等のウエハ表面上の、径方向のメッキの均一性を改善するために適切である。多数の実施形態では、チャネル付きイオン抵抗性プレートは、上述のような、かつ以下で更に説明するような段差又は一連の隆起部を含むよう適合される。   As indicated in the above discussion, the channeled ion resistive plate 206 (also referred to as a channeled ion resistive element, CIRP, a high resistivity virtual anode or HRVA) is a working electrode (wafer or plate) during plating. Positioned between the substrate) and the counter electrode (anode), thereby providing large local ion system resistance (and thereby controlling and shaping the electric field) relatively near the wafer boundary, and electrolyte flow Control the nature of The various figures of the present application show the relative position of the channeled ion resistant plate 206 with respect to other structural features of the devices disclosed herein. An example of such an ion resistant element 206 is described in US Pat. No. 8,308,931 filed on Nov. 7, 2008 (Attorney Docket No. NOVLP 299), which has already been incorporated by reference in its entirety. Is incorporated into the book. The channeled ion resistant plates described in this document improve radial plating uniformity on wafer surfaces such as those with relatively low conductivity or those with very thin resistive seed layers. It is appropriate for In many embodiments, the channeled ion resistant plate is adapted to include a step or series of ridges as described above and further described below.

「膜フレーム」274(他の文献ではアノード膜フレームと呼ばれることがある)は、いくつかの実施形態においてアノードチャンバをカソードチャンバから分離する膜202を支持するために使用される構造要素である。膜フレーム274は、本明細書で開示する特定の実施形態に関して他の特徴部分を有し得る。特に、図示した実施形態に関して、膜フレーム274は、CIRPマニフォールド208へ又は横断流マニフォールド226へカソード液を送達するための流れチャネル258及び262を含んでよい。更に、膜フレーム274は、カソード液の横断流横断流マニフォールド226へ送達するよう構成されたシャワーヘッドプレート242を含んでよい。膜フレーム274はまた、セル堰止壁282を含んでよく、これはカソード液の最上水位を決定及び調節するにあたって有益である。本出願の様々な図は、本明細書で開示する横断流装置に関連する他の構造的特徴部分の文脈において膜フレーム274を示す。 A “membrane frame” 274 (sometimes referred to in other documents as an anode membrane frame) is a structural element used to support the membrane 202 which separates the anode chamber from the cathode chamber in some embodiments. The membrane frame 274 may have other features with respect to the particular embodiments disclosed herein. In particular, with respect to the illustrated embodiment, the membrane frame 274 may include flow channels 258 and 262 for delivering catholyte to the CIRP manifold 208 or to the cross flow manifold 226. Further, the film frame 274 may include a showerhead plate 242 configured to deliver catholyte cross flow to the transverse flow manifold 226. Membrane frame 274 may also include cell retaining wall 282, which is useful in determining and adjusting the top level of the catholyte. Various figures of the present application show the membrane frame 274 in the context of other structural features associated with the cross flow device disclosed herein.

膜フレーム274は、典型的にはアノードチャンバをカソードチャンバから分離する役割を果たすイオン交換膜である膜202を保持するための剛性構造部材である。説明したように、アノードチャンバは第1の組成の電解質を含んでよく、その一方でカソードチャンバは第2の組成の電解質を含む。膜フレーム274はまた、チャネル付きイオン抵抗性要素206への流体の送達の制御を助けるために使用できる複数の流体調整ロッド270(流れ制限要素と呼ぶ場合もある)を含んでよい。膜フレーム274は、カソードチャンバの最低部及びアノードチャンバの最上部を画定する。本明細書に記載する部品は全て、アノードチャンバ及びアノードチャンバ膜202の上側の電気化学メッキセルの加工品側に配置される。これらは全てカソードチャンバの一部とみなすことができる。しかしながら、横断流注入装置の特定の実装形態は分離したアノードチャンバを使用せず、従って膜フレーム274は必須ではないことを理解されたい。 Membrane frame 274 is a rigid structural member for holding membrane 202, which is typically an ion exchange membrane that serves to separate the anode chamber from the cathode chamber. As described, the anode chamber may include an electrolyte of a first composition, while the cathode chamber includes an electrolyte of a second composition. Membrane frame 274 may also include a plurality of fluid conditioning rods 270 (sometimes referred to as flow restriction elements) that can be used to help control the delivery of fluid to channeled ion resistant element 206. Membrane frame 274 defines the bottom of the cathode chamber and the top of the anode chamber. All of the components described herein are located on the anode chamber and on the workpiece side of the electrochemically plated cell above the anode chamber membrane 202. These can all be considered as part of the cathode chamber. However, it should be understood that the particular implementation of the cross flow injection device does not use a separate anode chamber, and thus the membrane frame 274 is not required.

一般に加工品と膜フレーム274との間には、チャネル付きイオン抵抗性プレート206並びに横断流リングガスケット238及びウエハの横断流制限リング210が配置され、横断流リングガスケット238及びウエハの横断流制限リング210はそれぞれチャネル付きイオン抵抗性プレート206に固定してよい。より具体的には、横断流リングガスケット238はCIRP206上に直接位置決めしてよく、ウエハの横断流制限リング210は横断流リングガスケット238を覆うように位置決めしてよく、チャネル付きイオン抵抗性プレート206の上側表面に固定してよく、これによってガスケット238を効果的に挟み込む。本出願の様々な図は、チャネル付きイオン抵抗性プレート206に対して配設された横断流制限リング210を示す。更に、CIRP206は、以下に更に説明するように、段差又は一連の隆起部を含んでよい。 A channeled ion resistant plate 206 and a cross flow ring gasket 238 and a cross flow restriction ring 210 of the wafer are generally disposed between the workpiece and the membrane frame 274, the cross flow ring gasket 238 and the cross flow restriction ring of the wafer. Each 210 may be fixed to a channeled ion resistant plate 206. More specifically, the cross flow ring gasket 238 may be positioned directly on the CIRP 206 and the cross flow limiting ring 210 of the wafer may be positioned to cover the cross flow ring gasket 238 and the channeled ion resistant plate 206 It may be fixed to the upper surface of the, effectively sandwiching the gasket 238. Various views of the present application show the cross flow restriction ring 210 disposed relative to the channeled ion resistant plate 206. Additionally, CIRP 206 may include a step or series of ridges, as described further below.

図2に示すような、本開示の最上部の関連する構造的特徴部分は、加工品又はウエハホルダである。特定の実施形態では、加工品ホルダはカップ254であってよく、これは通常、Lam Research Corporation製の上述のSabre(登録商標)電気メッキ治具で実施されている設計のような、コーン及びカップを有するクラムシェルタイプの設計で使用される。例えば図2、8A及び8Bは、装置の他の要素に対するカップ254の相対的な配向を示す。   The top associated structural feature of the present disclosure, as shown in FIG. 2, is a workpiece or wafer holder. In certain embodiments, the workpiece holder may be a cup 254, which typically has a cone and cup, such as the design implemented in the above-described Saber® electroplating jig from Lam Research Corporation. Used in clamshell type designs with For example, FIGS. 2, 8A and 8B show the relative orientation of the cup 254 with respect to the other elements of the device.

図3Aは、本明細書に記載する実施形態による電気メッキ装置の横断流流入口側の拡大断面図である。図3Bは、本明細書に記載する実施形態による電気メッキ装置の横断流流出口側の拡大断面図である。図4は、本明細書に記載する特定の実施形態によるメッキ装置の流入口側及び流出口側の両方を示す断面図である。メッキプロセス中、カソード液は膜フレーム274上の膜202の上部と、膜フレーム堰止壁282との間の領域を充填及び占有する。このカソード液領域は、3つの副領域:1)CIRP206の下かつ(アノードチャンバのカチオン性膜を使用する設計においては)分離したアノードチャンバのカチオン性膜202(この要素は下側マニフォールド領域208と呼ばれることもある)の上にある、チャネル付きイオン抵抗性プレートマニフォールド領域208;2)ウエハとCIRP206の上側表面との間の、横断流マニフォールド領域226;及び3)クラムシェル/カップ254の外側かつセル堰止壁282(膜フレーム274の物理的部分と呼ばれることもある)の内側であるセル上部領域又は「電解質封止領域」に分割できる。ウエハが浸漬されておらず、クラムシェル/カップ254が下方位置にない場合、第2の領域及び第3の領域は合わさって単一の領域となる。 Figure 3A is an enlarged sectional view of the transverse flow inlet side of the electroplating apparatus according to embodiments described herein. FIG. 3B is an enlarged cross-sectional view of the cross flow outlet side of the electroplating apparatus according to embodiments described herein. FIG. 4 is a cross-sectional view showing both the inlet and outlet sides of the plating apparatus according to certain embodiments described herein. During the plating process, the catholyte fills and occupies the area between the top of the membrane 202 on the membrane frame 274 and the membrane frame stop wall 282. This catholyte area is divided into three sub-areas: 1) Cationic membrane 202 (this element corresponds to the lower manifold area 208) under the CIRP 206 and separated (in the design using an anodic chamber's cationic membrane) above the also) be referred to, channeled ions resistive plate manifold region 208; 2) between the upper surface of the wafer and CIRP206, transverse flow manifold region 226; outside and in, and 3) clamshell / cup 254 It can be divided into a cell upper area or "electrolyte sealing area" that is inside the cell blocking wall 282 (sometimes referred to as the physical portion of the membrane frame 274). If the wafer is not immersed and the clamshell / cup 254 is not in the down position, the second and third regions combine to a single region.

図3Bは、チャネル262を通してCIRPマニフォールド208に供給する単一の流入孔の断面図である。点線は流体の流れを示す。   FIG. 3B is a cross-sectional view of a single inflow port feeding the CIRP manifold 208 through the channel 262. The dotted lines indicate the flow of fluid.

カソード液は、中央カソード液流入口マニフォールド(図示せず)において電気メッキセルへと送達でき、中央カソード液流入口マニフォールドはセルの基部に配置してよく、単一のパイプで供給される。カソード液をここから、2つの異なる流路又は流れに分割してよい。一方の流れ(例えば12個の供給孔のうちの6個)は、チャネル262を通してCIRPマニフォールド領域208へとカソード液を流す。カソード液は、CIRPマニフォールド208に送達された後、CIRPの微小チャネルを上方向に通過して横断流マニフォールド226へと流れる。他方の流れ(例えば他の6個の供給孔)は、横断流注入マニフォールド222へとカソード液を流す。電解質はここから、横断流シャワーヘッド242の分配孔246(特定の実施形態では約100個超であり得る)を通過する。横断流シャワーヘッドの孔246を通過した後、カソード液の流れ方向は、(a)ウエハに対して垂直な方向から(b)ウエハに対して平行な方向へと変化する。このような流れ方向の変化は、流れが、横断流制限リング210の流入口キャビティ250の表面に衝突してこれによって制限されるために起こる。最後に、セルの基部において中央カソード液流入口マニフォールド内で最初に分離された2つのカソード液流は、横断流マニフォールド領域226に入ると再び合わさる。 The catholyte can be delivered to the electroplating cell at a central catholyte inlet manifold (not shown), which may be located at the base of the cell and supplied in a single pipe. From here, the catholyte may be divided into two different channels or streams. One stream (eg, six of the twelve feed holes) flows the catholyte through channel 262 to the CIRP manifold region 208. After the catholyte is delivered to the CIRP manifold 208, it flows up the microchannels of the CIRP and flows to the cross flow manifold 226. The other stream (eg, the other six feed holes) flows the catholyte to the cross flow injection manifold 222. The electrolyte now passes through the distribution holes 246 (which may be greater than about 100 in certain embodiments) of the cross flow shower head 242. After passing through the cross flow showerhead holes 246, the flow direction of the catholyte changes from (a) perpendicular to the wafer to (b) parallel to the wafer. Such a change in flow direction occurs because the flow impinges on and is limited by the surface of the inlet cavity 250 of the cross flow restricting ring 210. Finally, the two catholyte streams first separated in the central catholyte inlet manifold at the base of the cell recombine as they enter the cross flow manifold region 226.

図3A、3B及び4に示す実施形態では、カソードチャンバに入るカソード液の一部は、チャネル付きイオン抵抗性プレートマニフォールド208に直接供給され、一部は横断流注入マニフォールド222に直接供給される。チャネル付きイオン抵抗性プレートマニフォールド208に送達されたカソード液の少なくとも一部(全ての場合もある)は、プレート206の様々な微小チャネルを通過して横断流マニフォールド226に到達する。チャネル付きイオン抵抗性プレート206のチャネルを通って横断流マニフォールド226に入ったカソード液は、実質的に垂直方向のジェット流として横断流マニフォールドに入る(いくつかの実施形態では、チャネルはある角度を有するよう作製され、従ってチャネルはウエハの表面に対して完全に垂直ではなく、例えばジェット流の角度はウエハ表面に対して垂直な角度に対して最大約45°であり得る)。カソード液のうち横断流注入マニフォールド222に入る一部は、横断流マニフォールド226に直接送達され、ここでこのカソード液は、ウエハの下側で水平に配向された横断流として横断流マニフォールド226に入る。カソード液の横断流は、横断流マニフォールド226まで流れる仮定で、横断流注入マニフォールド222及び横断流シャワーヘッドプレート242(特定の実施形態では、約0.048インチの直径を有する、約139個の分散した孔246を含む)を通過し、続いて、横断流制限リング210の入口キャビティ250の作用/幾何学的寸法によって、垂直方向上向きの流れから、ウエハ表面に平行な流れへと再配向される。 In the embodiment shown in FIGS. 3A, 3 B and 4, a portion of the catholyte entering the cathode chamber is supplied directly to the channelized ion resistant plate manifold 208 and a portion is supplied directly to the cross flow injection manifold 222. At least a portion (possibly all) of the catholyte delivered to the channeled ion resistant plate manifold 208 passes through the various microchannels of the plate 206 to reach the cross flow manifold 226. Catholyte entering the cross-flow manifold 226 through the channels of the channeled ions resistant plate 206 is a substantially into the crossflow manifold as the vertical direction of the jet stream (in some embodiments, an angle with the channel Made to have, and thus the channels are not completely perpendicular to the surface of the wafer, eg, the jet flow angle may be up to about 45 ° to the angle perpendicular to the wafer surface). The portion of the catholyte that enters crossflow injection manifold 222 is delivered directly to crossflow manifold 226, where this catholyte enters crossflow manifold 226 as the horizontally oriented crossflow below the wafer. . Catholyte transverse flow of, on the assumption that flow to the transverse flow manifold 226, the across-flow injection manifold 222 and transverse flow showerhead plate 242 (a specific embodiment, has a diameter of about 0.048 inches, about 139 pieces of variance Flow through the hole 246 and subsequently re-directed from the vertically upward flow to a flow parallel to the wafer surface by the action / geometrical dimension of the inlet cavity 250 of the cross flow restriction ring 210 .

横断流及びジェット流の絶対角度は、正確に水平若しくは正確に垂直である必要はなく、又は互いに対して正確に90°に配向される必要さえない。しかしながら一般に、横断流マニフォールド226内のカソード液の横断流は、加工品表面の方向に概ね沿っており、微小チャネル付きイオン抵抗性プレート206の上部表面から放出されるカソード液のジェット流の方向は、概ね加工品表面に向かって/加工品表面に対して垂直に流れる。ウエハ表面における横断流と衝突流とのこのような混合は、より均一なメッキ成果の達成を促進する助けとなる。特定の実施形態では、電解質の横断流の分散を助けるために隆起部を使用し、これによって横断流はウエハ表面へ向かう方向に再配向される。 The absolute angles of the cross flow and jet flow need not be exactly horizontal or exactly vertical, or even need to be exactly oriented at 90 ° to each other. Generally, however, the transverse flow of the catholyte in the transverse flow manifold 226 is along a generally in the direction of the workpiece surface, the direction of the catholyte jet stream emitted from the top surface of the micro channel with the ion-resistant plate 206 Flow generally towards / perpendicular to workpiece surface. Such mixing of the cross flow and the impinging flow at the wafer surface helps to promote the achievement of more uniform plating results. In certain embodiments, ridges are used to help disperse the cross flow of the electrolyte, whereby the cross flow is reoriented in the direction towards the wafer surface.

上述のように、カソードチャンバに入るカソード液は(i)チャネル付きイオン抵抗性プレートマニフォールド208から、CIRP206のチャネルを通って横断流マニフォールド226へと流れるカソード液と、(ii)横断流注入マニフォールド222へ流れ、その後シャワーヘッド242の孔246を通って横断流マニフォールド226へと流れるカソード液とに分割される。横断流注入マニフォールド領域222に直接入る流れは、横断流制限リングの入口ポート(横断流側方流入口250と呼ばれることもある)を介して入ってよく、ウエハに対して平行に、セルの片側から放出される。対照的に、CIRP206の微小チャネルを介して横断流マニフォールド領域226に入る流体のジェット流は、ウエハの下側及び横断流マニフォールド226の下側から入り、ジェット流流体は横断流マニフォールド226内において偏向(再配向)され、ウエハに対して平行に、横断流制限リングの出口ポート234(横断流流出口又は流出口と呼ばれることもある)へと流れる。 As described above, the catholyte entering the cathode chamber (i) flows from the channeled ion resistive plate manifold 208 through the channels of the CIRP 206 to the cross flow manifold 226; (ii) the cross flow injection manifold 222 And then divided into catholyte flowing through the holes 246 of the showerhead 242 to the cross flow manifold 226. Flow directly into the crossflow injection manifold region 222 may be through the inlet port of the crossflow limiting ring (sometimes referred to as the crossflow side inlet 250), parallel to the wafer, one side of the cell Released from In contrast, jets of fluid entering the cross flow manifold region 226 through the microchannels of the CIRP 206 enter from the lower side of the wafer and from the lower side of the cross flow manifold 226, and the jet flow fluid is deflected within the cross flow manifold 226. (Reoriented) and flows parallel to the wafer to the outlet port 234 (sometimes referred to as the cross flow outlet or outlet) of the cross flow limiting ring.

具体的な実施形態では、カソード液を横断流注入マニフォールド222に直接送達する(これはその後横断流マニフォールド226へと送達される)ために、6つの分離した供給チャネル258が存在する。横断流マニフォールド226内で横断流を発生させるために、これらのチャネル258の出口は、方位的に不均一な様式で横断流マニフォールド226内へと向かっている。具体的には、これらは横断流マニフォールド226の特定の側又は方位的領域(例えば流入口側)において横断流マニフォールド226に入る。 In a specific embodiment, six separate supply channels 258 are present to deliver catholyte directly to the crossflow injection manifold 222 (which is then delivered to the crossflow manifold 226). In order to generate a transverse flow in the cross-flow manifold 226, outlet of these channels 258 is towards the azimuthal non-uniform manner across-flow manifold 226. Specifically, they enter the cross flow manifold 226 on a particular side or azimuthal area (eg, the inlet side) of the cross flow manifold 226.

図3Aに示す具体的な実施形態では、カソード液を横断流注入マニフォールド222へと直接送達するための流体経路258は、横断流注入マニフォールド222に到達する前に4つの別個の要素:(1)セルのアノードチャンバの壁の、専用のチャネル;(2)膜フレーム274の専用のチャネル;(3)チャネル付きイオン抵抗性要素206の専用のチャネル(これら専用のチャネルは、CIRPマニフォールド208から横断流マニフォールド226にカソード液を送達するために使用される1次元の微小チャネルとは異なる);及び最後に(4)ウエハの横断流制限リング210の流体経路を通過する。これらの要素が異なる構成である場合、カソードはこれら別個の要素それぞれを通って流れなくてもよい。 In the particular embodiment shown in FIG. 3A, the fluid path 258 for delivery of cathode fluid directly into crossflow injection manifold 222 includes four separate elements prior to reaching the cross-flow injection manifold 222: (1) (2) dedicated channels of the membrane frame 274; (3) dedicated channels of the ion resistant element 206 with channels (these dedicated channels cross flow from the CIRP manifold 208 ) And (4) pass through the fluid path of the cross flow restriction ring 210 of the wafer (different from the one-dimensional microchannels used to deliver catholyte to the manifold 226); If the elements are of different configurations, the cathode may not flow through each of these separate elements.

上述のように、流路のうち膜フレーム274を通過して横断流注入マニフォールド222に供給される一部は、膜フレームの横断流供給チャネル258と呼ばれる。同様に、流路のうち膜フレーム274を通過してCIRPマニフォールドに供給される一部は、チャネル付きイオン抵抗性プレートマニフォールド208に供給される横断流供給チャネル262、又はCIRPマニフォールド供給チャネル262と呼ばれる。言い換えると、用語「横断流供給チャネル」は、横断流注入マニフォールド222に供給するカソード液供給チャネル258及びCIRPマニフォールド208に供給するカソード液供給チャネル262の両方を含む。これらの流れ258及び262の1つの違いは上述した通り、CIRP206を通る流れの方向は初めウエハに向かって配向され、その後ウエハ及び横断流マニフォールド内の横断流の存在によって、ウエハに対して平行に変化するが、その一方で、横断流のうち横断流注入マニフォールド222から来て横断流制限リングの入口ポート250から出る一部は、横断流マニフォールド内でウエハに対して実質的に平行な状態で開始することである。いずれの特定の規範又は理論に包含されることを望むものではないが、衝突流と平行流とのこのような組合せ及び混合は、凹状の/埋め込まれた特徴部分内への流れの浸透を大いに改善する助けとなり、これによって物質輸送を改善するものと考えられる。CIRP表面に一連の隆起部を含むと、このような混合を更に増強できる。空間的に均一な対流場をウエハの下に生成してウエハを回転させることによって、各特徴部分及び各ダイは、回転及びメッキプロセスの工程全体にわたってほぼ同一の流れパターンを示す。 As noted above, the portion of the flow path that passes through the membrane frame 274 and is supplied to the cross flow injection manifold 222 is referred to as the cross flow supply channel 258 of the membrane frame. Similarly, the portion of the flow path that passes through the membrane frame 274 and is supplied to the CIRP manifold is called the cross flow supply channel 262 supplied to the channeled ion resistant plate manifold 208 or the CIRP manifold supply channel 262 . In other words, the term “ crossflow feed channel” includes both the catholyte feed channel 258 feeding the crossflow injection manifold 222 and the catholyte feed channel 262 feeding the CIRP manifold 208. One difference between these flows 258 and 262 is that, as described above, the direction of flow through CIRP 206 is initially oriented towards the wafer and then parallel to the wafer due to the presence of cross flow in the wafer and the cross flow manifold. While varying, a portion of the crossflow coming from the crossflow injection manifold 222 and exiting from the inlet port 250 of the crossflow limiting ring is substantially parallel to the wafer in the crossflow manifold. It is to start. While not wishing to be encompassed by any particular norm or theory, such combination and mixing of impinging flow and parallel flow greatly enhance the penetration of the flow into the concave / embedded features. It is thought to help improve and thereby improve mass transport. Such mixing can be further enhanced if the CIRP surface includes a series of ridges. By creating a spatially uniform convection field under the wafer and rotating the wafer, each feature and each die exhibit substantially the same flow pattern throughout the rotation and plating process.

電解質の横断流を送達するための流路は、プレート206の横断流供給チャネル258を通過するため、垂直方向上向きに開始される。続いて、この流路はチャネル付きイオン抵抗性プレート206の本体内に形成された横断流注入マニフォールド222に入る。横断流注入マニフォールド222は、様々な独立した供給チャネル258から(例えば6つの独立した横断流供給チャネルそれぞれから)横断流シャワーヘッドプレート242の様々な複数の流れ分配孔246へ流体を分配できる、プレート206内の待避チャネルであってよい、方位的に配置された(azimuthal)キャビティである。この横断流注入マニフォールド222は、チャネル付きイオン抵抗性プレート206の周縁領域又は縁部領域のある角度部分に沿って配置される。例えば図3A及び4〜6を参照されたい。図3A及び4については既に説明した。図5は、横断流注入マニフォールド222全体にわたって位置決めされたシャワーヘッドプレート242を示す。図6は同様に、横断流注入マニフォールド222全体にわたるシャワーヘッドプレート242を、メッキ装置の様々な他の要素と関連して示す。 The flow path for delivering the cross flow of electrolyte is started vertically upward to pass the cross flow supply channel 258 of the plate 206. Subsequently, this flow path enters the cross flow injection manifold 222 formed in the body of the channeled ion resistant plate 206. Across-flow injection manifold 222 may distribute the various independent fluid from the supply channel 258 (e.g., from each of the six independent transverse flow feed channels) into the crossflow variety plurality of flow distribution holes 246 of the shower head plate 242, the plate An azimuthally arranged cavity which may be a shunt channel within 206. The cross flow injection manifold 222 is disposed along an angular portion of the peripheral or edge area of the channeled ion resistant plate 206. See, for example, FIGS. 3A and 4-6. Figures 3A and 4 have already been described. FIG. 5 shows the showerhead plate 242 positioned across the cross flow injection manifold 222. FIG. 6 similarly shows the showerhead plate 242 across the crossflow injection manifold 222 in conjunction with various other elements of the plating apparatus.

特定の実施形態では、横断流注入マニフォールド222は図5及び6に示すように、プレートの周領域の約90〜180°の角度にわたるC字型構造を形成する。特定の実施形態では、横断流注入マニフォールド222の角度範囲は約120〜170°であり、より具体的な実施形態では約140〜150°である。これらの又は他の実施形態では、横断流注入マニフォールド222の角度範囲は少なくとも約90°である。多くの実装形態では、シャワーヘッド242は横断流注入マニフォールド222とほぼ同一の角度範囲にわたって存在する。更に、全流入口構造250(多くの場合これは、横断流注入マニフォールド222、シャワーヘッドプレート242、シャワーヘッドの孔246、及び横断流制限リング210の開口のうちの1つ又は複数を含む)は、上記と同一の角度範囲にわたって存在してよい。 In particular embodiments, the cross flow injection manifold 222 forms a C-shaped structure that spans an angle of about 90 to 180 degrees of the circumferential area of the plate, as shown in FIGS. In a particular embodiment, the angular range of the cross flow injection manifold 222 is about 120-170 °, and in a more specific embodiment, about 140-150 °. In these or other embodiments, the angular range of the cross flow injection manifold 222 is at least about 90 °. In many implementations, the showerhead 242 is over approximately the same angular range as the cross flow injection manifold 222. In addition, the total inlet structure 250 (which often includes one or more of the cross flow injection manifold 222, the shower head plate 242, the shower head aperture 246, and the opening of the cross flow restriction ring 210) , May be present over the same angular range as described above.

いくつかの実施形態では、注入マニフォールド222内の横断流は、チャネル付きイオン抵抗性プレート206内の連続的に流体連通するキャビティを形成する。この場合、横断流注入マニフォールドに供給する横断流供給チャネル258全ての出口は、1つの連続したかつ接続された横断流注入マニフォールドチャンバへと向かっている。他の実施形態では、横断流注入マニフォールド222及び/又は横断流シャワーヘッド242は、図5(6つの分離したセグメントを示す)に示すように、2つ以上の角度が異なる及び完全に又は部分的に分離したセグメントに分割される。いくつかの実施形態では、角度的に分離されたセグメントの数は約1〜12又は約4〜6である。具体的な実施形態では、これら角度的に別個のセグメントはそれぞれ、チャネル付きイオン抵抗性プレート206に配置された分離された横断流供給チャネル258と流体連通する。従って、例えば、横断流注入マニフォールド222内には6つの角度的に別個の分離された副領域が存在し得、これらはそれぞれ分離された横断流供給チャネル258によって供給される。特定の実施形態では、横断流注入マニフォールド222のこれら別個の副領域はそれぞれ同一の容積及び/又は同一の角度範囲を有する。 In some embodiments, the cross flow in the injection manifold 222 forms a continuous fluid communication cavity in the channeled ion resistive plate 206. In this case, all of the outlet cross flow supply channel 258 supplies the cross-flow injection manifold is moving towards one continuous and connected across-flow injection manifold chamber. In other embodiments, the cross flow injection manifold 222 and / or the cross flow showerhead 242 may have two or more different angles and fully or partially as shown in FIG. 5 (showing six separate segments). Divided into separate segments. In some embodiments, the number of angularly separated segments is about 1 to 12 or about 4 to 6. In a specific embodiment, each of these angularly distinct segments is in fluid communication with a separate cross flow supply channel 258 disposed in the channeled ion resistant plate 206. Thus, for example, there may be six angularly separated discrete sub-regions within the cross flow injection manifold 222, each of which is supplied by the separated cross flow feed channel 258. In particular embodiments, these separate sub-regions of the cross-flow injection manifold 222 each have the same volume and / or the same angular range.

多くの場合、カソード液は横断流注入マニフォールド222を出て、複数の角度的に分離されたカソード液流出口ポート(孔)246を有する横断流シャワーヘッドプレート242を通過する。例えば図2、3A及び6(全ての図でカソード液流出口/孔246は図示されていない)を参照されたい。特定の実施形態では、例えば図6に示すように、横断流シャワーヘッドプレート242はチャネル付きイオン抵抗性プレート206と一体化されている。いくつかの実施形態では、シャワーヘッドプレート242はチャネル付きイオン抵抗性プレート206の横断流注入マニフォールド222の上部に接着、ボルト留め又は他の方法で固定される。特定の実施形態では、横断流シャワーヘッド242の上側表面は、チャネル付きイオン抵抗性プレート206の平面若しくは上側表面(CIRP206上のいずれの段差又は隆起部を除く)と同一平面上にあるか、又は若干持ち上げられている。このようにして、横断流注入マニフォールド222を通って流れるカソード液はまず、シャワーヘッドの孔246を通って垂直方向上向きに、その後横断流制限リング210の下を横方向に横断流マニフォールド226へと移動し、これにより、カソード液がウエハの表面と実質的に平行な方向に横断流マニフォールド226に入る。他の実施形態では、シャワーヘッドの孔246から出るカソード液が既にウエハと平行な方向に移動しているように、シャワーヘッド242を配向してよい。 In most cases, the catholyte exits the cross flow injection manifold 222 and passes through a cross flow showerhead plate 242 having a plurality of angularly separated catholyte outlet ports (holes) 246. See, for example, FIGS. 2, 3A and 6 (the catholyte outlet / hole 246 not shown in all figures). In particular embodiments, as shown, for example, in FIG. 6, the cross flow showerhead plate 242 is integrated with the channeled ion resistant plate 206. In some embodiments, the showerhead plate 242 is glued, bolted or otherwise secured to the top of the cross flow injection manifold 222 of the channeled ion resistant plate 206. In certain embodiments, the upper surface of the cross flow shower head 242 is coplanar with the plane or upper surface of the channeled ion resistant plate 206 (except for any steps or bumps on the CIRP 206), or Slightly lifted. In this way, the catholyte flowing through the crossflow injection manifold 222 is first directed vertically upward through the holes 246 in the showerhead and then laterally to the crossflow manifold 226 under the crossflow limiting ring 210. Moving, this causes the catholyte to enter the cross flow manifold 226 in a direction substantially parallel to the surface of the wafer. In other embodiments, the showerhead 242 may be oriented such that the catholyte exiting the holes 246 of the showerhead is already moving in a direction parallel to the wafer.

具体的な実施形態では、横断流シャワーヘッド242は約140個の角度的に分離されたカソード液流出孔246を有する。より一般には、横断流マニフォールド226内で均一な横断流を適切に確立するいずれの数の孔を使用してよい。特定の実施形態では、横断流シャワーヘッド242にはこのようなカソード液流出孔246が約50〜300個存在する。特定の実施形態では、このような孔は約100〜200個である。特定の実施形態では、このような孔は約120〜160個である。一般に、独立したポート又は孔246のサイズは直径約0.020〜0.1.インチの範囲であってよく、より具体的には直径約0.03〜0.06インチであってよい。 In a specific embodiment, the cross flow showerhead 242 has about 140 angularly separated catholyte outlet holes 246. More generally, any number of holes may be used that properly establish uniform cross flow in cross flow manifold 226. In particular embodiments, the cross flow shower head 242 has about 50-300 such catholyte outlet holes 246. In certain embodiments, such holes are about 100-200. In certain embodiments, such holes are about 120-160. In general, the size of the independent port or hole 246 is approximately 0.020 to 0.1. It may be in the range of inches, and more specifically about 0.03 to 0.06 inches in diameter.

特定の実施形態では、これらの孔246は、横断流シャワーヘッド242の全角度範囲に沿って、角度的に均一に配置される(即ち、孔246間の間隔は、セル中央と2つの隣接する孔との間の固定角度によって決定される)。他の実施形態では、孔246はこの角度範囲に沿って、角度的に不均一に分布する。特定の実施形態では、孔の角度的に不均一な分布は、それにもかかわらず直線的に(「x方向に」)均一な分布である。言い換えると、後者の例では、孔の分布は、横断流の方向に対して垂直な軸(この軸は「x」方向である)上に投射した場合、孔が均等に離れて間隔を空けるような分布である。各孔246は、セル中央から同一の径方向距離に位置決めされ、隣接する孔から「x」方向に同一距離に離間している。これらの角度的に不均一な孔246を有することによる正味の効果は、横断流のパターン全体が大幅に均一になることである。対照的に、孔が角度的に均一に離間している場合、基材の縁部領域は均一な横断流に必要な数より多くの孔を有するため、基材の中央部にわたる横断流は、縁部領域にわたる横断流より低くなる。 In certain embodiments, these holes 246 are angularly uniformly distributed along the full angular range of the cross flow shower head 242 (ie, the spacing between the holes 246 is two adjacent to the cell center Determined by the fixed angle between the holes). In another embodiment, the holes 246 are angularly non-uniformly distributed along this angular range. In certain embodiments, the angularly non-uniform distribution of holes is nevertheless a linear ("x-direction") uniform distribution. In other words, in the latter example, the distribution of the holes is such that, when projected onto an axis perpendicular to the direction of the cross flow (this axis is the "x" direction), the holes are equally spaced apart. Distribution. Each hole 246 is positioned at the same radial distance from the cell center and is spaced the same distance in the "x" direction from adjacent holes. The net effect of having these angularly non-uniform holes 246 is that the overall cross flow pattern is substantially uniform. In contrast, if the holes are angularly uniformly spaced, the edge region of the substrate has more holes than needed for uniform cross flow , so the cross flow across the center of the substrate is: It is lower than the cross flow over the edge area.

特定の実施形態では、横断流シャワーヘッド242を出るカソード液の方向は更に、ウエハの横断流制限リング210によって制御される。特定の実施形態では、このリング210はチャネル付きイオン抵抗性プレート206の全周にわたって延在する。特定の実施形態では、横断流制限リング210の断面は、図3A、3B及び4に示すようにL字型である。この形状は、基材のホルダ/カップ254の底面に適合するように選択してよい。特定の実施形態では、ウエハの横断流制限リング210は、横断流シャワーヘッド242の流出孔246と流体連通する、方向フィン266等の一連の流れ配向要素を含む。このフィン266は図7に明確に示されているが、図3A及び4にも見ることができる。方向フィン266は、ウエハの横断流制限リング210の上側表面の下、かつ隣接する方向フィン266の間に、概ね分離された流体通路を画定する。いくつかの場合においては、フィン266の目的は、横断流シャワーヘッドの孔246から出た流れを、径方向内向きの方向から「左から右へ」の軌跡(左とは横断流の流入口側250であり、右とは流入口側234である)を通る流れに再配向及び制限することである。これは、実質的に直線的な横断流のパターンを確立する助けとなる。横断流シャワーヘッド242の孔246を出たカソード液は、方向フィン266によって、方向フィン266の配向によって生じる流線に沿って配向される。特定の実施形態では、ウエハの横断流制限リング210の全ての方向フィン266は互いに対して平行である。この平行な配置は、横断流マニフォールド226内の均一な横断流方向を確立する助けとなる。様々な実施形態では、ウエハの横断流制限リング210の方向フィン266は、横断流マニフォールド226の流入口250側及び流出口234側の両方に沿って配置される。他の場合には、フィン266を、横断流マニフォールド226の流入口領域250に沿ってのみ配置してよい。 In certain embodiments, the direction of catholyte exiting the cross flow showerhead 242 is further controlled by the cross flow restriction ring 210 of the wafer. In certain embodiments, the ring 210 extends around the entire perimeter of the channeled ion resistant plate 206. In a particular embodiment, the cross-section of the cross flow restriction ring 210 is L-shaped as shown in FIGS. 3A, 3B and 4. This shape may be chosen to fit the bottom of the holder / cup 254 of the substrate. In certain embodiments, the wafer cross flow restriction ring 210 includes a series of flow directing elements, such as directional fins 266, in fluid communication with the outlet holes 246 of the cross flow shower head 242. This fin 266 is clearly shown in FIG. 7 but can also be seen in FIGS. 3A and 4. Directional fins 266 define generally separated fluid passages below the upper surface of the cross flow restriction ring 210 of the wafer and between adjacent directional fins 266. In some cases, the purpose of the fins 266 is to move the flow out of the borehole 246 of the crossflow showerhead from the radially inward direction to the "left to right" trajectory (left is the crossflow inlet) Re-orientation and restriction to flow through side 250, and right is through inlet side 234). This helps to establish a substantially linear cross flow pattern. The catholyte exiting the holes 246 of the cross flow shower head 242 is directed by the directional fins 266 along the streamlines created by the orientation of the directional fins 266. In certain embodiments, all directional fins 266 of the cross flow restriction ring 210 of the wafer are parallel to one another. This parallel arrangement helps to establish a uniform cross flow direction in the cross flow manifold 226. In various embodiments, the directional fins 266 of the cross flow restriction ring 210 of the wafer are disposed along both the inlet 250 side and the outlet 234 side of the cross flow manifold 226. In other cases, the fins 266 may be disposed only along the inlet region 250 of the cross flow manifold 226.

以上に示したように、横断流マニフォールド226内を流れるカソード液は、図3B及び4に示すように、ウエハの横断流制限リング210の流入領域250から、リング210の流出口側234へと通過する。特定の実施形態では、流出口側234において、流入口側の方向フィン266に対して平行であってよく、及びこれと整列されていてよい、複数の方向フィン266が存在する。横断流は、流出口側234の方向フィン266が生成したチャネルを通過し、その後横断流マニフォールド226から出る。続いてこの流れは、カソードチャンバの別の領域に、概ね径方向外側に、ウエハのホルダ254及び横断流制御リング210を越えて流れ、流体は膜フレームの上部堰止壁282によって集積され一時的に保持され、その後集積及び保持のための堰止壁282を越えて流れる。従って、図(例えば3A、3B及び4)は、横断流マニフォールドに入ってここから出るカソード液の循環経路全体のうち、部分的な経路しか示していないことを理解されたい。なお、例えば図3b及び4に示す実施形態では、横断流マニフォールド226から出る流体は、小さな孔を通過する又は流入口側の供給チャネル258と同様のチャネルを通って戻ることはなく、上述の集積領域に集積されるよう、ウエハに対して概ね平行な方向に、外方向へと流れる。 As indicated above, the catholyte flowing in the cross flow manifold 226 passes from the inflow region 250 of the cross flow restriction ring 210 of the wafer to the outlet side 234 of the ring 210, as shown in FIGS. 3B and 4. Do. In certain embodiments, there are a plurality of directional fins 266 on the outlet side 234 that may be parallel to and aligned with the directional fins 266 on the inlet side. Transverse flow through a channel direction fins 266 has generated the outlet side 234, leaving the subsequent crossflow manifold 226. This flow is then flowed to another region of the cathode chamber, generally radially outward, past the wafer holder 254 and the cross flow control ring 210, and fluid is collected by the upper stop wall 282 of the membrane frame and temporarily And then flow over the retaining wall 282 for storage and retention. Thus, it should be understood that the figures (e.g. 3A, 3B and 4) show only a partial path of the overall catholyte circulation path into and out of the cross flow manifold. Note, for example, in the embodiments shown in FIGS. 3 b and 4, the fluid exiting the cross flow manifold 226 does not pass through the small holes or return through the same channels as the inlet side feed channel 258, and the accumulation described above It flows outward in a direction generally parallel to the wafer so as to be integrated in the area.

図6の実施形態に戻ると、ここでは横断流マニフォールド226を見下ろす上面図が示されている。この図は、シャワーヘッド242に沿ってチャネル付きイオン抵抗性プレート206内に埋め込まれた横断流注入マニフォールド222の位置を示す。シャワーヘッド242上の流出口孔246は図示されていないが、このような流出口孔が存在することは理解される。横断流注入マニフォールドの流れのための流体調整ロッド270も図示されている。横断流制限リング210はこの図においては図示されていないが、横断流制限リング210とCIRP206の上側表面との間を封止する、横断流制限リングの封止ガスケット238の外形は図示されている。図6に示す他の要素には、横断流制限リングの固定具218、膜フレーム274、及びCIRP206のアノード側のネジ孔278(これは例えば、カソード遮蔽体の挿入に使用できる)が含まれる。 Returning to the embodiment of FIG. 6, a top view is shown here looking down the cross flow manifold 226. This figure shows the location of the cross flow injection manifold 222 embedded in the channelized ion resistant plate 206 along the showerhead 242. Although the outlet holes 246 on the shower head 242 are not shown, it is understood that such outlet holes are present. Also shown is a fluid conditioning rod 270 for the flow of the cross flow injection manifold. Although the cross flow limiting ring 210 is not shown in this figure, the outer shape of the sealing gasket 238 of the cross flow limiting ring, which seals between the cross flow limiting ring 210 and the upper surface of the CIRP 206, is shown. . Other elements shown in FIG. 6 include cross flow restriction ring fixtures 218, membrane frame 274, and screw holes 278 on the anode side of CIRP 206 (which can be used, for example, for insertion of a cathode shield).

いくつかの実施形態では、横断流制限リングの流出口234の幾何学的寸法は、横断流のパターンを更に最適化するために調整してよい。例えば、横断流のパターンが制限リング210の縁部へと分岐している場合、横断流制限リングの流出口234の外側領域の開放領域を減少させることによってこれを補正してよい。特定の実施形態では、流出口マニフォールド234は横断流注入マニフォールド222と同様、分離されたセクション又はポートを含んでよい。いくつかの実施形態では、流出口セクションの数は約1〜12、又は約4〜6である。ポートは方位的に分離されており、流出口マニフォールド234に沿った異なる(通常隣接した)位置を取る。いくつかの場合においては、各ポートを通る相対流量は独立して制御できる。この制御は例えば、流入口の流れに関して上述した制御ロッドと同様の制御ロッド270を用いて達成してよい。別の実施形態では、流出口の異なるセクションを通る流れは、流出口マニフォールドの幾何学的寸法によって制御できる。例えば、各側縁部付近において開口領域が小さく、中央付近において開口領域が大きい流出口マニフォールドによって、流出口の中央付近の流れが多く、流出口の縁部付近の流れが少ないような溶液の流れのパターンが生まれる。流出口マニフォールド234のポートを通る相対流量の制御の他の方法(例えばポンプ、プロセス制御バルブ等)も同様に用いてよい。 In some embodiments, the geometric dimensions of the outlet 234 of the cross flow limiting ring may be adjusted to further optimize the cross flow pattern. For example, if the cross flow pattern branches to the edge of the limiting ring 210, this may be corrected by reducing the open area of the outer area of the outlet 234 of the cross flow limiting ring. In certain embodiments, the outlet manifold 234 may include separate sections or ports, similar to the cross flow injection manifold 222. In some embodiments, the number of outlet sections is about 1 to 12, or about 4 to 6. The ports are azimuthally separated and take different (usually adjacent) locations along the outlet manifold 234. In some cases, the relative flow through each port can be controlled independently. This control may be achieved, for example, using a control rod 270 similar to the control rod described above for inlet flow. In another embodiment, the flow through different sections of the outlet can be controlled by the geometry of the outlet manifold. For example, an outlet manifold with a small open area near each side edge and a large open area near the center, a solution flow that has more flow near the center of the flow outlet and less flow near the outlet Pattern is born. Other methods of controlling the relative flow through the ports of outlet manifold 234 (eg, pumps, process control valves, etc.) may be used as well.

上述のように、カソード液チャンバに入るカソード液は、複数のチャネル258及び262を通って、横断流注入マニフォールド222及びチャネル付きイオン抵抗性プレートマニフォールド208へと分離して配向される。特定の実施形態では、これら独立したチャネル258及び262を通る流れは、適切な機構によって互いから独立して制御される。いくつかの実施形態では、この機構は流体を独立したチャネルに送達するための分離されたポンプを備える。他の実施形態では、単一のポンプを用いて主カソード液マニフォールドに供給し、チャネルのうちの1つ又は複数に調整可能な様々な流れ制限要素を備えてよく、これによって複数のチャネル258及び262間の、及び横断流注入マニフォールド222とCIRPマニフォールド208領域との間の、並びに/又はセルの周に沿った相対流を調節する。図示した様々な実施形態では、1つ又は複数の流体調整ロッド270(流れ制御要素と呼ぶこともある)をチャネルに配置し、独立した制御を行う。図示した実施形態では、流体調整ロッド270は、カソード液を、横断流注入マニフォールド222又はチャネル付きイオン抵抗性プレートマニフォールド208へ流れる間に制限する環状空間を提供する。流体調整ロッド270は、完全に収縮した状態において、流れに対する抵抗を本質的に発生させない。完全に係合した状態において、流体調整ロッド270は流れに対して最大の抵抗を発生させ、いくつかの実装形態では、チャネルを通る全ての流れを停止させる。中間状態又は位置では、流体はチャネルの内径と流体調整ロッドの外径との間の制限された環状空間を通って流れるため、ロッド270によって中間レベルの流れの制御が可能となる。 As described above, catholyte entering the catholyte chamber is separately oriented through the plurality of channels 258 and 262 into the cross flow injection manifold 222 and the channelized ion resistant plate manifold 208. In certain embodiments, the flow through these independent channels 258 and 262 is controlled independently of one another by appropriate mechanisms. In some embodiments, the mechanism comprises a separate pump for delivering fluid to an independent channel. In other embodiments, a single pump may be used to supply the main catholyte manifold and may include various flow restricting elements adjustable to one or more of the channels, thereby providing a plurality of channels 258 and The relative flow is adjusted between 262 and between the cross flow injection manifold 222 and the CIRP manifold 208 region and / or along the circumference of the cell. In the various embodiments illustrated, one or more fluid conditioning rods 270 (sometimes referred to as flow control elements) are placed in the channels to provide independent control. In the illustrated embodiment, the fluid conditioning rod 270 provides an annular space that restricts the flow of catholyte into the cross flow injection manifold 222 or the channelized ion resistant plate manifold 208. The fluid conditioning rod 270 essentially produces no resistance to flow in the fully contracted state. In the fully engaged state, the fluid conditioning rod 270 generates maximum resistance to flow and, in some implementations, stops all flow through the channel. In the intermediate state or position, the rod 270 enables intermediate level flow control as fluid flows through the restricted annular space between the inner diameter of the channel and the outer diameter of the fluid adjustment rod.

いくつかの実施形態では、流体調整ロッド270の調整によって、電気メッキセルの操作者又は操縦者は、横断流注入マニフォールド222又はチャネル付きイオン抵抗性プレートマニフォールド208への流れを好都合なものとすることができる。特定の実施形態では、カソード液を横断流注入マニフォールド222に直接送達するチャネル258の流体調整ロッド270の独立した調整により、操作者又は操縦者は、横断流マニフォールド226への流体の流れの方位的成分(azimuthal component)を制御できる。 In some embodiments, adjustment of the fluid conditioning rod 270 allows the electroplating cell operator or pilot to favor flow to the cross flow injection manifold 222 or the channelized ion resistant plate manifold 208. it can. In certain embodiments, independent adjustment of the fluid conditioning rod 270 of the channel 258 delivering the catholyte directly to the cross flow injection manifold 222 allows the operator or operator to orientate the flow of fluid to the cross flow manifold 226. It can control the component (azimuthal component).

図8A〜Bは、横断流注入マニフォールド222及びメッキ用カップ254に対する対応する横断流流入口250の断面図である。横断流流入口250の位置は少なくとも部分的に、横断流制限リング210の位置によって画定される。具体的には、流入口250は、横断流制限リング210が終端する位置から始まるものと考えてよい。図8Aでは、制限リング210の終端点(及び流入口250の開始点)はウエハの縁部の下にあり、その一方で図8Bでは、図8Aの設計と比較して、終端/開始点はメッキ用カップの下及びウエハの縁部の更に径方向外側にある。また、図8Aの横断流注入マニフォールド222は、横断流リングキャビティ内に段差(全体として左向きの矢印が上方に上がり始める場所)を有し、この段差は、横断流マニフォールド領域226への流体流入点付近において乱流を形成し得る。特定の場合においては、ウエハ表面を横切って流れる前に溶液の流れをより均一にするためのある程度の距離(例えば約10〜15mm)を設けることによって、ウエハの縁部付近の流体の軌跡の膨らみを最小化して、メッキ液が横断流注入マニフォールド領域222から移動して横断流マニフォールド領域226へ入ることができるようにすると有益であり得る。 8A-B are cross-sectional views of the cross flow inlet manifold 222 and the corresponding cross flow inlet 250 for the plating cup 254. The position of the cross flow inlet 250 is at least partially defined by the position of the cross flow restriction ring 210. In particular, the inlet 250 may be considered as beginning at the end of the cross flow restriction ring 210. In FIG. 8A, the end point of the limiting ring 210 (and the start point of the inlet 250) is below the edge of the wafer, while in FIG. 8B, the end / start point is compared to the design of FIG. 8A. Below the plating cup and further radially outward of the edge of the wafer. Also, the cross flow injection manifold 222 of FIG. 8A has a step in the cross flow ring cavity (where the generally left-pointing arrow begins to rise upward), which is the point of fluid inflow to the cross flow manifold region 226. Turbulence can form in the vicinity. In certain cases, fluid trajectory bulging near the edge of the wafer by providing some distance (eg, about 10-15 mm) to make the solution flow more even before flowing across the wafer surface. It may be beneficial to allow the plating solution to move from the cross flow injection manifold area 222 and enter the cross flow manifold area 226.

図9は、メッキ装置の流入口部分の拡大図である。この図は、特定の要素の相対的な幾何学的寸法を示すためのものである。距離(a)は、横断流マニフォールド領域226の高さを表す。これは、ウエハホルダの上部(基材が載置されている位置)と、CIRP206の最上部表面の平面との間の距離である。図9のCIRPは段差又は隆起部を含まないため、本明細書で定義したように、CIRP206の最上部表面はCIRP平面でもある。特定の実施形態では、この距離は約2〜10mm、例えば約4.75mmである。距離(b)は、露出したウエハ表面と、ウエハホルダの最低部表面(ウエハ保持カップの底面)との間の距離を表す。特定の実施形態では、この距離は約1〜4mm、例えば約1.75mmである。距離(c)は、横断流制限リング210の上側表面とカップ254の底面との間の流体空隙の高さを表す。制限リング210とカップ254の底部との間のこの空隙は、メッキ中にカップ254を回転させることができるような空間を提供し、これは典型的には、流体が空隙から漏れるのを防止して流体を横断流マニフォールド領域226内に制限するよう、可能な限り小さい。いくつかの実施形態では、流体空隙は約0.5mmの高さである。距離(d)は、カソード液の横断流横断流マニフォールド226に送達するための流体チャネルの高さを表す。距離(d)は、横断流制限リング210の高さを含む。特定の実施形態では、距離(d)は約1〜4mm、例えば約2.5mmである。図9には、横断流注入マニフォールド222、分配孔246を有するシャワーヘッドプレート242、及び横断流制限リング210に取り付けられた方向フィン266のうちの1つも示す。 FIG. 9 is an enlarged view of the inlet of the plating apparatus. This figure is intended to show the relative geometric dimensions of certain elements. Distance (a) represents the height of the cross flow manifold area 226. This is the distance between the top of the wafer holder (the position at which the substrate is mounted) and the plane of the top surface of the CIRP 206. Since the CIRP of FIG. 9 does not include steps or bumps, the top surface of the CIRP 206 is also the CIRP plane, as defined herein. In a particular embodiment, this distance is about 2-10 mm, for example about 4.75 mm. The distance (b) represents the distance between the exposed wafer surface and the lowermost surface of the wafer holder (the bottom surface of the wafer holding cup). In a particular embodiment, this distance is about 1 to 4 mm, for example about 1.75 mm. The distance (c) represents the height of the fluid gap between the upper surface of the cross flow limiting ring 210 and the bottom of the cup 254. This air gap between the limiting ring 210 and the bottom of the cup 254 provides a space such that the cup 254 can be rotated during plating, which typically prevents fluid from leaking out of the air gap. As small as possible to confine fluid within the cross flow manifold region 226. In some embodiments, the fluid gap is about 0.5 mm high. Distance (d) is representative of the height of the fluid channel for delivering the catholyte transverse flow of the crossflow manifold 226. Distance (d) includes the height of the cross flow restriction ring 210. In a particular embodiment, the distance (d) is about 1 to 4 mm, for example about 2.5 mm. Also shown in FIG. 9 is one of the cross flow injection manifold 222, the showerhead plate 242 with the distribution holes 246, and the directional fins 266 attached to the cross flow restriction ring 210.

本明細書で開示する装置は、本明細書に記載する方法を実施するために構成してよい。適切な装置は、本明細書に記載し図示したハードウェア、及び本発明によるプロセス操作を制御するための命令を有する1つ又は複数のコントローラを含む。本装置はとりわけ、カップ254及びコーンの中でのウエハの位置決め、チャネル付きイオン抵抗性プレート206に対するウエハの位置決め、ウエハの回転、横断流マニフォールド226へのカソード液の送達、CIRPマニフォールド208へのカソード液の送達、横断流注入マニフォールド222へのカソード液の送達、流体調整ロッド270の抵抗/位置、アノード及びウエハ及びいずれの他の電極への電流の送達、電解質成分の混合、電解質を送達するタイミング、流入口圧力、メッキセル圧力、メッキセル温度、ウエハ温度、並びにプロセスツールが実行する特定のプロセスの他のパラメータを特に制御するための、1つ又は複数のコントローラを含むことになる。 The devices disclosed herein may be configured to perform the methods described herein. Suitable devices include hardware as described and illustrated herein, and one or more controllers having instructions for controlling process operations according to the present invention. Among other things, the apparatus positions the wafer in the cup 254 and cone, positions the wafer relative to the channeled ion resistant plate 206, rotates the wafer, delivers catholyte to the cross flow manifold 226, and cathodes to the CIRP manifold 208. Fluid delivery, delivery of catholyte to cross flow injection manifold 222, resistance / position of fluid conditioning rod 270, delivery of current to anode and wafer and any other electrodes, mixing of electrolyte components, timing of delivering electrolyte , One or more controllers to specifically control inlet pressure, plating cell pressure, plating cell temperature, wafer temperature, as well as other parameters of the particular process that the process tool performs.

システムコントローラは典型的には、1つ又は複数のメモリデバイス及び装置が本発明による方法を実行するように命令を実行するよう構成された1つ又は複数のプロセッサを含む。プロセッサは中央処理ユニット(CPU)又はコンピュータ、アナログ及び/又はデジタル入出力接続、ステッパモータコントローラボード、並びに他の同様の部品を含んでよい。本発明によるプロセス操作を制御するための命令を含む機械可読媒体を、システムコントローラに連結してよい。適切な制御操作を実装するための命令は、このプロセッサ上で実行される。これらの命令はコントローラに関連するメモリデバイスに記憶されていてよく、又はネットワーク上で提供されてもよい。特定の実施形態では、システムコントローラはシステム制御ソフトウェアを実行する。   The system controller typically includes one or more memory devices and one or more processors configured to execute instructions to perform the method according to the present invention. The processor may include a central processing unit (CPU) or computer, analog and / or digital input / output connections, stepper motor controller boards, and other similar components. A machine readable medium containing instructions for controlling process operations according to the present invention may be coupled to the system controller. Instructions for implementing the appropriate control operations are executed on this processor. These instructions may be stored in a memory device associated with the controller or may be provided over a network. In particular embodiments, a system controller executes system control software.

いずれの適切な方法で、システム制御ソフトウェアを構成してよい。例えば、様々なプロセスツールプロセスを実行するために必要なプロセスツールコンポーネントの動作を制御するために、様々なプロセスツールコンポーネントサブルーチン又は制御オブジェクトを書いてよい。システム制御ソフトウェアは、いずれの適切なコンピュータ可読言語でコード化してよい。   System control software may be configured in any suitable manner. For example, various process tool component subroutines or control objects may be written to control the operation of process tool components necessary to execute various process tool processes. The system control software may be encoded in any suitable computer readable language.

いくつかの実施形態では、システム制御ソフトウェアは、上述の様々なパラメータを制御するための入出力制御(IOC)順列命令を含む。例えば、電気メッキプロセスの各段階は、システムコントローラが実行するための1つ又は複数の命令を含んでよい。浸漬段階のためのプロセス条件を設定するための命令を、対応する浸漬レシピ段階に含んでよい。いくつかの実施形態では、電気メッキレシピ段階は、電気メッキプロセス段階のためのすべての命令が、このプロセス段階によって同時に実行されるよう、順次配列してよい。   In some embodiments, system control software includes input / output control (IOC) permutation instructions to control the various parameters described above. For example, each stage of the electroplating process may include one or more instructions for the system controller to perform. Instructions for setting process conditions for the immersion stage may be included in the corresponding immersion recipe stage. In some embodiments, the electroplating recipe steps may be arranged sequentially such that all the instructions for the electroplating process step are performed simultaneously by this process step.

いくつかの実施形態では、他のコンピュータソフトウェア及び/又はプログラムを用いてよい。この目的のためのプログラム又はプログラムの一部の例は、基材位置決めプログラム、電解質組成制御プログラム、圧力制御プログラム、ヒータ制御プログラム、及び電位/電流供給源制御プログラムを含む。   Other computer software and / or programs may be used in some embodiments. Some examples of programs or programs for this purpose include substrate positioning programs, electrolyte composition control programs, pressure control programs, heater control programs, and potential / current supply control programs.

いくつかの場合においては、コントローラは以下の機能のうち1つ又は複数を制御する:ウエハ浸漬(並進、傾動、回転)、タンク間での流体の輸送等。ウエハ浸漬は例えば、ウエハ持ち上げアセンブリ、ウエハ傾動アセンブリ及びウエハ回転アセンブリを配向して所望の通りに移動させることによって制御してよい。コントローラは、例えば、特定の弁を開閉するよう及び特定のポンプをオン/オフするよう配向することによって、タンク間での流体の輸送を制御してよい。コントローラは、センサ出力(例えば電流、電流密度、電位、圧力等が特定の閾値にいつ到達するか)、操作(例えばプロセス中の特定の時点に弁を開く)のタイミングに基づいて、又はユーザから受信した命令に基づいて、これらの態様を制御してよい。   In some cases, the controller controls one or more of the following functions: wafer immersion (translation, tilting, rotation), transport of fluid between tanks, etc. Wafer immersion may be controlled, for example, by orienting and moving the wafer lift assembly, wafer tilt assembly and wafer rotation assembly as desired. The controller may control transport of fluid between the tanks, for example, by opening and closing particular valves and turning particular pumps on / off. The controller may be based on the timing of sensor output (eg when current, current density, potential, pressure etc reach a certain threshold), operation (eg opening a valve at a certain point in the process) or from the user These aspects may be controlled based on the received instructions.

上述の装置/プロセスは、例えば半導体デバイス、ディスプレイ、LED、光電池パネル等の製作又は製造のためのリソグラフィパターニングツール又はプロセスと共に使用してよい。典型的には、必ずしもそうである必要はないが、このようなツール/プロセスは、一般的な製作設備で共に使用又は操作される。フィルムのリソグラフィパターニングは、以下のステップのうちのいくつか又は全てを含み、各ステップは多数の考えられるツールを用いて実行できる:(1)スピンオンツール又はスプレーオンツールを用いた、加工品即ち基材上へのフォトレジストの塗布;(2)ホットプレート又は炉又はUV硬化ツールを用いたフォトレジストの硬化;(3)ウエハステッパなどのツールによる、フォトレジストの可視光又はUV光又はX線光への曝露;(4)選択的にレジストを除去し、ウェットベンチ等のツールを用いてパターン形成するための、レジストの現像;(5)ドライエッチングツール又はプラズマ支援エッチングツールを用いることによる、下のフィルム又は加工品へのレジストパターンの転写;及び(6)RF又はマイクロ波プラズマレジストストリッパ等のツールを用いた、レジストの除去。   The above-described apparatus / process may be used, for example, with lithographic patterning tools or processes for the manufacture or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels, and the like. Typically, although not necessarily, such tools / processes are used or operated together in a typical fabrication facility. Lithographic patterning of a film involves some or all of the following steps, each of which can be performed using a number of possible tools: (1) Workpiece or substrate using a spin-on tool or a spray-on tool Application of the photoresist onto the material; (2) curing of the photoresist using a hot plate or oven or a UV curing tool; (3) visible light or UV light or X-ray light of the photoresist with a tool such as a wafer stepper (4) development of the resist to selectively remove the resist and pattern it using a tool such as a wet bench; (5) by using a dry etching tool or a plasma assisted etching tool, Transfer of resist patterns onto films or workpieces of the film; and (6) RF or microwave plastic Using a tool such as Ma resist stripper, removal of the resist.

[チャネル付きイオン抵抗性要素の特徴]
[電気的機能]
特定の実施形態では、チャネル付きイオン抵抗性要素は基材(カソード)の近傍にあるほぼ一定及び均一な電流源に近づき、これ自体、場合によっては高抵抗性仮想アノード(HRVA)と呼んでもよい。通常、CIRPはウエハに対して極めて近接して設置される。対照的に、基材に対して同様に近位にあるアノードは、ウエハに、及びウエハにわたって、ほぼ一定の電流密度を供給する可能性はなく、アノード金属表面において定電位面を単に支持するものであり、これによって電流を最大とすることができ、その一方でアノード平面から端子へ(例えばウエハ上の周縁接触点へ)の正味抵抗は小さくなる。よって、チャネル付きイオン抵抗性要素は高抵抗性仮想アノード(HRVA)と呼ばれ得る場合があったが、これは電気化学的にこれら2つが相互交換可能であることを含意するものではない。最適な動作条件下において、CIRPは仮想均一電流源により近づき、場合によっては仮想均一電流源としてよりよく説明され、ほぼ一定の電流がCIRPの上側平面をわたって供給される。CIRPを「仮想電流源」としてみなすことは確かにでき、即ちこれは電流が発生する平面であり、従って、アノード電流が発生する位置又は源であるとみなすことができるため、「仮想アノード」と考えることができるが、その面にわたるほぼ均一な電流、及び更に有利な、同一の物理的位置に配置された金属製アノードを有する場合と比較して概ね優れたウエハ均一性をもたらすのは、CIRPの(電解質に対して及びCIRPの外側領域に対して)比較的高いイオン抵抗性である。イオン電流に対するメッキの抵抗性は、メッキ内の様々なチャネル内に含まれる電解質の固有抵抗(カソード液の抵抗と同一又はほぼ同様の抵抗性を有する場合が多いが必ずしもそうではない)の増大、メッキ厚さの増大、及び多孔性の低下(例えばより少ない数の同一直径の孔又はより小さい直径の同一数の孔を有すること等によって、電流が通過する部分断面積は小さくなる)に伴って増大する。
[Characteristics of Ion Resistance Element with Channel]
[Electrical function]
In certain embodiments, the channeled ion resistant element approaches a substantially constant and uniform current source in the vicinity of the substrate (cathode), which may itself be referred to as a high resistivity virtual anode (HRVA) in some cases . Usually, the CIRP is placed in close proximity to the wafer. In contrast, the anode, which is also proximal to the substrate, is not capable of delivering a substantially constant current density to and across the wafer, but merely supports a constant potential surface at the anode metal surface. This allows the current to be maximized, while reducing the net resistance from the anode plane to the terminals (eg to the peripheral contact points on the wafer). Thus, although the channeled ion resistant element may have been referred to as a high resistance virtual anode (HRVA), this does not imply that the two can be interchanged electrochemically. Under optimal operating conditions, the CIRP will be closer to the virtual uniform current source, possibly better described as a virtual uniform current source, and a nearly constant current will be supplied across the top plane of the CIRP. It is certainly possible to regard CIRP as a "virtual current source", ie it is the plane in which the current is generated and hence the position or source from which the anode current is generated, so "virtual anode" As can be considered, the CIRP provides substantially better wafer uniformity as compared to having substantially uniform current across the surface, and more advantageous, metallic anodes located at the same physical location. (Relative to the electrolyte and to the outer region of CIRP) are relatively high ionic resistance. The resistance of the plating to ionic current is an increase in the specific resistance of the electrolyte contained in the various channels in the plating (often with the same or nearly the same resistance as the resistance of the catholyte), With increasing plating thickness and decreasing porosity (for example, the partial cross-sectional area through which the current passes is reduced by having a smaller number of holes of the same diameter or a smaller number of holes) Increase.

[構造]
CIRPは約2〜25mm、例えば12mmの厚さの材料のディスクである。CIRPは、CIRPの体積の約5%未満を占める極めて多数の微小サイズ(典型的には0.04インチ未満)の貫通孔を有し、上記貫通孔は互いから空間的及びイオン的に分離され、これにより、全てではないが多くの実装形態において、これら貫通孔はCIRP本体内で相互接続チャネルを形成しない。このような貫通孔は、「非連通貫通孔」と呼ばれることがある。これらは典型的には一方向に延在し、この方向は、必ずしもそうではないが通常はウエハのメッキ表面に対して垂直な方向である(いくつかの実施形態では、非連通孔はCIRPの前部表面に対してほぼ平行なウエハに対してある角度を有する)。通常、全ての貫通孔は互いに対して実質的に平行である。いくつかの実施形態では、CIRPプレートの厚さは不均一である。CIRPプレートは中央より縁部が厚くなっていてよく、又はその逆であってよい。ウエハから最も遠いCIRPの表面は、メッキの局所的な流体及びイオンの流れ抵抗性を適合するよう成形してよい。孔は通常正方形アレイに配設されるが、空間的に平均的に均一な密度又は孔をもたらす他の配置も可能である。当然、例えばCIRPの中心から縁部までの間隔を増加して(又は減少させて)、これによってCIRPの中心からの距離に応じて抵抗性を上昇(又は低下)させることにより、孔の密度も変更できる。他の場合においては、配置はずれた渦巻きパターンである。貫通孔はイオン電流及び流体流の両方を表面に対して平行に再構成し、電流及び流体流の両方のウエハ表面への経路を直線とするため、これらの貫通孔は、チャネルが3次元に延在し、相互接続された孔構造を形成するような細孔の3次元網目構造とは異なっている。しかしながら特定の実施形態では、このような細孔の相互接続網目構造を有する細孔プレートをCIRPの代わりに用いてよい。プレート上側表面からウエハまでの距離が小さい(例えば、例えば約5mm以下であるウエハ半径のサイズの約1/10の空隙)場合、電流及び流体流両方の分岐は局所的に制限され、CIRPチャネルに伝達されて整列される。
[Construction]
The CIRP is a disc of material about 2 to 25 mm thick, for example 12 mm. The CIRP has a large number of micro-sized (typically less than 0.04 inches) through holes that occupy less than about 5% of the volume of the CIRP, said through holes being spatially and ionically separated from each other Thus, in many but not all implementations, these through holes do not form interconnect channels within the CIRP body. Such through holes may be referred to as "non-communicating through holes". They typically extend in one direction, which is usually, but not necessarily, perpendicular to the plating surface of the wafer (in some embodiments, the non-communicating holes are CIRP's). At an angle to the wafer approximately parallel to the front surface). Usually, all the through holes are substantially parallel to one another. In some embodiments, the thickness of the CIRP plate is non-uniform. The CIRP plate may be thicker at the edge than at the center, or vice versa. The surface of the CIRP furthest from the wafer may be shaped to match the flow and ionic flow resistance of the plating. The holes are usually arranged in a square array, but other arrangements are possible which result in a spatially uniform density or holes. Of course, the density of the holes may also be increased, for example by increasing (or decreasing) the center-to-edge spacing of the CIRP, thereby increasing (or decreasing) the resistance depending on the distance from the center of the CIRP. You can change it. In other cases, it is a misaligned swirl pattern. The vias reshape both the ion current and fluid flow parallel to the surface, and straighten the path of both the current and fluid flow to the wafer surface, so that the channels are in three dimensions. It differs from the three-dimensional network of pores that extend and form interconnected pore structures. However, in certain embodiments, pore plates having such an interconnected network of pores may be used in place of CIRP. If the distance from the plate upper surface to the wafer is small (eg, about 1/10 of the size of the wafer radius, eg, about 5 mm or less), branching of both current and fluid flow is limited locally and into the CIRP channel It is transmitted and aligned.

特定の実施形態では、CIRPは基材の直径とほぼ同延である段差を含む(例えば段差の直径は基材の直径の約5%以内、例えば約1%であってよい)。段差はCIRPの基材対面側上の隆起した部分として定義され、これはメッキされる基材とほぼ同延である。CIRPの段差部分はまた、CIRPの主要部分の貫通孔と適合する貫通孔を含む。この実施形態の一例を図10A及び10Bに示す。段差902の目的は、横断流マニフォールド226の高さを削減して、体積流量を増大させることなく、この領域における流体の移動速度を増大させることである。段差902はまた、台地領域と考えることもでき、CIRP206自体の膨隆領域として実装してよい。 In certain embodiments, the CIRP comprises a step that is approximately coextensive with the diameter of the substrate (eg, the diameter of the step may be within about 5% of the diameter of the substrate, such as about 1%). The step is defined as a raised portion on the substrate facing side of the CIRP, which is approximately coextensive with the substrate to be plated. The stepped portion of the CIRP also includes through holes that mate with the through holes of the major portion of the CIRP. An example of this embodiment is shown in FIGS. 10A and 10B. The purpose of the step 902 is to reduce the height of the cross flow manifold 226 to increase the rate of movement of fluid in this area without increasing the volumetric flow rate. The step 902 can also be considered as a plateau area and may be implemented as a bulge area of the CIRP 206 itself.

多くの場合、ステップ902の直径は、基材ホルダ254の内径及び横断流制限リング210より若干小さくするべきである(例えば、段差の外径は基材ホルダの内径より約2〜10mm小さくてよい)。この直径の差(距離(f)として図示する)がないと、カップホルダ254及び/又は横断流制限リング210と段差902との間に望ましくないピンチポイントが形成され得、ここでは横断流マニフォールド226へと上向きに流体が流れることが困難であるか又は不可能である。このような場合には、望ましくないことに、流体が横断流制限リング210の上及び基材ホルダ/カップ254の底部表面の下にある流体空隙904から逃げてしまう場合がある。基材ホルダ254はCIRP206及びメッキセルの他の要素に対して回転可能であるべきであるため、この流体空隙904は実用性を考慮して設けられる。流体空隙904を通って逃げるカソード液の量を最小化するのが好ましい。段差902は約2〜5mm、例えば約3〜4mmの高さを有してよく、これは、約1〜4mm、又は約1〜2mm又は約2.5mm未満である横断流マニフォールドの高さと対応してよい。 In many cases, the diameter of the step 902 should be slightly smaller than the inner diameter of the substrate holder 254 and the cross flow limiting ring 210 (for example, the outer diameter of the step may be about 2 to 10 mm smaller than the inner diameter of the substrate holder ). Without this difference in diameter (illustrated as distance (f)), an undesirable pinch point may be formed between the cup holder 254 and / or the cross flow restriction ring 210 and the step 902, here the cross flow manifold 226. It is difficult or impossible for the fluid to flow upwards into it. In such cases, it may be undesirable for the fluid to escape from the fluid gap 904 above the cross flow restriction ring 210 and below the bottom surface of the substrate holder / cup 254. This fluid gap 904 is provided for practicality, as the substrate holder 254 should be rotatable relative to the CIRP 206 and other elements of the plating cell. It is preferred to minimize the amount of catholyte that escapes through the fluid gap 904. The step 902 may have a height of about 2-5 mm, for example about 3-4 mm, which corresponds to the height of the cross flow manifold which is less than about 1-4 mm, or about 1-2 mm or about 2.5 mm. You may

段差が存在する場合、横断流マニフォールドの高さは、ウエハのメッキ面と、CIRP206の盛り上がった段差902との間の距離として測定される。図10Aでは、この高さを距離(e)として示す。図10Aには基材が図示されていないが、基材のメッキ面は基材ホルダ254のリップシール部分906上に載置されるものとして理解されたい。特定の実装形態では、流体が横断流マニフォールドにより良好に流れ込むことができるよう、段差は丸みを帯びた縁部を有する。この場合、段差は段差表面が丸みを帯びている/傾斜している、幅約2〜4mmの遷移領域を含んでよい。図10Aでは丸みを帯びた段差を図示していないが、距離(g)は、このような遷移領域が配置される場所を表している。この遷移領域の径方向内側において、CIRPは平坦であってよい。CIRPの非膨隆部分は、図10Bに示すようにCIRPの全周にわたって延在してよい。 If a step is present, the height of the cross flow manifold is measured as the distance between the plated surface of the wafer and the raised step 902 of CIRP 206. This height is shown as distance (e) in FIG. 10A. Although the substrate is not shown in FIG. 10A, it should be understood that the plated surface of the substrate rests on the lip seal portion 906 of the substrate holder 254. In certain implementations, the steps have rounded edges so that the fluid can flow better into the cross flow manifold. In this case, the step may include a transition area of about 2 to 4 mm wide, with the step surface being rounded / slanted. Although a rounded step is not shown in FIG. 10A, the distance (g) represents where such a transition region is located. Radially inward of this transition region, the CIRP may be flat. The non-bulging portion of the CIRP may extend around the entire circumference of the CIRP as shown in FIG. 10B.

他の実施形態では、CIRPはその上側表面に一連の隆起部を含んでよい。隆起部は、CIRP平面とウエハとの間の横断流マニフォールド内へと延伸するCIRPの基材対面側に配置された/取り付けられた構造として定義される。CIRP平面(イオン抵抗性要素平面とも呼ぶ)は、いずれの隆起部を除いたCIRPの上側表面として定義される。CIRP平面はCIRPに隆起部を取り付ける場所であり、また流体がCIRPを出て横断流マニフォールドへと流れる場所でもある。この実施形態の例を図1A及び11に示す。図1Aは、横断流の方向に対して垂直に配向された隆起部151を有するCIRP150の等角図である。図11は、隆起部908を有するCIRP206を有するメッキ装置の流入口部分の拡大図である。CIRP206は、隆起部が配置されていない周縁領域を含んでよく、これによってカソード液は横断流マニフォールド226へと上向きに移動できる。隆起部を有さないこの周縁領域は、段差とカップホルダとの間の距離に関して上述したような幅を有してよい。多くの場合、隆起部はメッキされる基材のメッキ面と実質的に同延である(例えば、CIRPの隆起部領域の直径は、基材の直径の約5%以内、又は約1%以内であってよい)。 In other embodiments, the CIRP may include a series of ridges on its upper surface. The ridges are defined as structures disposed / attached on the substrate facing side of the CIRP extending into the cross flow manifold between the CIRP plane and the wafer. The CIRP plane (also referred to as the Ion Resistive Element Plane) is defined as the upper surface of the CIRP excluding any ridges. The CIRP plane is where the ridges are attached to the CIRP and also where fluid flows out of the CIRP to the cross flow manifold. An example of this embodiment is shown in FIGS. 1A and 11. FIG. 1A is an isometric view of a CIRP 150 with ridges 151 oriented perpendicular to the direction of cross flow . FIG. 11 is an enlarged view of the inlet portion of a plating apparatus having a CIRP 206 with ridges 908. The CIRP 206 may include a peripheral area where no ridges are located, which allows the catholyte to move upward to the cross flow manifold 226. This peripheral area without the ridges may have a width as described above for the distance between the step and the cup holder. In many cases, the ridges are substantially coextensive with the plated surface of the substrate to be plated (eg, the diameter of the ridge region of CIRP is within about 5% or about 1% of the diameter of the substrate) May be

隆起部は様々な様式で配向してよいが、多くの実装形態では、隆起部はCIRPの孔の列の間に配置された長く薄いリブの形態であり、隆起部の長さ方向が横断流マニフォールドを通る横断流に対して垂直となるよう配向される。CIRPの孔の列の間に配置された長く薄い隆起部を有するCIRPの拡大図を図12に示す。隆起部はウエハと隣接する流場を、ウエハへの物質輸送を改善しかつウエハの面全体にわたる物質輸送の均一性を改善するように修正する。いくつかの場合においては、隆起部は既存のCIRPプレートに機械加工してよく、又はCIRPを製作する際に同時に形成してよい。図12に示すように、隆起部は、既存の1次元CIRP貫通孔910を塞がないように配設してよい。言い換えると、隆起部908の幅は、CIRP206の孔910の各列の間の距離より小さくてよい。一実施例では、CIRPの孔910はその中心間が2.69mm離間して配置されており、孔の直径は0.66mmである。よって、隆起部の幅は約2mm(2.69−2×(0.66/2)mm=2.03mm)未満となる。特定の場合においては、隆起部の幅は約1mm未満となり得る。特定の場合においては、隆起部は少なくとも約3:1の長さ:幅アスペクト比を有する。 The ridges may be oriented in a variety of ways, but in many implementations the ridges are in the form of long thin ribs disposed between the rows of holes in the CIRP, and the ridges in the longitudinal direction cross flow It is oriented to be perpendicular to the cross flow through the manifold. An expanded view of a CIRP with long thin ridges disposed between the rows of holes in the CIRP is shown in FIG. The ridges modify the flow field adjacent the wafer to improve mass transport to the wafer and to improve mass transport uniformity across the surface of the wafer. In some cases, the ridges may be machined into existing CIRP plates, or may be formed simultaneously when fabricating a CIRP. As shown in FIG. 12, the raised portion may be disposed so as not to block the existing one-dimensional CIRP through hole 910. In other words, the width of the ridges 908 may be smaller than the distance between each row of holes 910 in the CIRP 206. In one embodiment, the holes 910 of the CIRP are spaced 2.69 mm between their centers, and the diameter of the holes is 0.66 mm. Thus, the width of the raised portion is less than about 2 mm (2.69-2 × (0.66 / 2) mm = 2.03 mm). In certain cases, the width of the ridges may be less than about 1 mm. In certain cases, the ridges have a length: width aspect ratio of at least about 3: 1.

多くの実装形態では、隆起部は、その長さ方向が、ウエハの面を横切る横断流の方向(本明細書では「z」方向と呼ぶこともある)に対して垂直又は実質的に垂直となるよう配向される。特定の場合においては、隆起部は異なる角度又は異なる一連の角度で配向される。 In many implementations, the ridges are such that their length direction is perpendicular or substantially perpendicular to the cross flow direction (sometimes referred to herein as the "z" direction) across the plane of the wafer. It is oriented to be In certain cases, the ridges are oriented at different angles or different series of angles.

隆起部の多様な形状、サイズ及び配置を用いてよい。いくつかの実施形態では、隆起部はCIRPの面に対して実質的に垂直な面を有し、他の実装形態では、隆起部はCIRPの面に対してある角度で位置決めされた面を有する。更なる実装形態では、隆起部はいずれの平坦面を有さないように成形され得る。いくつかの実施形態では、様々な形状及び/又はサイズ及び/又は配向の隆起部を使用してよい。   Various shapes, sizes and arrangements of ridges may be used. In some embodiments, the ridges have a plane substantially perpendicular to the plane of the CIRP, and in other implementations, the ridges have a plane positioned at an angle to the plane of the CIRP . In a further implementation, the ridges may be shaped so as not to have any flat surface. In some embodiments, ridges of various shapes and / or sizes and / or orientations may be used.

図13は、隆起部の形状の例を、CIRP206上の隆起部908の断面図として示す。いくつかの実装形態では、隆起部は概ね矩形に成形される。他の実装形態では、隆起部は三角形、円筒形又はこれらの何らかの組合せである。隆起部は、機械加工された三角形の先端を有する概ね矩形のものであってもよい。特定の実施形態では、隆起部はこれを貫通する、ウエハを横切る横断流の方向に対して実質的に平行に配向された孔を含んでよい。 FIG. 13 shows an example of the shape of the ridge as a cross-sectional view of ridge 908 on CIRP 206. In some implementations, the ridges are generally rectangularly shaped. In other implementations, the ridges are triangular, cylindrical or some combination thereof. The ridges may be generally rectangular with a machined triangular tip. In certain embodiments, the ridge may include a hole extending therethrough substantially parallel to the direction of cross flow across the wafer.

図14は、異なるタイプの貫通孔を有する隆起部の複数の例を示す。貫通孔はまた、流れ軽減構造、切り欠き部、切り欠き部分と呼んでもよい。貫通孔は、流れが全方向(x方向、y方向及びz方向)に回旋状となるように流れパターンを混乱させる助けとなる。例(a)は、矩形パターンで切り取られた上部を有する隆起部を示し、例(b)は、矩形パターンで切り取られた底部を有する隆起部を示し、例(c)は、矩形パターンで切り取られた中間部を有する隆起部を示し、例(d)は、円形/楕円形パターンで切り抜かれた一連の孔を有する隆起部を示し、例(e)は、菱型パターンで切り抜かれた一連の孔を有する隆起部を示し、例(f)は、台形パターンで交互に切り取られた上部及び底部を有する隆起部を示す。孔は互いに対して一列に水平であってよく、又は例(d)及び(f)に示すように互いからずれていてもよい。   FIG. 14 shows several examples of ridges with different types of through holes. The through holes may also be referred to as flow relief structures, notches, notches. The through holes help to disrupt the flow pattern so that the flow is convoluted in all directions (x, y and z). Example (a) shows a ridge having a top cut out in a rectangular pattern, example (b) shows a ridge having a bottom cut out in a rectangular pattern, example (c) cuts out in a rectangular pattern Example (d) shows a ridge having a series of holes cut out in a circular / elliptical pattern, Example (e) shows a series cut out in a rhomboid pattern Example (f) shows ridges with top and bottom alternately cut off in a trapezoidal pattern. The holes may be horizontal in a row with respect to one another or may be offset from one another as shown in examples (d) and (f).

図15は、図14の例()の実施形態と同様の、交互になったタイプの切り欠き部を有する隆起部908の一例を示す。ここで、第1の切り欠き部921及び第2の切り欠き部922と呼ばれる2つのタイプの切り欠き部を使用する。この実施形態では、第1の切り欠き部921は隆起部908の底部にあり、第2の切り欠き部922は隆起部908の上部にある。隆起部全体は約1〜5mmの高さ(a)及び約0.25〜2mmの厚さ(b)を有してよい。第1の切り欠き部は、約0.2〜3mmの高さ(c)及び約220mmの長さ(d)を有してよい。隆起部908の上部に配置された第2の切り欠き部922もまた、約0.2〜3mmの高さ(e)及び約220mmの長さ(f)を有してよい。隣接する第1の切り欠き部921の間の距離(即ち第1の切り欠き部921の間隔)(g)は、約4〜50mmであってよい。隣接する第2の切り欠き部922の間の距離(即ち第2の切り欠き部922の間隔)(h)もまた、約4〜50mmであってよい。これらの寸法は理解を助けるためのものであり、限定を意図したものではない。ウエハ平面(w)は、隆起部908の上側に示されている。CIRPに取り付けられている隆起部908の基部と、ウエハ平面(w)との間が、横断流マニフォールド226である。 FIG. 15 shows an example of a ridge 908 with alternating types of notches similar to the embodiment of example ( f ) of FIG. Here, two types of notches called first notch 921 and second notch 922 are used. In this embodiment, the first notch 921 is at the bottom of the ridge 908 and the second notch 922 is at the top of the ridge 908. The entire ridge may have a height (a) of about 1 to 5 mm and a thickness (b) of about 0.25 to 2 mm. The first notch may have a height (c) of about 0.2 to 3 mm and a length (d) of about 2 to 20 mm. A second notch 922 disposed on top of the ridge 908 may also have a height (e) of about 0.2 to 3 mm and a length (f) of about 2 to 20 mm. The distance (g) between adjacent first notches 921 (i.e., the distance between first notches 921) may be about 4 to 50 mm. The distance between adjacent second notches 922 (i.e. the spacing between second notches 922) (h) may also be about 4 to 50 mm. These dimensions are intended to aid understanding and are not intended to be limiting. The wafer plane (w) is shown above the ridges 908. The cross flow manifold 226 is between the base of the ridge 908 attached to the CIRP and the wafer plane (w).

図16は、図15に示したタイプの隆起部908を有するCIRP206の実施形態を示す。図16には、横断流制限リング210も示す。当業者には、本明細書で開示する実施形態の範囲内において、多くの異なるタイプの隆起部及び切り欠き部を使用してよいことが理解されるであろう。 FIG. 16 illustrates an embodiment of CIRP 206 having ridges 908 of the type shown in FIG. Also shown in FIG. 16 is a cross flow restriction ring 210. It will be appreciated by those skilled in the art that many different types of ridges and notches may be used within the scope of the embodiments disclosed herein.

いくつかの実装形態では、2つ以上の分離した/不連続な隆起部がCIRPの孔の同一の列に配置されるように空隙(不隆起空隙と呼ぶこともある)を有する隆起部を利用してよい。図17は、不隆起空隙912を有する隆起部908を有するCIRP206の一例を示す。隆起部908の空隙912は、これらが互いに対して、横断流の方向に実質的に整列しないよう設計してよい。例えば図17では、空隙912は、隆起部908の隣接する列の間で互いに整列しない。空隙912このような目的に沿った不整列は、横断流マニフォールド内での衝突流と横断流との混合を促進して均一なメッキ成果を促進する助けとなり得る。 Some implementations utilize ridges with voids (sometimes referred to as non-raised voids) such that two or more separate / discontinuous ridges are placed in the same row of holes in the CIRP You may FIG. 17 shows an example of a CIRP 206 having ridges 908 with non-raised air gaps 912. The voids 912 of the ridges 908 may be designed such that they are not substantially aligned with one another in the cross flow direction. For example, in FIG. 17, the air gaps 912 do not align with one another between adjacent rows of ridges 908. Voids 912 Such misalignments along with this purpose can help to promote mixing of the impinging flow and the cross flow in the cross flow manifold to promote uniform plating results.

いくつかの実装形態では、CIRPの孔の各列間に1つの隆起部が存在し、他の実装形態では、隆起部はこれより少なくてよい。例えば特定の実施形態では、CIRPの孔2列毎又は4列毎等に隆起部があってよい。更なる実施形態では、隆起部の位置はよりランダムであってよい。   In some implementations, there is one ridge between each row of holes in the CIRP, and in other implementations there may be fewer ridges. For example, in certain embodiments, there may be ridges, such as every two or four rows of holes in the CIRP. In further embodiments, the locations of the ridges may be more random.

隆起部を最適化するにあたって適当な1つのパラメータは、隆起部の高さであり、又はこれに関連して、隆起部の頂部とウエハ表面の底部との間の距離、若しくはCIRPからウエハへのチャネルの高さに対する隆起部の高さの比である。特定の実装形態では、隆起部の高さは約2〜5mm、例えば4〜5mmである。隆起部の頂部とウエハの底部との間の距離は約1〜4mm、例えば約1〜2mm又は約2.5mm未満であってよい。隆起部の高さと横断流マニフォールドの高さの比は約1:3〜5:6であってよい。隆起部が存在する場合、横断流マニフォールドの高さは、ウエハのメッキ面といずれの隆起部を除いたCIRPの平面との間の距離として測定される。 One parameter suitable for optimizing the ridge is the height of the ridge, or related thereto, the distance between the top of the ridge and the bottom of the wafer surface, or from CIRP to the wafer It is the ratio of the height of the ridge to the height of the channel. In a particular implementation, the height of the ridges is about 2-5 mm, for example 4-5 mm. The distance between the top of the ridges and the bottom of the wafer may be less than about 1 to 4 mm, such as about 1 to 2 mm or about 2.5 mm. The ratio of the height of the ridges to the height of the cross flow manifold may be about 1: 3 to 5: 6. If ridges are present, the height of the cross flow manifold is measured as the distance between the plated surface of the wafer and the plane of the CIRP excluding any ridges.

図18は、CIRP206の孔910の間に位置決めされた隆起部908を有するCIRP206の拡大断面図である。横断流マニフォールド226は、ウエハ平面(w)とCIRP平面914との間の空間を占める。横断流マニフォールド226の高さは約3〜8mm、例えば約4〜6mmであってよい。特定の実施形態では、この高さは約4.75mmである。隆起部908はCIRP206の孔910の列の間に位置決めされ、横断流マニフォールド226の高さ(a)より小さい上述の高さ(b)を有する。 FIG. 18 is an enlarged cross-sectional view of CIRP 206 with ridges 908 positioned between holes 910 of CIRP 206. Transverse flow manifold 226 occupies the space between the wafer plane (w) and the CIRP plane 914. The height of the cross flow manifold 226 may be about 3 to 8 mm, for example about 4 to 6 mm. In a particular embodiment, this height is about 4.75 mm. The ridges 908 are positioned between the rows of holes 910 of the CIRP 206 and have the above-described height (b) less than the height (a) of the cross flow manifold 226.

図19は、異なる様式で配向された隆起部908を有するCIRP206の代替実施形態の、簡略上面図である。この実施形態では、各隆起部908は2つのセグメント931及び932からなる。分かりやすくするために、隆起部1つ、及び隆起部セグメントの組1つにだけ参照番号を付す。セグメント931及び932は互いに対して垂直に配向され、同一又は実質的に同様(例えば他方の約10%以内)の長さを有する。他の実施形態では、これらのセグメント931及び932は互いに対して異なる角度に配向されてよく、異なる長さを有してよい。更なる実施形態では、2つのセグメント931及び932は互いから切り離されていてよく、これによって、それぞれ横断流に対してある角度で配向された2つ(又はそれ以上)の分離したタイプの隆起部が存在する。図19では、図中に示すように横断流の方向は左から右である。隆起部908の各セグメント931及び932は、横断流に対して角度(a)及び角度(b)で示す角度で配向される。角度(a)及び(b)を分ける線は、横断流全体の方向を表すためのものである。特定の場合においては、これらの角度は同一であるか又は実質的に同様(例えば他方の約10%以内)である。この実施形態では隆起部908が独立して横断流に対して垂直な方向に配向されていないため、この実施形態は、例えば図1Aに示したものとは異なる。しかしながら、角度(a)及び(b)が実質的に同様であるため、並びに隆起部セグメントの長さが実質的に同様であるため、隆起部は平均して、横断流の方向に対して垂直に配向されているものと考えてよい。 FIG. 19 is a simplified top view of an alternative embodiment of CIRP 206 having ridges 908 oriented differently. In this embodiment, each ridge 908 consists of two segments 931 and 932. For the sake of clarity, only one ridge and one set of ridge segments are referenced. Segments 931 and 932 are oriented perpendicular to one another and have identical or substantially similar lengths (eg, within about 10% of each other). In other embodiments, these segments 931 and 932 may be oriented at different angles relative to one another and may have different lengths. In a further embodiment, the two segments 931 and 932 may be separated from each other, such that each of two (or more) separate types of ridges oriented at an angle to the cross flow Exists. In FIG. 19, the direction of the cross flow is from left to right as shown in the figure. Each segment 931 and 932 of ridges 908 is oriented at an angle (a) and an angle (b) with respect to the cross flow . The line separating angles (a) and (b) is intended to represent the direction of the entire cross flow . In certain cases, these angles are identical or substantially similar (e.g., within about 10% of the other). This embodiment differs from, for example, that shown in FIG. 1A, as the raised portions 908 are not independently oriented in a direction perpendicular to the cross flow in this embodiment. However, because the angles (a) and (b) are substantially similar, and because the lengths of the ridge segments are substantially similar, the ridges, on average, are perpendicular to the cross flow direction. It can be considered as being oriented in

様々な場合において、CIRPは、イオン抵抗性及び電気抵抗性を有する剛性の、細孔を有さない絶縁材料からなるディスクである。この材料は使用するメッキ液中において化学的に安定している。特定の場合においては、CIRPは約6000〜12000個の非連通貫通孔を有するセラミック材料(例えば酸化アルミニウム、酸化第二スズ、酸化チタン若しくは金属酸化物の混合物)又はプラスチック材料(例えばポリエチレン、ポリプロピレン、ポリビニリデンジフルオリド(PVDF)、ポリテトラフルオロエチレン、ポリスルホン、ポリビニルクロリド(PVC)、ポリカーボネート等)からなる。多くの実施形態では、このディスクはウエハと実質的に同延であり(例えば300mmウエハと共に使用する場合、CIRPディスクは約300mmの直径を有する)、ウエハに極めて近接して、例えばウエハが下向きになる電気メッキ装置内においてウエハの直下に存在する。好ましくは、ウエハのメッキ表面は、CIRP表面の約10mm以内、より好ましくは約5mm以内にある。この目的のために、チャネル付きイオン抵抗性プレートの頂部表面は平坦又は実質的に平坦であってよい。特定の場合においては、チャネル付きイオン抵抗性プレートの頂部表面及び底部表面の両方が平坦又は実質的に平坦である。   In various cases, the CIRP is a disc made of a rigid, non-porous insulating material with ionic and electrical resistance. This material is chemically stable in the plating solution used. In certain cases, CIRP may be a ceramic material (eg, aluminum oxide, stannic oxide, titanium oxide or a mixture of metal oxides) or a plastic material (eg, polyethylene, polypropylene, etc.) having about 6000 to 12000 unconnected through holes. Polyvinylidene difluoride (PVDF), polytetrafluoroethylene, polysulfone, polyvinyl chloride (PVC), polycarbonate etc.). In many embodiments, the disk is substantially coextensive with the wafer (e.g., a CIRP disk has a diameter of about 300 mm when used with a 300 mm wafer) and in close proximity to the wafer, e.g. In the electroplating apparatus which is directly below the wafer. Preferably, the plated surface of the wafer is within about 10 mm, more preferably within about 5 mm, of the CIRP surface. For this purpose, the top surface of the channeled ion resistant plate may be flat or substantially flat. In certain cases, both the top and bottom surfaces of the channeled ion resistant plate are flat or substantially flat.

CIRPの別の特徴は、貫通孔の直径又は主要寸法、及びこの直径又は寸法と、CIRPと基材との間の距離との関係である。特定の実施形態では、各貫通孔(又は貫通孔の大部分若しくは貫通孔の平均直径)の直径は、メッキするウエハの表面からCIRPの最も近い表面までのおおよその距離以下である。よってこのような実施形態では、CIRPがメッキするウエハ表面の約5mm以内にある場合、貫通孔の直径又は主要寸法は約5mmを超えない。   Another feature of the CIRP is the diameter or major dimension of the through hole and the relationship between this diameter or dimension and the distance between the CIRP and the substrate. In certain embodiments, the diameter of each through hole (or most of the through holes or the average diameter of the through holes) is less than or equal to the approximate distance from the surface of the wafer being plated to the closest surface of the CIRP. Thus, in such embodiments, if the CIRP is within about 5 mm of the wafer surface to be plated, the diameter or major dimension of the through hole does not exceed about 5 mm.

上述のように、プレートの全体的なイオン抵抗性及び流れ抵抗性は、プレートの厚さ並びに全体の多孔率(流れがプレートを通ることができる領域の割合)及び孔のサイズ/直径の両方に左右される。多孔率が低いプレートは高い衝突流速度及びイオン抵抗性を有することになる。多孔率が同一であるプレートを比較すると、直径が小さい1次元孔(従って多数の1次元孔)を有するプレートは、より多くの独立した電流源(同一の空隙全体にわたってより多く広がることができる点源として作用する)が存在するため、ウエハ上においてより微細かつ均一な電流分布を有し、また、より大きな総圧力降下(高い粘性流れ抵抗性)を有することになる。   As mentioned above, the overall ionic and flow resistance of the plate is dependent on both the thickness of the plate and the overall porosity (percentage of the area through which the flow can pass through the plate) and the size / diameter of the pores It depends. Plates with low porosity will have high impact flow rates and ionic resistance. Comparing plates with the same porosity, plates with small diameter one-dimensional holes (and thus many one-dimensional holes) can spread more independent current sources (more over the same void) Because it acts as a source, it has a finer and more uniform current distribution on the wafer and also has a higher total pressure drop (high viscous flow resistance).

しかしながら特定の場合においては、イオン抵抗性プレートは上述のように多孔性である。プレートの細孔は独立した1次元チャネルを形成することはなく、代わりに相互接続されていてもされていなくても良い貫通孔の網目構造を形成し得る。本明細書で使用する用語「チャネル付きイオン抵抗性プレート(CIRP)」及びチャネル付きイオン抵抗性要素は、特別に注記しない限り、このような実施形態を含むことを意図したものであることを理解されたい。   However, in certain cases, the ion resistant plate is porous as described above. The pores of the plate do not form independent one-dimensional channels, but may instead form a network of through-holes, which may or may not be interconnected. It is understood that the terms "channeled ion resistant plate (CIRP)" and channeled ion resistant element as used herein are intended to include such embodiments unless otherwise noted. I want to be

[貫通孔を通る垂直な流れ]
ウエハシード層の電流の抵抗性がセルのカソード液より大きい場合等、末端効果が有効である/関係する場合、イオン抵抗性であるがイオン透過性である要素(CIRP)206がウエハの近傍に存在することにより、末端効果が実質的に低下し、径方向メッキ均一性が改善される。CIRPは同時に、流れ拡散マニフォールドプレートとして作用することによって、電解質の実質的に空間的に均一な衝突流をウエハ表面において上向きに配向する能力も提供する。重要なこととして、同じ要素をウエハから遠く離れた位置に配置した場合、イオン流の均一性及び流れの改善は大いに低下するか、又は発生しない。
[Vertical flow through the through hole]
An ion resistant but ion permeable element (CIRP) 206 is present in the vicinity of the wafer if the end effect is effective / related, such as when the current resistivity of the wafer seed layer is greater than the cell's catholyte. The end effect is substantially reduced and the radial plating uniformity is improved. CIRP also provides the ability to orient a substantially spatially uniform impinging flow of electrolyte upward at the wafer surface by acting as a flow diffusion manifold plate. Importantly, if the same element is placed far away from the wafer, the improvement in ion flow uniformity and flow is greatly diminished or does not occur.

更に、非連通貫通孔は、CIRP内におけるイオン流又は流体運動の横方向の移動を可能としないため、中央から縁部への電流及び流れの移動はCIRP内において阻害され、これは径方向メッキ均一性の更なる改善をもたらす。   Furthermore, non-communicating through holes do not allow lateral movement of ion flow or fluid movement within the CIRP, so movement of current and flow from the center to the edge is impeded within the CIRP, which results in radial plating It leads to a further improvement of the uniformity.

なお、いくつかの実施形態では、CIRPプレートは主に又はもっぱらセル内電解質流抵抗性、流れ制御及び流れ成形要素として使用でき、ターボプレートと呼ばれることがある。このプレートが、例えば末端効果を平衡化することによって及び/又は電場若しくはセル内での流れに加えられるメッキ添加物の力学的抵抗性を調整することによって、径方向蒸着均一性を適合するかどうかに関わらず、このような名称を用いてよい。よって例えば、シード金属の厚さが一般に大きく(例えば厚さ>1000・)金属が極めて高速で蒸着される、TSV及びWLP電気メッキにおいて、電解質流の均一な分布は極めて重要であり、その一方で、(より厚いシード層を使用する場合には中心から縁部への不均一性の重要度が低下することを少なくとも部分的な要因として)ウエハシード内でのオーミック電圧降下に起因する径方向不均一性制御を補償する必要は少なくなり得る。従ってCIRPプレートは、イオン抵抗性かつイオン透過性要素、及び流れ成形要素の両方として言及することができ、イオン流の流れを変化させること、材料の対流を変化させること、又はこれら両方による蒸着速度補正機能をもたらすことができる。   Note that in some embodiments, the CIRP plate can be used primarily or exclusively as an in-cell electrolyte flow resistance, flow control and flow shaping element and may be referred to as a turboplate. Whether this plate matches radial deposition uniformity, for example by balancing end effects and / or by adjusting the mechanical resistance of the plating additive added to the flow in the electric field or cell Such names may be used regardless of Thus, for example, in TSV and WLP electroplating where the thickness of the seed metal is generally large (e.g. thickness> 1000. Metal) is deposited at very high speed, uniform distribution of electrolyte flow is very important, while , Radial non-uniformity due to ohmic voltage drop within the wafer seed (at least in part due to reduced importance of center-to-edge non-uniformity when using thicker seed layer) The need to compensate for sex control may be less. Thus, the CIRP plate can be referred to as both an ion resistant and ion permeable element and a flow shaping element, changing the flow of the ion flow, changing the convection of the material, or both It can provide a correction function.

[ウエハとチャネル付きプレートとの間の距離]
特定の実施形態では、ウエハホルダ及び関連する位置決め機構は、回転するウエハを、チャネル付きイオン抵抗性要素の平行な上側表面の極めて近傍に保持する。メッキ中、基材は一般に、イオン抵抗性要素に対して平行又は実質的に平行(例えば約10°以内)となるように位置決めされる。基材はその上に特定の特徴部分を有し得るが、基材及びイオン抵抗性要素が実質的に平行であるかどうかを決定する際には、基材のおおよそ平坦な形状のみを考慮する。
[Distance between wafer and plate with channel]
In certain embodiments, the wafer holder and associated positioning mechanism hold the rotating wafer in close proximity to the parallel upper surface of the channeled ion resistant element. During plating, the substrate is generally positioned to be parallel or substantially parallel (eg, within about 10 °) to the ion resistant element. The substrate may have certain features thereon, but in determining whether the substrate and the ionically resistant element are substantially parallel, only the approximately flat shape of the substrate is considered .

典型的な場合、離間距離は約1〜10mm、又は約2〜8mmである。プレート−ウエハ間のこの短い距離は、ウエハ上にメッキパターンを生成し、これは、特にウエハの回転の中心付近における、このパターンの独立した孔の近接度「イメージング」と関連する。このような状況において、(厚さ又はメッキの質感における)メッキリングのパターンは、ウエハの中心付近に発生し得る。この現象を回避するために、いくつかの実装形態では、CIRP内(特にウエハの中心及び中心付近)の独立した孔を、特に小さいサイズ、例えばプレート−ウエハ間空隙の約1/5未満のサイズを有するように構成できる。ウエハの回転と相まって、細孔のサイズが小さいことによって、プレートからのジェット流として発生する衝突流の流速の時間平均化が可能となり、小規模の(例えばマイクロメートルレベルの)不均一性を低減又は回避する。上述の留意にもかかわらず、また使用するメッキ槽の特性(例えば蒸着する特定の金属、導電性、及び使用する槽添加物)に応じて、いくつかの場合においては、蒸着は、時間平均露出及び(例えばウエハの中心の周りの「ブルズアイ」形状で)厚さが変化する近接度イメージングパターンとして、並びに使用する独立した孔のパターンに対応する、微細不均一パターン(例えば中央リングを形成する)を発生させやすいものとなり得る。限定された孔のパターンが、不均一であり蒸着に影響を及ぼす衝突流パターンを発生させる場合、上記のようなことが起こり得る。この場合、ウエハ中心を横切る横方向流れを導入すること、並びに/又は中心における及び/若しくは中心付近における孔の規則的なパターンを修正することにより、そうしなければ発生することになる微細不均一性のいずれの兆候を大幅に排除できることが分かっている。   Typically, the separation distance is about 1-10 mm, or about 2-8 mm. This short distance between the plate and the wafer creates a plating pattern on the wafer, which is related to the proximity "imaging" of the independent holes in this pattern, especially near the center of rotation of the wafer. In such situations, a pattern of plating rings (in thickness or texture of plating) may occur near the center of the wafer. To avoid this phenomenon, in some implementations, the independent holes in the CIRP (especially at the center and near the center of the wafer) are of particularly small size, for example less than about one-fifth of the plate-to-wafer gap Can be configured to have The small size of the pores, coupled with the rotation of the wafer, enables time averaging of the flow velocity of the impinging flow generated as a jet from the plate, reducing the small scale (eg micrometer level) non-uniformity Or avoid. Notwithstanding the above noted, and depending on the properties of the plating bath used (eg, the particular metal deposited, conductivity, and bath additives used), in some cases, deposition is time-averaged exposure And a finely non-uniform pattern (eg, forming a central ring), as a proximity imaging pattern of varying thickness (eg, in a “bulls-eye” shape around the center of the wafer), and corresponding to the pattern of discrete holes used Can be easily generated. Such may occur if the limited pattern of holes produces an impinging flow pattern that is non-uniform and affects deposition. In this case, by introducing lateral flow across the wafer center, and / or modifying the regular pattern of holes at and / or near the center, micro non-uniformities that would otherwise occur. It has been found that any sign of sexuality can be largely eliminated.

[チャネル付きプレートの多孔性]
様々な実施形態では、チャネル付きイオン抵抗性プレートは十分に低い多孔性及び細孔サイズを有し、これにより、通常の動作体積流量において粘性流れ抵抗性背圧及び高い垂直衝突流量を提供する。いくつかの場合においては、チャネル付きイオン抵抗性プレートの約1〜10%が、ウエハ表面に流体を到達させることができる開口領域である。特定の実施形態では、プレートの約2〜5%が開口領域である。具体的な実施例では、プレート206の開口領域は約3.2%であり、有効な総開口断面積は約23cm2である。
[Porosity of plate with channel]
In various embodiments, the channeled ion resistant plate has sufficiently low porosity and pore size to provide viscous flow resistant back pressure and high vertical impingement flow rates at normal operating volumetric flow rates. In some cases, about 1 to 10% of the channeled ion resistant plate is an open area that allows fluid to reach the wafer surface. In certain embodiments, about 2-5% of the plate is the open area. In a specific embodiment, the open area of plate 206 is about 3.2%, and the effective total open cross-sectional area is about 23 cm 2.

[チャネル付きプレートの孔のサイズ]
チャネル付きイオン抵抗性プレートの多孔性は、多くの異なる方法で実装できる。様々な実施形態において、直径が小さい多数の垂直孔を用いてこれを実装してよい。いくつかの場合においては、プレートは独立した「穿孔された」孔を有さず、連続的な多孔性材料の焼結プレートによって生成される。このような焼結プレートの例は、米国特許第6964792号(代理人整理番号NOVLP023)に記載されており、これは参照によりその全体が本明細書に援用される。いくつかの実施形態では、先行された非連通孔の直径は約0.01〜0.05インチである。いくつかの場合においては、孔の直径は約0.02〜0.03インチである。上述のように、様々な実施形態では、孔は、チャネル付きイオン抵抗性プレートとウエハとの間の空隙距離の最大約0.2倍の直径を有する。孔は一般に円形の断面を有するが、必ずしもそうではない。更に、構成を簡単にするために、プレート内の全ての孔は同一の直径を有してよい。しかしながらそうである必要はなく、孔の個別のサイズ及び局所的密度の両方は、特定の要件によって必要とされ得るようにプレート表面全体にわたって変化してよい。
[Pore size of plate with channel]
The porosity of the channeled ion resistant plate can be implemented in many different ways. In various embodiments, this may be implemented using multiple vertical holes of small diameter. In some cases, the plate does not have independent "perforated" holes, but is produced by a sintered plate of continuous porous material. An example of such a sintered plate is described in US Pat. No. 6,964,792 (Attorney Docket No. NOVLP 023), which is incorporated herein by reference in its entirety. In some embodiments, the diameter of the leading non-communicating hole is about 0.01 to 0.05 inches. In some cases, the diameter of the holes is about 0.02 to 0.03 inches. As mentioned above, in various embodiments, the holes have a diameter of up to about 0.2 times the air gap distance between the channeled ion resistant plate and the wafer. The holes generally have a circular cross-section but this is not necessarily the case. Furthermore, in order to simplify the configuration, all the holes in the plate may have the same diameter. However, this need not be the case and both the individual size and the local density of the holes may vary across the plate surface as may be required by specific requirements.

例として、例えば少なくとも約1000個又は少なくとも約3000個又は少なくとも約5000個又は少なくとも約6000個の多数の小さな孔が設けられた(直径0.026インチの孔が9465個あると有益であることが分かっている)、適切なセラミック又はプラスチック材料(一般に電気絶縁性かつ機械的に頑丈な材料)性の剛性プレートを挙げる。上述のように、設計によっては約9000個の孔を有する。プレートの多孔率は典型的には約5%未満であり、これによって、高い衝突速度を生成するために必要な総流量はあまり多くなくなる。より小さな孔の使用は、より大きな孔を使用する場合に比べて大きな、プレートにわたる圧力降下の生成の助けとなり、プレートを通るより均一な上方向の流れの生成を助ける。   By way of example, it may be advantageous to have for example at least about 1000 or at least about 3000 or at least about 5000 or at least about 6000 many small holes (9465 holes with a diameter of 0.026 inch) Known are rigid plates of suitable ceramic or plastic material (generally electrically insulating and mechanically robust material). As mentioned above, some designs have about 9000 holes. The porosity of the plate is typically less than about 5%, which reduces the total flow required to produce a high impact velocity. The use of smaller holes helps to create a larger pressure drop across the plate as compared to using larger holes, and helps create a more uniform upward flow through the plate.

一般に、チャネル付きイオン抵抗性プレート全体にわたる孔の分布は、均一の密度かつランダムでないものである。しかしながらいくつかの場合においては、孔の密度は特に径方向に変化してよい。特定の実施形態では、以下により十分に説明するように、流れを回転する基材の中心へと配向するプレートの領域において、孔はより高い密度及び/又はより大きな直径を有する。更に、いくつかの実施形態では、電解質を回転するウエハの中心又は中心付近に配向する孔は、ウエハ表面に対して直角でない角度で流れを誘導してよい。更に、この領域における孔のパターンは、不均一なメッキ「リング」のランダムな又は部分的にランダムな分布を有してよく、これによって、制限された数の孔とウエハの回転との間に発生し得る相互作用に対処する。いくつかの実施形態では、流れ切替弁又は制限リングの開口セグメント近傍における孔の密度は、チャネル付きイオン抵抗性プレートの、取り付けられた流れ切替弁又は制限リングの開口セグメントから離れた領域における孔の密度より低い。   In general, the distribution of holes throughout the channeled ion resistant plate is of uniform density and not random. However, in some cases, the density of the holes may vary in particular in the radial direction. In certain embodiments, the holes have a higher density and / or larger diameter in the region of the plate that directs the flow to the center of the rotating substrate, as described more fully below. Furthermore, in some embodiments, the holes that orient the electrolyte at or near the center of the rotating wafer may induce flow at angles that are not perpendicular to the wafer surface. Furthermore, the pattern of holes in this area may have a random or partially random distribution of non-uniform plating "rings", thereby between a limited number of holes and the rotation of the wafer. Address possible interactions. In some embodiments, the density of the holes in the vicinity of the opening segment of the flow switching valve or the limiting ring is that of the holes in the region of the channeled ion resistant plate away from the opening segment of the attached flow switching valve or the limiting ring. Less than density.

本明細書に記載する構成及び/又はアプローチは本質的に例示的なものであること、並びに、これらの特定の実施形態又は実施例については多数の変更が可能であるため、限定を意味するものとみなすべきではないことを理解されたい。本明細書に記載する具体的な手順又は方法は、いずれの数の処理方策のうちの1つ又は複数を表し得る。従って、例示した様々な動作は例示した順序で、他の順序で、並行して、又はいくつかの場合を省略して実行してよい。同様に、上述のプロセスの順序は変更してよい。   The configurations and / or approaches described herein are meant to be limiting in that they are exemplary in nature and that many variations are possible for these particular embodiments or examples. It should be understood that it should not be considered. The specific procedures or methods described herein may represent one or more of any number of processing strategies. Thus, the various acts illustrated may be performed in the order illustrated, in other orders, in parallel, or with some omissions. Likewise, the order of the processes described above may be changed.

本開示の主題は、本明細書で開示した様々なプロセス、システム及び構成、並びに他の特徴、機能、作用及び/又は特性、並びにこれらのいずれの及び全ての均等物の、新規かつ自明でない組合せ及び部分的組合せを含む。   The subject matter of the present disclosure is a novel and non-obvious combination of the various processes, systems and configurations disclosed herein, as well as other features, functions, operations and / or properties, and any and all equivalents thereof. And partial combinations.

[実施例及び実験]
モデリング結果及びウエハ上での実験結果は、本明細書で開示した実施形態がメッキプロセスの均一性を有意に向上させることができることを示唆するものである。図20は、銅電気メッキに関するいくつかの実験結果の概要を示す。2つの異なるCIRP設計(隆起部を有するものと有さないもの)を、2つの異なる蒸着速度それぞれについて試験した。
[Example and experiment]
The modeling results and the experimental results on the wafer suggest that the embodiments disclosed herein can significantly improve the uniformity of the plating process. FIG. 20 shows a summary of some experimental results for copper electroplating. Two different CIRP designs (with and without ridges) were tested for each of two different deposition rates.

第1のCIRP設計は、段差又は隆起部を有さない対照設計であった。第2のCIRP設計は、CIRPの孔の隣接する列の間に位置決めされ、かつ横断流に対して垂直方向に配向された、高さ2.5mmの一連の隆起部を含むものであった。横断流マニフォールドの高さは約4.75mmであった。試験した2つの銅蒸着速度は、2.4μm/分及び3.2μm/分であった。言い換えると、各実験中に送達される電流は、金属を平均して約2.4μm/分及び3.2μm/分で蒸着するために必要なレベルの電流であった。実験に使用したメッキ化学構造は、Enthone(コネチカット州ウエストヘブン)製のSC40化学構造であり、これは約140g/Lの硫酸濃度、約40g/Lの第二銅イオン(Cu2+)濃度(硫酸銅由来)を有するものであった。カソード液のR1添加物及びR2添加物の濃度はそれぞれ20mL/L及び12mL/Lであった。カソード液の流量は約20L/分であった。基材は約4RPMの速度で回転させた。横断流制限リングの上側表面とメッキカップの下側表面との間の流体空隙は、約0.5mmであった。メッキプロセスは30℃で実行された。メッキ後のバンプ高さは、各ウエハの表面にわたる多数の異なる位置において測定した。 The first CIRP design was a control design with no steps or bumps. The second CIRP design included a series of 2.5 mm high ridges positioned between adjacent rows of holes in the CIRP and oriented perpendicular to the cross flow . The height of the cross flow manifold was about 4.75 mm. The two copper deposition rates tested were 2.4 μm / min and 3.2 μm / min. In other words, the current delivered during each experiment was the level of current required to deposit metal on average at about 2.4 μm / min and 3.2 μm / min. The plating chemistry used in the experiment is SC40 chemistry from Enthone (West Haven, Conn.), Which has a sulfuric acid concentration of about 140 g / L, a cupric ion (Cu 2+) concentration of about 40 g / L (copper sulfate) Origin)). The concentrations of the R1 additive and the R2 additive in the catholyte were 20 mL / L and 12 mL / L, respectively. The flow rate of the catholyte was about 20 L / min. The substrate was rotated at a speed of about 4 RPM. The fluid gap between the upper surface of the cross flow restriction ring and the lower surface of the plating cup was about 0.5 mm. The plating process was performed at 30.degree. The post bump height was measured at a number of different locations across the surface of each wafer.

全ての場合において、バンプ高さはウエハの縁部付近より幾分厚く、ウエハの中心付近より幾分薄かった。しかしながら、どちらの蒸着速度においても、厚さの変量は、対照CIRPに関してよりも隆起部を有するCIRPに関しての方が小さかった。よって、隆起部を有するCIRPはバンプ高さ、厚さの分布について明らかな改善を示した。共平面性は対照と隆起部を有する場合とで実質的に同一であったが、物質移動が激しい条件下(例えば銅の蒸着速度が>4μmである場合)においては、隆起部を有する場合の方が優れているものと予測される。ダイの共平面性は、所定のダイについて(1/2×(最大バンプ高さ−最小バンプ高さ)/平均バンプ高さ)として定義される。図20において報告されているウエハの共平面性は、所定のウエハの全てのダイの共平面性の平均である。この場合、特定の試験ウエハには約170のダイが存在した。   In all cases, the bump height was somewhat thicker than near the edge of the wafer and somewhat thinner than near the center of the wafer. However, at both deposition rates, the thickness variation was smaller for the CIRP with ridges than for the control CIRP. Thus, the CIRP with ridges showed a clear improvement in the distribution of bump height, thickness. Coplanarity was substantially identical for the control and with the ridges, but under conditions of high mass transfer (eg, copper deposition rates> 4 μm) Is expected to be better. Die coplanarity is defined as (1/2 × (maximum bump height−minimum bump height) / average bump height) for a given die. The coplanarity of the wafers reported in FIG. 20 is the average of the coplanarity of all dies of a given wafer. In this case, there were approximately 170 dies on a particular test wafer.

隆起部の有効性を実証する更なるモデリング結果は、米国仮特許出願第61/736499号に含まれており、これは既に参照により本明細書に援用した。   Further modeling results demonstrating the effectiveness of the ridges are contained in US Provisional Patent Application No. 61 / 736,499, which has already been incorporated herein by reference.

[他の実施形態]
以上が具体的実施形態の全説明であるが、様々な改変、代替構造及び均等物を使用してよい。従って、以上の説明及び例示は、添付の請求項で定義される本発明の範囲を制限するものと解釈されるべきではない。
例えば、本発明は、以下の形態により実現されてもよい。
[形態1]
電気メッキ装置であって、
(a)実質的に平坦な基材上に金属を電気メッキする間、電解質及びアノードを含むよう構成された、電気メッキ用チャンバと、
(b)電気メッキ中、前記基材のメッキ面が前記アノードと分離されるように、前記実質的に平坦な基材を保持するよう構成された、基材ホルダと、
(c)イオン抵抗性要素であって、
(i)前記イオン抵抗性要素を貫通して延在する、電気メッキ中に前記イオン抵抗性要素を通してイオンを輸送するよう適合された、複数のチャネルと、
(ii)前記基材の前記メッキ面と実質的に平行であり、前記基材の前記メッキ面と空隙で分離されている、基材対面側部と、
(iii)前記イオン抵抗性要素の前記基材対面側部上に位置決めされた複数の隆起部と、を含む、イオン抵抗性要素と、
(d)前記空隙に前記電解質の直交流を導入するための、前記空隙への流入口と、
(e)前記空隙内を流れる前記電解質の前記直交流を受承するための、前記空隙の流出口と、を備え、
前記流入口及び前記流出口は、電気メッキ中、前記基材の前記メッキ面上の方位的にほぼ対向する周上の位置に位置決めされる、電気メッキ装置。
[形態2]
形態1に記載の電気メッキ装置であって、
前記イオン抵抗性要素の前記基材対面側部と、前記基材の前記メッキ面との間の前記空隙は、前記基材の前記メッキ面と前記イオン抵抗性要素の平面との間を測定した場合に約15mm未満である、電気メッキ装置。
[形態3]
形態1に記載の電気メッキ装置であって、
前記基材の前記メッキ面と前記隆起部の最高部との間の空隙は約0.5〜4mmである、電気メッキ装置。
[形態4]
形態1に記載の電気メッキ装置であって、
前記隆起部の高さは約2〜10mmである、電気メッキ装置。
[形態5]
形態1に記載の電気メッキ装置であって、
前記隆起部は平均して、前記電解質の前記直交流の方向に対して実質的に垂直に配向される、電気メッキ装置。
[形態6]
形態1に記載の電気メッキ装置であって、
前記隆起部のうちの少なくともいくつかは、少なくとも約3:1の長さ:幅アスペクト比を有する、電気メッキ装置。
[形態7]
形態1に記載の電気メッキ装置であって、
前記イオン抵抗性要素上に、少なくとも2つの異なる形状及び/又はサイズの前記隆起部が存在する、電気メッキ装置。
[形態8]
形態1に記載の電気メッキ装置であって、
前記隆起部のうちの少なくともいくつかの上に、電気メッキ中に電解質がそれを通って流れることができる1つ又は複数の切り欠き部を含む、電気メッキ装置。
[形態9]
形態1に記載の電気メッキ装置であって、
前記隆起部のうちの少なくともいくつかは、前記イオン抵抗性要素の前記平面に対して実質的に垂直な面を備える、電気メッキ装置。
[形態10]
形態1に記載の電気メッキ装置であって、
前記隆起部のうちの少なくともいくつかは、前記イオン抵抗性要素の前記平面から直角でない角度だけずれた面を備える、電気メッキ装置。
[形態11]
形態1に記載の電気メッキ装置であって、
前記隆起部のうちの少なくともいくつかの上に、三角形の上部を更に備える、電気メッキ装置。
[形態12]
形態1に記載の電気メッキ装置であって、
前記隆起部は、少なくとも第1の隆起部セグメント及び第2の隆起部セグメントを含み、
前記第1及び第2の隆起部セグメントはそれぞれ、前記電解質の前記直交流の方向から、実質的に等しいが符号が逆である角度だけずれている、電気メッキ装置。
[形態13]
形態1に記載の電気メッキ装置であって、
前記イオン抵抗性要素は、電場を成形し、電気メッキ中に前記基材付近の前記電解質流の性質を制御するよう構成される、電気メッキ装置。
[形態14]
形態1に記載の電気メッキ装置であって、
前記イオン抵抗性要素の下面の下に位置決めされた下側マニフォールド領域を更に備え、
前記下面は前記基材ホルダと反対側を向いている、電気メッキ装置。
[形態15]
形態14に記載の電気メッキ装置であって、
中央電解質チャンバと
前記中央電解質チャンバから前記流入口及び前記下側マニフォールド領域の両方に前記電解質を送達するよう構成された1つ又は複数の供給チャネルと、を更に備える、電気メッキ装置。
[形態16]
形態1に記載の電気メッキ装置であって、
前記流入口と流体連通された直交流注入マニフォールドを更に備える、電気メッキ装置。
[形態17]
形態10に記載の電気メッキ装置であって、
前記直交流注入マニフォールドは、前記イオン抵抗性要素のキャビティによって少なくとも部分的に画定される、電気メッキ装置。
[形態18]
形態1に記載の電気メッキ装置であって、
前記イオン抵抗性要素の周縁部全体にわたって位置決めされた流れ制限リングを更に備える、電気メッキ装置。
[形態19]
形態1に記載の電気メッキ装置であって、
メッキ中に前記基材ホルダを回転させるための機構を更に備える、電気メッキ装置。
[形態20]
形態1に記載の電気メッキ装置であって、
前記流入口は、前記基材の前記メッキ面の周付近に、約90〜180°の弧にわたって広がっている、電気メッキ装置。
[形態21]
形態1に記載の電気メッキ装置であって、
前記流入口内の方位的に別個の複数の流入口セグメントと、
前記方位的に別個の複数の流入口セグメントに前記電解質を送達するよう構成された、複数の電解質供給流入口と、
電気メッキ中に複数の前記電解質供給流入口内の前記電解質の複数の体積流量を独立して制御するよう構成された、1つ又は複数の流れ制御要素と、を更に備える、電気メッキ装置。
[形態22]
形態1に記載の電気メッキ装置であって、
前記隆起部は、前記基材の前記メッキ面と実質的に同延である、電気メッキ装置。
[形態23]
形態1に記載の電気メッキ装置であって、
前記流入口及び前記流出口は、電気メッキ中に前記基材の前記メッキ面上に剪断力を生成又は維持するために、前記空隙内で前記電解質の前記直交流を生成するよう適合される、電気メッキ装置。
[形態24]
形態1に記載の電気メッキ装置であって、
前記隆起部は、複数の平行な列に配向され、
前記列は、非隆起空隙で分離された2つ以上の不連続な前記隆起部を含み、
隣接する前記列の前記非隆起空隙は実質的に、前記電解質の前記直交流の方向に互いに整列されていない、電気メッキ装置。
[形態25]
電気メッキ装置であって、
(a)実質的に平坦な基材上に金属を電気メッキする間、電解質及びアノードを含むよう構成された、電気メッキ用チャンバと、
(b)電気メッキ中、前記基材のメッキ面が前記アノードと分離されるように、前記実質的に平坦な基材を保持するよう構成された、基材ホルダと、
(c)イオン抵抗性要素であって、
(i)前記イオン抵抗性要素を貫通して延在する、電気メッキ中に前記イオン抵抗性要素を通してイオンを輸送するよう適合された、複数のチャネルと、
(ii)前記基材の前記メッキ面と実質的に平行であり、前記基材の前記メッキ面と空隙で分離されている、基材対面側部と、
(iii)前記イオン抵抗性要素の前記基材対面側部上に位置決めされた段差であって、前記段差は高さ及び直径を有し、前記段差の前記直径は前記ウエハの前記メッキ面と実質的に同延であり、前記段差の前記高さ及び前記直径はメッキ中に前記電解質が前記基材ホルダの下、前記段差の上を通って前記空隙内へと流れることができる程度に十分に小さい、段差と、を含む、イオン抵抗性要素と、
(d)前記空隙に前記電解質を導入するための、前記空隙への流入口と、
(e)前記空隙内を流れる前記電解質の流れを受承するための、前記空隙の流出口と、を備え、
前記流入口及び前記流出口は、電気メッキ中に前記基材の前記メッキ面上に剪断力を生成又は維持するために、前記空隙内で前記電解質の前記直交流を生成するよう適合される、電気メッキ装置。
[形態26]
標準的な直径の半導体ウエハ上に材料をメッキするための電気メッキ装置で使用するための、チャネル付きイオン抵抗性プレートであって、
前記半導体ウエハのメッキ面とほぼ同延である、約2〜25mmの厚さを有するプレートと、
前記プレートの厚さを貫通して延在する、少なくとも約1000個の非連通貫通孔であって、電気メッキ中に前記プレートを通してイオンを輸送するよう適合された、貫通孔と、
前記プレートの片側上に位置決めされた複数の隆起部と、を備える、チャネル付きイオン抵抗性プレート。
[形態27]
標準的な直径の半導体ウエハ上に材料をメッキするための電気メッキ装置で使用するための、チャネル付きイオン抵抗性プレートであって、
前記半導体ウエハのメッキ面とほぼ同延である、約2〜25mmの厚さを有するプレートと、
前記プレートの厚さを貫通して延在する、少なくとも約1000個の非連通貫通孔であって、電気メッキ中に前記プレートを通してイオンを輸送するよう適合された、貫通孔と、
前記プレートの中央領域のプレートの膨隆部、及び前記プレートの周縁部に位置決めされた前記プレートの非膨隆部を備える、段差と、を備える、チャネル付きイオン抵抗性プレート。
[形態28]
基材を電気メッキするための方法であって、
(a)基材ホルダ内に実質的に平坦な基材を受承することであって、前記基材のメッキ面は露出しており、前記基材ホルダは電気メッキ中、前記基材の前記メッキ面がアノードと分離されるように前記基材を保持するよう構成されている、受承することと、
(b)前記基材を電解質に浸漬することであって、
前記基材の前記メッキ面とイオン抵抗性要素の平面との間に空隙を形成し、
前記イオン抵抗性要素は前記基材の前記メッキ面と少なくともほぼ同延であり、
前記イオン抵抗性要素は電気メッキ中に前記イオン抵抗性要素を通してイオンを輸送するよう適合されており、
前記イオン抵抗性要素は前記イオン抵抗性要素の基材対面側部上に複数の隆起部を備え、前記隆起部は前記基材の前記メッキ面と実質的に同延である、浸漬することと、
(c)(i)側部流入口から前記空隙内へ入り、側部流出口から出るように;及び(ii)前記イオン抵抗性要素の下から前記イオン抵抗性要素を通過して前記空隙内へ入り、前記側部流出口から出るように、前記基材ホルダ内の前記基材と接触するように前記電解質を流すことであって、前記流入口及び前記流出口は、電気メッキ中に前記空隙内の前記電解質の直交流を生成するよう設計又は構成されている、前記電解質を流すことと、
(d)前記基材ホルダを回転させることと、
(e)前記(c)と同様に前記電解質を流しながら、前記基材の前記メッキ面上に材料を電気メッキすることと、を備える、方法。
[形態29]
形態28に記載の方法であって、
前記空隙は、前記基材の前記メッキ面と前記イオン抵抗性要素の平面との間を測定した場合に約15mm未満である、方法。
[形態30]
形態28に記載の方法であって、
前記基材の前記メッキ面と前記隆起部の最高部表面との間の空隙は約0.5〜4mmである、方法。
[形態31]
形態28に記載の方法であって、
前記側部流入口は、方位的に別個であり流体連通していない2つ以上のセクションに分離され、
前記流入口の前記方位的に別個のセクションへの前記電解質の流れは独立して制御される、方法。
[形態32]
形態28に記載の方法であって、
前記空隙内に流れ配向要素が位置決めされ、
前記流れ配向要素によって、前記側部流入口から前記側部流出口への実質的に直線の流路に前記電解質を流すことができる、方法。
[形態33]
基材を電気メッキするための方法であって、
(a)基材ホルダ内に実質的に平坦な基材を受承することであって、前記基材のメッキ面は露出しており、前記基材ホルダは電気メッキ中、前記基材の前記メッキ面がアノードと分離されるように前記基材を保持するよう構成されている、受承することと、
(b)前記基材を電解質に浸漬することであって、
前記基材の前記メッキ面とイオン抵抗性要素の平面との間に空隙を形成し、
前記イオン抵抗性要素は前記基材の前記メッキ面と少なくともほぼ同延であり、
前記イオン抵抗性要素は電気メッキ中に前記イオン抵抗性要素を通してイオンを輸送するよう適合されており、
前記イオン抵抗性要素は前記イオン抵抗性要素の基材対面側部上に段差を備え、前記段差は前記イオン抵抗性要素の中心領域に位置決めされ、前記イオン抵抗性要素の非膨隆部で囲まれている、浸漬することと、
(c)(i)側部流入口から前記段差を越えて空隙内へ入り、再び前記段差を越えて側部流出口から出るように;及び(ii)前記イオン抵抗性要素の下から前記イオン抵抗性要素を通過して前記空隙内へ入り、前記段差を越えて前記側部流出口から出るように、前記基材ホルダ内の前記基材と接触するように前記電解質を流すことであって、前記流入口及び前記流出口は、電気メッキ中に前記空隙内の前記電解質の直交流を生成するよう設計又は構成されている、前記電解質を流すことと、
(d)前記基材ホルダを回転させることと、
(e)前記(c)と同様に前記電解質を流しながら、前記基材の前記メッキ面上に材料を電気メッキすることと、を備える、方法。
[Other embodiments]
While the above is a complete description of specific embodiments, various modifications, alternative constructions and equivalents may be used. Therefore, the above description and illustrations should not be construed as limiting the scope of the present invention as defined in the appended claims.
For example, the present invention may be realized by the following modes.
[Form 1]
An electroplating apparatus,
(A) an electroplating chamber configured to include an electrolyte and an anode during electroplating of a metal on a substantially planar substrate;
(B) a substrate holder configured to hold the substantially flat substrate such that the plated surface of the substrate is separated from the anode during electroplating;
(C) an ion resistant element,
(I) a plurality of channels extending through the ionically resistive element adapted to transport ions through the ionically resistive element during electroplating;
(Ii) a substrate facing side substantially parallel to the plated surface of the substrate and separated from the plated surface of the substrate by a gap;
(Iii) an ion resistant element comprising a plurality of ridges positioned on the substrate facing side of the ion resistant element;
(D) an inlet to the air gap for introducing a cross flow of the electrolyte into the air gap;
(E) an outlet of the cavity for receiving the cross flow of the electrolyte flowing in the cavity;
The electroplating apparatus, wherein the inlet and the outlet are positioned at substantially azimuthally opposite circumferential positions on the plating surface of the substrate during electroplating.
[Form 2]
The electroplating apparatus according to the first aspect, wherein
The air gap between the substrate facing side of the ion resistant element and the plated surface of the substrate was measured between the plated surface of the substrate and the plane of the ion resistant element Electroplating equipment, which is less than about 15 mm.
[Form 3]
The electroplating apparatus according to the first aspect, wherein
An electroplating apparatus, wherein the gap between the plated surface of the substrate and the top of the ridge is about 0.5 to 4 mm.
[Form 4]
The electroplating apparatus according to the first aspect, wherein
The electroplating apparatus, wherein the height of the raised portion is about 2 to 10 mm.
[Form 5]
The electroplating apparatus according to the first aspect, wherein
An electroplating apparatus, wherein the ridges are, on average, oriented substantially perpendicular to the direction of the cross flow of the electrolyte.
[Form 6]
The electroplating apparatus according to the first aspect, wherein
An electroplating apparatus wherein at least some of the ridges have a length: width aspect ratio of at least about 3: 1.
[Form 7]
The electroplating apparatus according to the first aspect, wherein
An electroplating apparatus, wherein the ridges of at least two different shapes and / or sizes are present on the ion resistant element.
[Form 8]
The electroplating apparatus according to the first aspect, wherein
An electroplating apparatus, comprising at least some of the ridges, one or more notches through which electrolyte can flow during electroplating.
[Form 9]
The electroplating apparatus according to the first aspect, wherein
An electroplating apparatus, wherein at least some of the ridges comprise planes substantially perpendicular to the plane of the ion resistant element.
[Form 10]
The electroplating apparatus according to the first aspect, wherein
An electroplating apparatus, wherein at least some of the ridges comprise faces that are offset from the plane of the ionically resistive element by a non-perpendicular angle.
[Form 11]
The electroplating apparatus according to the first aspect, wherein
An electroplating apparatus, further comprising a triangular top on at least some of the ridges.
[Form 12]
The electroplating apparatus according to the first aspect, wherein
The ridges include at least a first ridge segment and a second ridge segment,
An electroplating apparatus, wherein the first and second ridge segments are each offset from the direction of the cross flow of the electrolyte by an angle that is substantially equal but opposite in sign.
[Form 13]
The electroplating apparatus according to the first aspect, wherein
An electroplating apparatus, wherein the ion resistant element is configured to shape an electric field and control the nature of the electrolyte flow near the substrate during electroplating.
[Form 14]
The electroplating apparatus according to the first aspect, wherein
Further comprising a lower manifold region positioned below the lower surface of the ion resistant element;
The electroplating apparatus, wherein the lower surface is opposite to the substrate holder.
[Form 15]
An electroplating apparatus according to mode 14, wherein
With the central electrolyte chamber
An electroplating apparatus, further comprising: one or more supply channels configured to deliver the electrolyte from the central electrolyte chamber to both the inlet and the lower manifold region.
[Form 16]
The electroplating apparatus according to the first aspect, wherein
An electroplating apparatus, further comprising a cross flow injection manifold in fluid communication with the inlet.
[Form 17]
The electroplating apparatus according to mode 10, wherein
The electroplating apparatus, wherein the cross flow injection manifold is at least partially defined by a cavity of the ion resistant element.
[Form 18]
The electroplating apparatus according to the first aspect, wherein
An electroplating apparatus, further comprising a flow limiting ring positioned across the perimeter of the ion resistant element.
[Form 19]
The electroplating apparatus according to the first aspect, wherein
An electroplating apparatus, further comprising a mechanism for rotating the substrate holder during plating.
[Form 20]
The electroplating apparatus according to the first aspect, wherein
The electroplating apparatus, wherein the inlet extends around an arc of about 90 to 180 degrees, around the periphery of the plated surface of the substrate.
[Form 21]
The electroplating apparatus according to the first aspect, wherein
A plurality of azimuthally distinct inlet segments within the inlet;
A plurality of electrolyte feed inlets, configured to deliver the electrolyte to the plurality of azimuthally distinct inlet segments;
An electroplating apparatus, further comprising: one or more flow control elements configured to independently control a plurality of volumetric flow rates of the electrolyte in the plurality of electrolyte supply inlets during electroplating.
[Form 22]
The electroplating apparatus according to the first aspect, wherein
The electroplating apparatus, wherein the raised portion is substantially coextensive with the plated surface of the substrate.
[Form 23]
The electroplating apparatus according to the first aspect, wherein
The inlet and the outlet are adapted to generate the cross flow of the electrolyte in the gap to generate or maintain a shear force on the plated surface of the substrate during electroplating. Electroplating equipment.
[Form 24]
The electroplating apparatus according to the first aspect, wherein
The ridges are oriented in a plurality of parallel rows,
The row comprises two or more discrete said ridges separated by non-raised voids,
An electroplating apparatus, wherein the non-raised voids of adjacent rows are substantially not aligned with one another in the direction of the cross flow of the electrolyte.
[Form 25]
An electroplating apparatus,
(A) an electroplating chamber configured to include an electrolyte and an anode during electroplating of a metal on a substantially planar substrate;
(B) a substrate holder configured to hold the substantially flat substrate such that the plated surface of the substrate is separated from the anode during electroplating;
(C) an ion resistant element,
(I) a plurality of channels extending through the ionically resistive element adapted to transport ions through the ionically resistive element during electroplating;
(Ii) a substrate facing side substantially parallel to the plated surface of the substrate and separated from the plated surface of the substrate by a gap;
(Iii) a step positioned on the substrate facing side of the ion resistant element, the step having a height and a diameter, the diameter of the step substantially corresponding to the plating surface of the wafer The step and the height and the diameter of the step are sufficient to allow the electrolyte to flow under the substrate holder, over the step and into the void during plating. Ion resistant elements, including small steps
(D) an inlet to the space for introducing the electrolyte into the space;
(E) an outlet of the gap for receiving the flow of the electrolyte flowing in the gap;
The inlet and the outlet are adapted to generate the cross flow of the electrolyte in the gap to generate or maintain a shear force on the plated surface of the substrate during electroplating. Electroplating equipment.
[Form 26]
A channeled ion resistant plate for use in an electroplating apparatus for plating material on a semiconductor wafer of standard diameter, comprising:
A plate having a thickness of about 2 to 25 mm, which is approximately coextensive with the plated surface of the semiconductor wafer;
At least about 1000 non-communicating through holes extending through the thickness of the plate, the through holes adapted to transport ions through the plate during electroplating;
A channeled ion resistant plate comprising: a plurality of ridges positioned on one side of the plate.
[Form 27]
A channeled ion resistant plate for use in an electroplating apparatus for plating material on a semiconductor wafer of standard diameter, comprising:
A plate having a thickness of about 2 to 25 mm, which is approximately coextensive with the plated surface of the semiconductor wafer;
At least about 1000 non-communicating through holes extending through the thickness of the plate, the through holes adapted to transport ions through the plate during electroplating;
A channeled ion-resistant plate comprising: a step of a plate comprising a bulge of the plate in the central region of the plate and a non-bulge of the plate positioned at the periphery of the plate.
[Form 28]
A method for electroplating a substrate, comprising
(A) Receiving a substantially flat substrate in a substrate holder, wherein the plated surface of the substrate is exposed, and the substrate holder is for electroplating of the substrate of the substrate Receiving, configured to hold the substrate such that the plating surface is separated from the anode;
(B) immersing the substrate in an electrolyte;
Forming an air gap between the plated surface of the substrate and the plane of the ion resistant element;
The ion resistant element is at least substantially coextensive with the plated surface of the substrate,
The ion resistant element is adapted to transport ions through the ion resistant element during electroplating;
Immersing the ion-resistant element comprising a plurality of ridges on the substrate-facing side of the ion-resistant element, the ridges being substantially coextensive with the plated surface of the substrate ,
(C) (i) into the cavity from the side inlet and out of the side outlet; and (ii) from under the ion-resistant element through the ion-resistant element into the cavity Flowing the electrolyte into contact with the substrate in the substrate holder, into and out of the side outlet, the inlet and the outlet being open during electroplating Flowing the electrolyte, which is designed or configured to generate a cross flow of the electrolyte in a void;
(D) rotating the substrate holder;
(E) electroplating a material on the plated surface of the substrate while flowing the electrolyte as in (c).
[Form 29]
The method according to Form 28, wherein
The method wherein the air gap is less than about 15 mm as measured between the plated surface of the substrate and the plane of the ion resistant element.
[Form 30]
The method according to Form 28, wherein
The method wherein the air gap between the plated surface of the substrate and the top surface of the ridge is about 0.5 to 4 mm.
[Form 31]
The method according to Form 28, wherein
The side inlets are separated into two or more sections which are azimuthally separate and not in fluid communication,
The method wherein the flow of the electrolyte to the azimuthally distinct section of the inlet is independently controlled.
[Form 32]
The method according to Form 28, wherein
A flow directing element is positioned in the air gap;
The method wherein the flow directing element allows the electrolyte to flow in a substantially straight flow path from the side inlet to the side outlet.
[Form 33]
A method for electroplating a substrate, comprising
(A) Receiving a substantially flat substrate in a substrate holder, wherein the plated surface of the substrate is exposed, and the substrate holder is for electroplating of the substrate of the substrate Receiving, configured to hold the substrate such that the plating surface is separated from the anode;
(B) immersing the substrate in an electrolyte;
Forming an air gap between the plated surface of the substrate and the plane of the ion resistant element;
The ion resistant element is at least substantially coextensive with the plated surface of the substrate,
The ion resistant element is adapted to transport ions through the ion resistant element during electroplating;
The ion-resistant element comprises a step on the substrate facing side of the ion-resistant element, the step being positioned in the central region of the ion-resistant element and surrounded by the non-bulging portion of the ion-resistant element Yes, soaking and
(C) (i) from the side inlet into the air gap over the step and again into the air through the step; and (ii) the ion from below the ion resistant element Flowing the electrolyte into contact with the substrate in the substrate holder so as to pass through the resistive element into the void and out the step and out the side outlet. Flowing the electrolyte, wherein the inlet and the outlet are designed or configured to generate a cross flow of the electrolyte in the air gap during electroplating;
(D) rotating the substrate holder;
(E) electroplating a material on the plated surface of the substrate while flowing the electrolyte as in (c).

Claims (34)

電気メッキ装置であって、
(a)実質的に平坦な基材上に金属を電気メッキする間、電解質及びアノードを含むよう構成された、電気メッキ用チャンバと、
(b)電気メッキ中、前記基材のメッキ面が前記アノードと分離されるように、前記実質的に平坦な基材を保持するよう構成された、基材ホルダと、
(c)イオン抵抗性要素であって、
(i)前記イオン抵抗性要素を貫通して延在する、電気メッキ中に前記イオン抵抗性要素を通してイオンを輸送するよう適合された、複数のチャネルと、
(ii)前記基材の前記メッキ面と実質的に平行であり、前記基材の前記メッキ面と空隙で分離されている、基材対面側部と、
(iii)前記イオン抵抗性要素の前記基材対面側部上に位置決めされた複数の隆起部と、を含む、イオン抵抗性要素と、
(d)前記空隙に前記空隙を横断する前記電解質の横断流を導入するための、前記空隙への流入口と、
(e)前記空隙内を流れる前記電解質の前記横断流を受承するための、前記空隙の流出口と、を備え、
前記流入口及び前記流出口は、電気メッキ中、前記基材の前記メッキ面上の方位的にほぼ対向する周上の位置に位置決めされ、
前記隆起部は平均して、前記電解質の前記横断流の方向に対して実質的に垂直に配向される、電気メッキ装置。
An electroplating apparatus,
(A) an electroplating chamber configured to include an electrolyte and an anode during electroplating of a metal on a substantially planar substrate;
(B) a substrate holder configured to hold the substantially flat substrate such that the plated surface of the substrate is separated from the anode during electroplating;
(C) an ion resistant element,
(I) a plurality of channels extending through the ionically resistive element adapted to transport ions through the ionically resistive element during electroplating;
(Ii) a substrate facing side substantially parallel to the plated surface of the substrate and separated from the plated surface of the substrate by a gap;
(Iii) an ion resistant element comprising a plurality of ridges positioned on the substrate facing side of the ion resistant element;
(D) an inlet to the void for introducing a cross flow of the electrolyte across the void into the void;
(E) an outlet of the gap for receiving the cross flow of the electrolyte flowing in the gap;
The inlet and the outlet are positioned at substantially azimuthally opposite circumferential positions on the plating surface of the substrate during electroplating,
The electroplating apparatus, wherein the ridges are, on average, oriented substantially perpendicular to the direction of the cross flow of the electrolyte.
請求項1に記載の電気メッキ装置であって、
前記イオン抵抗性要素の前記基材対面側部と、前記基材の前記メッキ面との間の前記空隙は、前記基材の前記メッキ面と前記イオン抵抗性要素の平面との間を測定した場合に約15mm未満である、電気メッキ装置。
The electroplating apparatus according to claim 1, wherein
The air gap between the substrate facing side of the ion resistant element and the plated surface of the substrate was measured between the plated surface of the substrate and the plane of the ion resistant element Electroplating equipment, which is less than about 15 mm.
請求項1に記載の電気メッキ装置であって、
前記基材の前記メッキ面と前記隆起部の最高部との間の空隙は約0.5〜4mmである、電気メッキ装置。
The electroplating apparatus according to claim 1, wherein
An electroplating apparatus, wherein the gap between the plated surface of the substrate and the top of the ridge is about 0.5 to 4 mm.
請求項1に記載の電気メッキ装置であって、
前記隆起部の高さは約2〜10mmである、電気メッキ装置。
The electroplating apparatus according to claim 1, wherein
The electroplating apparatus, wherein the height of the raised portion is about 2 to 10 mm.
請求項1に記載の電気メッキ装置であって、
前記隆起部のうちの少なくともいくつかは、少なくとも約3:1の長さ:幅アスペクト比を有する、電気メッキ装置。
The electroplating apparatus according to claim 1, wherein
An electroplating apparatus wherein at least some of the ridges have a length: width aspect ratio of at least about 3: 1.
請求項1に記載の電気メッキ装置であって、
前記イオン抵抗性要素上に、少なくとも2つの異なる形状及び/又はサイズの前記隆起部が存在する、電気メッキ装置。
The electroplating apparatus according to claim 1, wherein
An electroplating apparatus, wherein the ridges of at least two different shapes and / or sizes are present on the ion resistant element.
請求項1に記載の電気メッキ装置であって、
前記隆起部のうちの少なくともいくつかの上に、電気メッキ中に電解質がそれを通って流れることができる1つ又は複数の切り欠き部を含む、電気メッキ装置。
The electroplating apparatus according to claim 1, wherein
An electroplating apparatus, comprising at least some of the ridges, one or more notches through which electrolyte can flow during electroplating.
請求項1に記載の電気メッキ装置であって、
前記隆起部のうちの少なくともいくつかは、前記イオン抵抗性要素の平面に対して実質的に垂直な面を備える、電気メッキ装置。
The electroplating apparatus according to claim 1, wherein
An electroplating apparatus, wherein at least some of the ridges comprise planes substantially perpendicular to the plane of the ion resistant element.
請求項1に記載の電気メッキ装置であって、
前記隆起部のうちの少なくともいくつかは、前記イオン抵抗性要素の平面から直角でない角度だけずれた面を備える、電気メッキ装置。
The electroplating apparatus according to claim 1, wherein
An electroplating apparatus, wherein at least some of the ridges comprise planes that are offset from the plane of the ionically resistive element by a non-perpendicular angle.
請求項1に記載の電気メッキ装置であって、
前記隆起部のうちの少なくともいくつかの上に、三角形の上部を更に備える、電気メッキ装置。
The electroplating apparatus according to claim 1, wherein
An electroplating apparatus, further comprising a triangular top on at least some of the ridges.
請求項1に記載の電気メッキ装置であって、
前記隆起部は、少なくとも第1の隆起部セグメント及び第2の隆起部セグメントを含み、
前記第1及び第2の隆起部セグメントはそれぞれ、前記電解質の前記横断流の方向から、実質的に等しいが符号が逆である角度だけずれている、電気メッキ装置。
The electroplating apparatus according to claim 1, wherein
The ridges include at least a first ridge segment and a second ridge segment,
An electroplating apparatus, wherein the first and second ridge segments are each offset from the direction of the cross flow of the electrolyte by an angle substantially equal but opposite in sign.
請求項1に記載の電気メッキ装置であって、
前記イオン抵抗性要素は、電場を成形し、電気メッキ中に前記基材付近の電解質流の性質を制御するよう構成される、電気メッキ装置。
The electroplating apparatus according to claim 1, wherein
An electroplating apparatus, wherein the ion resistant element is configured to shape an electric field and control the nature of electrolyte flow near the substrate during electroplating.
請求項1に記載の電気メッキ装置であって、
前記イオン抵抗性要素の下面の下に位置決めされた下側マニフォールド領域を更に備え、
前記下面は前記基材ホルダと反対側を向いている、電気メッキ装置。
The electroplating apparatus according to claim 1, wherein
Further comprising a lower manifold region positioned below the lower surface of the ion resistant element;
The electroplating apparatus, wherein the lower surface is opposite to the substrate holder.
請求項13に記載の電気メッキ装置であって、
中央電解質チャンバと
前記中央電解質チャンバから前記流入口及び前記下側マニフォールド領域の両方に前記電解質を送達するよう構成された1つ又は複数の供給チャネルと、を更に備える、電気メッキ装置。
The electroplating apparatus according to claim 13, wherein
An electroplating apparatus further comprising: a central electrolyte chamber; and one or more supply channels configured to deliver the electrolyte from the central electrolyte chamber to both the inlet and the lower manifold region.
請求項1に記載の電気メッキ装置であって、
前記流入口と流体連通された横断流注入マニフォールドを更に備える、電気メッキ装置。
The electroplating apparatus according to claim 1, wherein
An electroplating apparatus, further comprising a cross flow injection manifold in fluid communication with the inlet.
請求項15に記載の電気メッキ装置であって、
前記横断流注入マニフォールドは、前記イオン抵抗性要素のキャビティによって少なくとも部分的に画定される、電気メッキ装置。
The electroplating apparatus according to claim 15, wherein
The electroplating apparatus, wherein the cross flow injection manifold is at least partially defined by a cavity of the ion resistant element.
請求項1に記載の電気メッキ装置であって、
前記イオン抵抗性要素の周縁部全体にわたって位置決めされた流れ制限リングを更に備える、電気メッキ装置。
The electroplating apparatus according to claim 1, wherein
An electroplating apparatus, further comprising a flow limiting ring positioned across the perimeter of the ion resistant element.
請求項1に記載の電気メッキ装置であって、
メッキ中に前記基材ホルダを回転させるための機構を更に備える、電気メッキ装置。
The electroplating apparatus according to claim 1, wherein
An electroplating apparatus, further comprising a mechanism for rotating the substrate holder during plating.
請求項1に記載の電気メッキ装置であって、
前記流入口は、前記基材の前記メッキ面の周付近に、約90〜180°の弧にわたって広がっている、電気メッキ装置。
The electroplating apparatus according to claim 1, wherein
The electroplating apparatus, wherein the inlet extends around an arc of about 90 to 180 degrees, around the periphery of the plated surface of the substrate.
請求項1に記載の電気メッキ装置であって、
前記流入口内の方位的に別個の複数の流入口セグメントと、
前記方位的に別個の複数の流入口セグメントに前記電解質を送達するよう構成された、複数の電解質供給流入口と、
電気メッキ中に複数の前記電解質供給流入口内の前記電解質の複数の体積流量を独立して制御するよう構成された、1つ又は複数の流れ制御要素と、を更に備える、電気メッキ装置。
The electroplating apparatus according to claim 1, wherein
A plurality of azimuthally distinct inlet segments within the inlet;
A plurality of electrolyte feed inlets, configured to deliver the electrolyte to the plurality of azimuthally distinct inlet segments;
An electroplating apparatus, further comprising: one or more flow control elements configured to independently control a plurality of volumetric flow rates of the electrolyte in the plurality of electrolyte supply inlets during electroplating.
請求項1に記載の電気メッキ装置であって、
前記隆起部は、前記基材の前記メッキ面と同延である、電気メッキ装置。
The electroplating apparatus according to claim 1, wherein
The electroplating apparatus, wherein the raised portion is coextensive with the plated surface of the substrate.
請求項1に記載の電気メッキ装置であって、
前記流入口及び前記流出口は、電気メッキ中に前記基材の前記メッキ面上に剪断力を生成又は維持するために、前記空隙内で前記電解質の前記横断流を生成するよう適合される、電気メッキ装置。
The electroplating apparatus according to claim 1, wherein
The inlet and the outlet are adapted to generate the cross flow of the electrolyte in the air gap to generate or maintain a shear force on the plated surface of the substrate during electroplating. Electroplating equipment.
請求項1に記載の電気メッキ装置であって、
前記隆起部は、複数の平行な列に配向され、
前記列は、非隆起空隙で分離された2つ以上の不連続な前記隆起部を含み、
隣接する前記列の前記非隆起空隙は実質的に、前記電解質の前記横断流の方向に互いに整列されていない、電気メッキ装置。
The electroplating apparatus according to claim 1, wherein
The ridges are oriented in a plurality of parallel rows,
The row comprises two or more discrete said ridges separated by non-raised voids,
An electroplating apparatus, wherein the non-raised voids of adjacent rows are substantially not aligned with one another in the direction of the cross flow of the electrolyte.
電気メッキ装置であって、
(a)実質的に平坦な基材上に金属を電気メッキする間、電解質及びアノードを含むよう構成された、電気メッキ用チャンバと、
(b)電気メッキ中、前記基材のメッキ面が前記アノードと分離されるように、前記実質的に平坦な基材を保持するよう構成された、基材ホルダと、
(c)イオン抵抗性要素であって、
(i)前記イオン抵抗性要素を貫通して延在する、電気メッキ中に前記イオン抵抗性要素を通してイオンを輸送するよう適合された、複数のチャネルと、
(ii)前記基材の前記メッキ面と実質的に平行であり、前記基材の前記メッキ面と空隙で分離されている、基材対面側部と、
(iii)前記イオン抵抗性要素の前記基材対面側部上に位置決めされた段差であって、前記段差は高さ及び直径を有し、前記段差の前記直径は前記基材の前記メッキ面と同延であり、前記段差の前記高さ及び前記直径はメッキ中に前記電解質が前記基材ホルダの下、前記段差の上を通って前記空隙内へと流れることができる程度に十分に小さい、段差と、を含む、イオン抵抗性要素と、
(d)前記空隙に前記電解質を導入するための、前記空隙への流入口と、
(e)前記空隙内を流れる前記電解質の流れを受承するための、前記空隙の流出口と、を備え、
前記流入口及び前記流出口は、電気メッキ中に前記基材の前記メッキ面上に剪断力を生成又は維持するために、前記空隙内で前記空隙を横断する前記電解質の横断流を生成するよう適合される、電気メッキ装置。
An electroplating apparatus,
(A) an electroplating chamber configured to include an electrolyte and an anode during electroplating of a metal on a substantially planar substrate;
(B) a substrate holder configured to hold the substantially flat substrate such that the plated surface of the substrate is separated from the anode during electroplating;
(C) an ion resistant element,
(I) a plurality of channels extending through the ionically resistive element adapted to transport ions through the ionically resistive element during electroplating;
(Ii) a substrate facing side substantially parallel to the plated surface of the substrate and separated from the plated surface of the substrate by a gap;
(Iii) a step positioned on the substrate facing side of the ion resistant element, the step having a height and a diameter, the diameter of the step being the plated surface of the substrate and The height and the diameter of the step are sufficiently small to allow the electrolyte to flow under the substrate holder, over the step and into the air gap during plating; An ion resistant element, including a step
(D) an inlet to the space for introducing the electrolyte into the space;
(E) an outlet of the gap for receiving the flow of the electrolyte flowing in the gap;
The inlet and the outlet are adapted to create a cross flow of the electrolyte across the air gap in the air gap to create or maintain a shear force on the plated surface of the substrate during electroplating. Electroplating equipment to be adapted.
標準的な直径の半導体ウエハ上に材料をメッキするための電気メッキ装置で使用するための、チャネル付きイオン抵抗性プレートであって、
前記半導体ウエハのメッキ面と同延である、約2〜25mmの厚さを有するプレートと、
(i)前記プレートの厚さを貫通して延在する、少なくとも約1000個の非連通貫通孔であって、電気メッキ中に前記プレートを通してイオンを輸送するよう適合された貫通孔、又は、(ii)前記プレートの厚さ方向に沿った互いに接続される孔構造を形成する3次元チャネルネットワークと、
前記プレートの片側上に位置決めされた複数の隆起部と、を備え、
前記複数の隆起部は平均して、前記電気メッキ装置における前記チャネル付きイオン抵抗性プレート上の電解質の横断流の方向に対して実質的に垂直に配向される、チャネル付きイオン抵抗性プレート。
A channeled ion resistant plate for use in an electroplating apparatus for plating material on a semiconductor wafer of standard diameter, comprising:
A plate having a thickness of about 2 to 25 mm, which is coextensive with the plated surface of the semiconductor wafer;
(I) at least about 1000 non-communicating through holes extending through the thickness of the plate, the through holes adapted to transport ions through the plate during electroplating, or ii) a three-dimensional channel network forming interconnected pore structures along the thickness direction of the plate;
And a plurality of ridges positioned on one side of the plate;
A channeled ion resistive plate, wherein the plurality of ridges are, on average, oriented substantially perpendicular to the direction of the cross flow of electrolyte on the channeled ion resistive plate in the electroplating apparatus.
標準的な直径の半導体ウエハ上に材料をメッキするための電気メッキ装置で使用するための、チャネル付きイオン抵抗性プレートであって、
前記半導体ウエハのメッキ面と同延である、約2〜25mmの厚さを有するプレートと、
(i)前記プレートの厚さを貫通して延在する、少なくとも約1000個の非連通貫通孔であって、電気メッキ中に前記プレートを通してイオンを輸送するよう適合された貫通孔、又は、(ii)前記プレートの厚さ方向に沿った互いに接続される孔構造を形成する3次元チャネルネットワークと、
前記プレートの中央領域のプレートの膨隆部、及び前記プレートの周縁部に位置決めされた前記プレートの非膨隆部を備える、段差と、を備える、チャネル付きイオン抵抗性プレート。
A channeled ion resistant plate for use in an electroplating apparatus for plating material on a semiconductor wafer of standard diameter, comprising:
A plate having a thickness of about 2 to 25 mm, which is coextensive with the plated surface of the semiconductor wafer;
(I) extending through the thickness of the plate, at least about 1000 non-communicating holes, adapted transmural hole to transport ions through said plate during electroplating, or, (Ii) a three-dimensional channel network forming pore structures connected to each other along the thickness direction of the plate ;
A channeled ion-resistant plate comprising: a step of a plate comprising a bulge of the plate in the central region of the plate and a non-bulge of the plate positioned at the periphery of the plate.
基材を電気メッキするための方法であって、
(a)基材ホルダ内に実質的に平坦な基材を受承することであって、前記基材のメッキ面は露出しており、前記基材ホルダは電気メッキ中、前記基材の前記メッキ面がアノードと分離されるように前記基材を保持するよう構成されている、受承することと、
(b)前記基材を電解質に浸漬することであって、
前記基材の前記メッキ面とイオン抵抗性要素の平面との間に空隙を形成し、
前記イオン抵抗性要素は前記基材の前記メッキ面と少なくともほぼ同延であり、
前記イオン抵抗性要素は電気メッキ中に前記イオン抵抗性要素を通してイオンを輸送するよう適合されており、
前記イオン抵抗性要素は前記イオン抵抗性要素の基材対面側部上に複数の隆起部を備え、前記隆起部は前記基材の前記メッキ面と同延である、浸漬することと、
(c)(i)側部流入口から前記空隙内へ入り、側部流出口から出るように;及び(ii)前記イオン抵抗性要素の下から前記イオン抵抗性要素を通過して前記空隙内へ入り、前記側部流出口から出るように、前記基材ホルダ内の前記基材と接触するように前記電解質を流すことであって、前記側部流入口及び前記側部流出口は、電気メッキ中に前記空隙に前記空隙を横断する前記電解質の横断流を生成するよう設計又は構成され、前記複数の隆起部は平均して、前記電解質の前記横断流の方向に対して実質的に垂直に配向される、前記電解質を流すことと、
(d)前記基材ホルダを回転させることと、
(e)前記(c)と同様に前記電解質を流しながら、前記基材の前記メッキ面上に材料を電気メッキすることと、を備える、方法。
A method for electroplating a substrate, comprising
(A) Receiving a substantially flat substrate in a substrate holder, wherein the plated surface of the substrate is exposed, and the substrate holder is for electroplating of the substrate of the substrate Receiving, configured to hold the substrate such that the plating surface is separated from the anode;
(B) immersing the substrate in an electrolyte;
Forming an air gap between the plated surface of the substrate and the plane of the ion resistant element;
The ion resistant element is at least substantially coextensive with the plated surface of the substrate,
The ion resistant element is adapted to transport ions through the ion resistant element during electroplating;
Immersing the ion resistant element comprising a plurality of ridges on the substrate facing side of the ion resistant element, the ridges coextensive with the plated surface of the substrate;
(C) (i) into the cavity from the side inlet and out of the side outlet; and (ii) from under the ion-resistant element through the ion-resistant element into the cavity Flowing the electrolyte into contact with the substrate in the substrate holder to enter and exit from the side outlet, the side inlet and the side outlet being electrically connected Designed or configured to create a cross flow of the electrolyte across the void in the void during plating, the plurality of ridges, on average, being substantially perpendicular to the direction of the cross flow of the electrolyte Flowing the electrolyte, which is oriented in
(D) rotating the substrate holder;
(E) electroplating a material on the plated surface of the substrate while flowing the electrolyte as in (c).
請求項27に記載の方法であって、
前記空隙は、前記基材の前記メッキ面と前記イオン抵抗性要素の平面との間を測定した場合に約15mm未満である、方法。
28. The method of claim 27, wherein
The method wherein the air gap is less than about 15 mm as measured between the plated surface of the substrate and the plane of the ion resistant element.
請求項27に記載の方法であって、
前記基材の前記メッキ面と前記隆起部の最高部表面との間の空隙は約0.5〜4mmである、方法。
28. The method of claim 27, wherein
The method wherein the air gap between the plated surface of the substrate and the top surface of the ridge is about 0.5 to 4 mm.
請求項27に記載の方法であって、
前記側部流入口は、方位的に別個であり流体連通していない2つ以上のセクションに分離され、
前記側部流入口の前記方位的に別個のセクションへの前記電解質の流れは独立して制御される、方法。
28. The method of claim 27, wherein
The side inlets are separated into two or more sections which are azimuthally separate and not in fluid communication,
The method wherein the flow of the electrolyte to the azimuthally distinct sections of the side inlets is independently controlled.
請求項27に記載の方法であって、
前記空隙内に流れ配向要素が位置決めされ、
前記流れ配向要素によって、前記側部流入口から前記側部流出口への実質的に直線の流路に前記電解質を流すことができる、方法。
28. The method of claim 27, wherein
A flow directing element is positioned in the air gap;
The method wherein the flow directing element allows the electrolyte to flow in a substantially straight flow path from the side inlet to the side outlet.
基材を電気メッキするための方法であって、
(a)基材ホルダ内に実質的に平坦な基材を受承することであって、前記基材のメッキ面は露出しており、前記基材ホルダは電気メッキ中、前記基材の前記メッキ面がアノードと分離されるように前記基材を保持するよう構成されている、受承することと、
(b)前記基材を電解質に浸漬することであって、
前記基材の前記メッキ面とイオン抵抗性要素の平面との間に空隙を形成し、
前記イオン抵抗性要素は前記基材の前記メッキ面と少なくとも同延であり、
前記イオン抵抗性要素は電気メッキ中に前記イオン抵抗性要素を通してイオンを輸送するよう適合されており、
前記イオン抵抗性要素は前記イオン抵抗性要素の基材対面側部上に段差を備え、前記段差は前記イオン抵抗性要素の中心領域に位置決めされ、前記イオン抵抗性要素の非膨隆部で囲まれている、浸漬することと、
(c)(i)側部流入口から前記段差を越えて空隙内へ入り、再び前記段差を越えて側部流出口から出るように;及び(ii)前記イオン抵抗性要素の下から前記イオン抵抗性要素を通過して前記空隙内へ入り、前記段差を越えて前記側部流出口から出るように、前記基材ホルダ内の前記基材と接触するように前記電解質を流すことであって、前記側部流入口及び前記側部流出口は、電気メッキ中に前記空隙に前記空隙を横断する前記電解質の横断流を生成するよう設計又は構成されている、前記電解質を流すことと、
(d)前記基材ホルダを回転させることと、
(e)前記(c)と同様に前記電解質を流しながら、前記基材の前記メッキ面上に材料を電気メッキすることと、を備える、方法。
A method for electroplating a substrate, comprising
(A) Receiving a substantially flat substrate in a substrate holder, wherein the plated surface of the substrate is exposed, and the substrate holder is for electroplating of the substrate of the substrate Receiving, configured to hold the substrate such that the plating surface is separated from the anode;
(B) immersing the substrate in an electrolyte;
Forming an air gap between the plated surface of the substrate and the plane of the ion resistant element;
The ion resistant element is at least coextensive with the plated surface of the substrate,
The ion resistant element is adapted to transport ions through the ion resistant element during electroplating;
The ion-resistant element comprises a step on the substrate facing side of the ion-resistant element, the step being positioned in the central region of the ion-resistant element and surrounded by the non-bulging portion of the ion-resistant element Yes, soaking and
(C) (i) from the side inlet into the air gap over the step and again into the air through the step; and (ii) the ion from below the ion resistant element Flowing the electrolyte into contact with the substrate in the substrate holder so as to pass through the resistive element into the void and out the step and out the side outlet. Flowing the electrolyte, wherein the side inlet and the side outlet are designed or configured to generate a cross flow of the electrolyte across the void in the void during electroplating;
(D) rotating the substrate holder;
(E) electroplating a material on the plated surface of the substrate while flowing the electrolyte as in (c).
標準的な直径の半導体ウエハ上に材料をメッキするための電気メッキ装置で使用するための、チャネル付きイオン抵抗性プレートであって、
前記半導体ウエハのメッキ面と同延である、約2〜25mmの厚さを有するプレートと、
(i)前記プレートの厚さを貫通して延在する、少なくとも約1000個の非連通貫通孔であって、電気メッキ中に前記プレートを通してイオンを輸送するよう適合された貫通孔、又は、(ii)前記プレートの厚さ方向に沿った互いに接続される孔構造を形成する3次元チャネルネットワークであって、電気メッキ中に前記プレートを通してイオンを輸送するよう適合された、貫通孔、又は、3次元チャネルネットワークと、
前記プレートの一方の面上に位置決めされた複数の隆起部であって、複数の平行な列に配向され、前記複数の平行な列は、非隆起空隙で分離された2つ以上の不連続な隆起部を含み、隣接する列の前記非隆起空隙は、前記電気メッキ装置における前記チャネル付きイオン抵抗性プレート上の電解質の横断流の方向に互いに整列されていない、チャネル付きイオン抵抗性プレート。
A channeled ion resistant plate for use in an electroplating apparatus for plating material on a semiconductor wafer of standard diameter, comprising:
A plate having a thickness of about 2 to 25 mm, which is coextensive with the plated surface of the semiconductor wafer;
(I) at least about 1000 non-communicating through holes extending through the thickness of the plate, the through holes adapted to transport ions through the plate during electroplating, or ii) A three-dimensional channel network forming interconnected pore structures along the thickness of the plate, adapted to transport ions through the plate during electroplating, or 3 Dimensional channel network,
A plurality of ridges positioned on one side of the plate, oriented in a plurality of parallel rows, wherein the plurality of parallel rows are separated by two or more non-raised gaps A channeled ion resistant plate comprising ridges, wherein the non-raised air gaps in adjacent rows are not aligned with one another in the direction of the cross flow of electrolyte on the channeled ion resistant plate in the electroplating apparatus .
標準的な直径の半導体ウエハ上に材料をメッキするための電気メッキ装置で使用するための、チャネル付きイオン抵抗性プレートであって、
前記半導体ウエハのメッキ面と同延である、約2〜25mmの厚さを有するプレートと、
(i)前記プレートの厚さを貫通して延在する、少なくとも約1000個の非連通貫通孔であって、電気メッキ中に前記プレートを通してイオンを輸送するよう適合された貫通孔、又は、(ii)前記プレートの厚さ方向に沿った互いに接続される孔構造を形成する3次元チャネルネットワークであって、電気メッキ中に前記プレートを通してイオンを輸送するよう適合された、貫通孔、又は、3次元チャネルネットワークと、
前記プレートの一方の面上に位置決めされた複数の隆起部であって、前記複数の隆起部は、第1の隆起部セグメントと第2の隆起部セグメントとを有し、前記第1の隆起部セグメント及び前記第2の隆起部セグメントはそれぞれ、電解質の横断流の方向から、等しいが符号が逆である角度だけずれており、前記電解質の前記横断流は、前記電気メッキ装置における前記チャネル付きイオン抵抗性プレートの上に位置する空隙を流れる、チャネル付きイオン抵抗性プレート。
A channeled ion resistant plate for use in an electroplating apparatus for plating material on a semiconductor wafer of standard diameter, comprising:
A plate having a thickness of about 2 to 25 mm, which is coextensive with the plated surface of the semiconductor wafer;
(I) at least about 1000 non-communicating through holes extending through the thickness of the plate, the through holes adapted to transport ions through the plate during electroplating, or ii) A three-dimensional channel network forming interconnected pore structures along the thickness of the plate, adapted to transport ions through the plate during electroplating, or 3 Dimensional channel network,
A plurality of protuberances positioned on one side of the plate, the plurality of protuberances comprising a first protuberance segment and a second protuberance segment, the first protuberance The segment and the second ridge segment are each offset from the direction of the cross flow of the electrolyte by an angle that is equal but opposite in sign, the cross flow of the electrolyte being the ion with channeled ions in the electroplating apparatus A channeled ion resistant plate that flows through an air gap located above the resistive plate.
JP2013257021A 2012-12-12 2013-12-12 Enhanced electrolyte hydrodynamics for efficient mass transport during electroplating Active JP6494910B2 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261736499P 2012-12-12 2012-12-12
US61/736,499 2012-12-12
US13/893,242 2013-05-13
US13/893,242 US9624592B2 (en) 2010-07-02 2013-05-13 Cross flow manifold for electroplating apparatus

Publications (3)

Publication Number Publication Date
JP2014118634A JP2014118634A (en) 2014-06-30
JP2014118634A5 JP2014118634A5 (en) 2019-02-07
JP6494910B2 true JP6494910B2 (en) 2019-04-03

Family

ID=50905368

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013257021A Active JP6494910B2 (en) 2012-12-12 2013-12-12 Enhanced electrolyte hydrodynamics for efficient mass transport during electroplating

Country Status (4)

Country Link
JP (1) JP6494910B2 (en)
KR (1) KR102214898B1 (en)
CN (2) CN103866374B (en)
TW (2) TWI606154B (en)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8858774B2 (en) 2008-11-07 2014-10-14 Novellus Systems, Inc. Electroplating apparatus for tailored uniformity profile
US10094034B2 (en) * 2015-08-28 2018-10-09 Lam Research Corporation Edge flow element for electroplating apparatus
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
US9624592B2 (en) 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
KR102146910B1 (en) * 2013-08-01 2020-08-24 대우조선해양 주식회사 Shape optimization apparatus and method for water breaker of container ship
US9567685B2 (en) * 2015-01-22 2017-02-14 Lam Research Corporation Apparatus and method for dynamic control of plated uniformity with the use of remote electric current
US9988733B2 (en) * 2015-06-09 2018-06-05 Lam Research Corporation Apparatus and method for modulating azimuthal uniformity in electroplating
JP2017216443A (en) * 2016-05-20 2017-12-07 ラム リサーチ コーポレーションLam Research Corporation System and method for achieving uniformity across redistribution layer
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
US11001934B2 (en) * 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating
US10781527B2 (en) 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
US10094035B1 (en) * 2017-10-16 2018-10-09 Lam Research Corporation Convection optimization for mixed feature electroplating
US10655240B2 (en) * 2018-05-01 2020-05-19 Lam Research Corporation Removing bubbles from plating cells
WO2020106590A1 (en) * 2018-11-19 2020-05-28 Lam Research Corporation Cross flow conduit for foaming prevention in high convection plating cells
CN117166027A (en) * 2020-11-16 2023-12-05 株式会社荏原制作所 Board and plating device
CN116856022B (en) * 2023-07-05 2024-02-20 诺博环保科技(中山)有限公司 Alloy additive for electroplating and preparation method thereof

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000087299A (en) * 1998-09-08 2000-03-28 Ebara Corp Substrate plating apparatus
US6132587A (en) * 1998-10-19 2000-10-17 Jorne; Jacob Uniform electroplating of wafers
JP3331332B2 (en) * 1999-08-25 2002-10-07 日本エレクトロプレイテイング・エンジニヤース株式会社 Cup type plating equipment
EP1229154A4 (en) * 2000-03-17 2006-12-13 Ebara Corp Method and apparatus for electroplating
US8475636B2 (en) 2008-11-07 2013-07-02 Novellus Systems, Inc. Method and apparatus for electroplating
US8308931B2 (en) * 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
US6869515B2 (en) * 2001-03-30 2005-03-22 Uri Cohen Enhanced electrochemical deposition (ECD) filling of high aspect ratio openings
JP4043234B2 (en) * 2001-06-18 2008-02-06 株式会社荏原製作所 Electrolytic processing apparatus and substrate processing apparatus
US7854828B2 (en) * 2006-08-16 2010-12-21 Novellus Systems, Inc. Method and apparatus for electroplating including remotely positioned second cathode
US7128823B2 (en) 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
JP2004068158A (en) * 2002-08-08 2004-03-04 Texas Instruments Inc Method of improving current density inside ecd reactor and controlling filling into mechanism and apparatus therefor
JP2004250785A (en) * 2003-01-31 2004-09-09 Ebara Corp Electrolytic treatment apparatus and substrate treatment apparatus
TW200633038A (en) * 2004-11-19 2006-09-16 Asm Nutool Inc Means to eliminate bubble entrapment during electrochemical processing of workpiece surface
CN101220500A (en) * 2007-08-29 2008-07-16 中国电子科技集团公司第二研究所 Wafer convex point producing hanging fixture
US8858774B2 (en) * 2008-11-07 2014-10-14 Novellus Systems, Inc. Electroplating apparatus for tailored uniformity profile
US8795480B2 (en) * 2010-07-02 2014-08-05 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
CN102719865B (en) * 2012-07-13 2016-02-24 曲悦峰 A kind of film plating die

Also Published As

Publication number Publication date
CN106947997B (en) 2019-08-27
TWI606154B (en) 2017-11-21
KR102214898B1 (en) 2021-02-10
TW201802299A (en) 2018-01-16
KR20140076524A (en) 2014-06-20
CN103866374B (en) 2017-06-06
CN106947997A (en) 2017-07-14
TWI640661B (en) 2018-11-11
CN103866374A (en) 2014-06-18
JP2014118634A (en) 2014-06-30
TW201437439A (en) 2014-10-01

Similar Documents

Publication Publication Date Title
JP6494910B2 (en) Enhanced electrolyte hydrodynamics for efficient mass transport during electroplating
US10662545B2 (en) Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US10190230B2 (en) Cross flow manifold for electroplating apparatus
KR102629901B1 (en) Edge flow element for electroplating apparatus
KR102423978B1 (en) Dynamic modulation of cross flow manifold during elecroplating
US10233556B2 (en) Dynamic modulation of cross flow manifold during electroplating
KR102142159B1 (en) Cross flow manifold for electroplating apparatus
US20180258546A1 (en) Electroplating apparatus and methods utilizing independent control of impinging electrolyte
KR102563118B1 (en) Convection Optimization for Mixed Feature Electroplating
US20230175162A1 (en) Cross flow conduit for foaming prevention in high convection plating cells
TW202302922A (en) Electrodeposition of metals using an ionically resistive ionically permeable element or a shield spatially tailored to die-level patterns on a substrate
KR20230136017A (en) Spatially and dimensionally heterogeneous channeled plates for tailored fluid dynamics during electroplating.

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20140404

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20161209

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170106

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20171226

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180319

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20180626

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20181002

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20181219

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190205

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190306

R150 Certificate of patent or registration of utility model

Ref document number: 6494910

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250