JP5183058B2 - Substrate processing with rapid temperature gradient control - Google Patents

Substrate processing with rapid temperature gradient control Download PDF

Info

Publication number
JP5183058B2
JP5183058B2 JP2006314608A JP2006314608A JP5183058B2 JP 5183058 B2 JP5183058 B2 JP 5183058B2 JP 2006314608 A JP2006314608 A JP 2006314608A JP 2006314608 A JP2006314608 A JP 2006314608A JP 5183058 B2 JP5183058 B2 JP 5183058B2
Authority
JP
Japan
Prior art keywords
substrate
ceramic pack
temperature
heater
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2006314608A
Other languages
Japanese (ja)
Other versions
JP2008028354A (en
Inventor
マティウシュキン アレクサンダー
カッツ ダン
ホーランド ジョン
パナゴポウロス セオドロス
ディー. ウィルワース マイケル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008028354A publication Critical patent/JP2008028354A/en
Application granted granted Critical
Publication of JP5183058B2 publication Critical patent/JP5183058B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Description

背景background

本発明の実施形態は、基板全体の急速温度勾配コントロールによる基板の処理に関する。   Embodiments of the invention relate to processing a substrate with rapid temperature gradient control across the substrate.

半導体およびディスプレイなどの基板の処理において、静電チャックが、基板をチャンバに保持して基板上の層を処理するために使用される。通常の静電チャックはセラミックによってカバーされる電極を備える。電極が帯電されると、静電気は電極および基板に蓄積し、その結果生じる静電力が基板をチャックに保持する。通常、基板の温度は、基板の下にヘリウムガスを維持して、基板の裏側とチャックの表面間のインタフェースの顕微鏡的ギャップ全体の熱移送レートを高めることによってコントロールされる。静電チャックは、チャックを冷却または加熱するために流体を通過させるチャネルを有するベースによって支持可能である。基板がチャックにしっかりと保持されると、プロセスガスがチャンバに導入されて、基板を処理するためにプラズマが形成される。基板はCVD、PVD、エッチング、インプラント、酸化、窒化または他のこのようなプロセスによって処理可能である。   In processing substrates such as semiconductors and displays, electrostatic chucks are used to process the layers on the substrate while holding the substrate in the chamber. A typical electrostatic chuck comprises an electrode covered by a ceramic. When the electrode is charged, static electricity accumulates on the electrode and the substrate, and the resulting electrostatic force holds the substrate to the chuck. Typically, the temperature of the substrate is controlled by maintaining a helium gas under the substrate to increase the heat transfer rate across the microscopic gap at the interface between the back side of the substrate and the chuck surface. The electrostatic chuck can be supported by a base having a channel through which fluid passes to cool or heat the chuck. When the substrate is securely held in the chuck, process gas is introduced into the chamber and a plasma is formed to process the substrate. The substrate can be processed by CVD, PVD, etching, implant, oxidation, nitridation or other such processes.

このような従来の基板製作プロセスでは、基板は処理中単一温度に維持される。通常、基板はウェーハブレードによってチャンバのスリットを通過させられて、静電チャックの本体を介して延ばされるリフトピン上に堆積される。その後、リフトピンはチャックを後退させられて、基板をチャックの表面上に堆積する。基板は、チャックのヒーターやチャンバに形成されたプラズマによって一定に維持されるプリセット温度に温度を急激に上昇させる。基板温度は、ベースのチャネルを介して、またはチャックから熱を除去するために使用されるチャックの下方を通過した冷却剤の温度および流量によってさらにコントロール可能である。   In such conventional substrate fabrication processes, the substrate is maintained at a single temperature during processing. Typically, the substrate is passed through a chamber slit by a wafer blade and deposited on lift pins that extend through the body of the electrostatic chuck. The lift pins are then retracted from the chuck to deposit the substrate on the surface of the chuck. The substrate is abruptly raised in temperature to a preset temperature that is maintained constant by plasma formed in the chuck heater or chamber. The substrate temperature can be further controlled by the temperature and flow rate of the coolant passed through the base channel or below the chuck used to remove heat from the chuck.

従来の処理チャンバは処理中一定の単一温度に基板を維持するのに適しているが、単一のプロセスサイクル時の基板の温度の急速な変化を許容しない。あるプロセスでは、プロセス中の特定の温度プロファイルを達成するために、基板温度を急速に上昇または低下させることが望ましい。例えば、エッチングプロセスの異なる段階で基板温度の急速な変化を有することによって、異なる基板温度での基板上の異なる材料のエッチングを許容することが望ましい。これらの異なるエッチング段階で、チャンバに提供されたプロセスガスはまた組成が変化したり、同一組成を有したりすることが可能である。別の例として、エッチングプロセスでは、このような温度プロファイルは、基板上にエッチングされた特徴の側壁上に側壁ポリマーを堆積し、後に同一エッチングプロセスで、エッチングプロセスの温度を上げることによって側壁ポリマーを除去するのに有用である場合があり、逆もまた同様である。同様に、堆積プロセスでは、例えば、まず核形成層を基板上に堆積してから、基板上に熱堆積層を成長させるために、第2の処理温度より高いか低い第1の処理温度を有することが望ましい場合がある。従来の基板処理チャンバおよびこれらの内部コンポーネントはしばしば、基板温度の著しく急速な上昇および低下を許容しない。   Conventional processing chambers are suitable for maintaining the substrate at a constant single temperature during processing, but do not allow rapid changes in the temperature of the substrate during a single process cycle. In some processes, it is desirable to rapidly increase or decrease the substrate temperature to achieve a specific temperature profile during the process. For example, it may be desirable to allow the etching of different materials on a substrate at different substrate temperatures by having a rapid change in substrate temperature at different stages of the etching process. At these different etching stages, the process gas provided to the chamber can also change composition or have the same composition. As another example, in an etching process, such a temperature profile can be obtained by depositing a sidewall polymer on the sidewalls of the features etched on the substrate, and later increasing the temperature of the etching process in the same etching process. It may be useful to remove, and vice versa. Similarly, in a deposition process, for example, a nucleation layer is first deposited on a substrate and then a first processing temperature that is higher or lower than a second processing temperature to grow a thermal deposition layer on the substrate. Sometimes it is desirable. Conventional substrate processing chambers and their internal components often do not allow a significantly rapid rise and fall in substrate temperature.

処理中に、不均一な同心処理バンドを生じる基板にわたる半径方向の不均一プロセス条件に基板が付される場合にさらに複雑になる。不均一な処理条件は、チャンバのガスやプラズマ種の分布から生じる恐れがあり、これはしばしばチャンバの不活性および排出ガスポートの場所に応じて変更する。大量移送機構もまた基板表面の異なる領域のガス種の到来や散逸のレートを変える可能性がある。不均一処理または、例えば、プラズマシースから基板へのエネルギーの不均一結合によって、またはチャンバ壁から反射された放射熱によって生じる、チャンバの不均一な熱負荷の結果として生じる恐れがある。基板にわたる処理バンドおよび他の変形は、基板の異なる領域、例えば、周辺および中心基板領域で製作される電子デバイスが異なる特性になると望ましくない。従って、基板の処理中に基板表面にわたる処理レートおよび他のプロセス特徴の変化を小さくすることが望ましい。   This becomes even more complex when the substrate is subjected to radial non-uniform process conditions across the substrate that result in non-uniform concentric processing bands during processing. Non-uniform processing conditions can arise from the distribution of gas and plasma species in the chamber, which often varies depending on the inertness of the chamber and the location of the exhaust gas port. Mass transfer mechanisms can also change the rate of arrival and dissipation of gas species in different regions of the substrate surface. It can occur as a result of non-uniform processing or non-uniform thermal loading of the chamber, for example caused by non-uniform coupling of energy from the plasma sheath to the substrate or by radiant heat reflected from the chamber walls. Processing bands and other variations across the substrate are undesirable when electronic devices fabricated in different areas of the substrate, eg, the peripheral and central substrate areas, have different characteristics. Accordingly, it is desirable to reduce changes in processing rate and other process characteristics across the substrate surface during substrate processing.

従って、チャンバで処理される基板の急速な温度上昇および低下を許容するプロセスチャンバおよびコンポーネントを有することが望ましい。基板の処理表面の異なる領域の温度をコントロールして、基板表面にわたって半径方向の不均一な処理条件の効果を小さくすることがさらに望ましい。処理中に基板全体の温度プロファイルをコントロールすることもまた望ましい。   Accordingly, it is desirable to have a process chamber and components that allow rapid temperature rise and fall of substrates processed in the chamber. It is further desirable to control the temperature of different regions of the processing surface of the substrate to reduce the effects of non-uniform processing conditions in the radial direction across the substrate surface. It is also desirable to control the temperature profile of the entire substrate during processing.

本発明のこれらの特徴、態様および利点は、以下の説明、添付の請求項、および本発明の例を図示する添付の図面に関してより理解されるようになる。しかしながら、特徴の各々は、特定の図面と関連してのみではなく一般に本発明で使用可能であることが理解されるべきであり、本発明は、これらの特徴の組み合わせを含んでいる。   These features, aspects and advantages of the present invention will become better understood with regard to the following description, appended claims, and accompanying drawings which illustrate examples of the present invention. However, it should be understood that each of the features can generally be used with the present invention, not just in connection with a particular drawing, and the present invention includes combinations of these features.

説明Description

基板104をエッチング可能なチャンバ106の例示的変形例が図1に概略的に図示されている。チャンバ106は、カリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能な誘導結合プラズマエッチングチャンバであるDecoupled Plasma Source(DPS(商標))チャンバを表している。DPSチャンバ106は、カリフォルニア州サンタクララのアプライドマテリアルズ社から市販されているCENTURA(登録商標)集積処理システムで使用されてもよい。しかしながら、他のプロセスチャンバもまた、例えば、異なる設計の容量結合パラレルプレートチャンバ、磁気強化イオンエッチングチャンバ、誘導結合プラズマエッチングチャンバならびに堆積チャンバを含む本発明と関連して使用されてもよい。本装置およびプロセスはDPSチャンバで好都合に使用されるが、チャンバは本発明を図示するためにのみ提供されており、本発明の範囲を制限するように解釈されるべきではない。   An exemplary variation of the chamber 106 capable of etching the substrate 104 is schematically illustrated in FIG. Chamber 106 represents a Decoupled Plasma Source (DPS ™) chamber, which is an inductively coupled plasma etch chamber available from Applied Materials, Inc., Santa Clara, California. The DPS chamber 106 may be used in a CENTURA® integrated processing system commercially available from Applied Materials, Inc., Santa Clara, California. However, other process chambers may also be used in connection with the present invention including, for example, different designs of capacitively coupled parallel plate chambers, magnetically enhanced ion etching chambers, inductively coupled plasma etching chambers, and deposition chambers. Although the apparatus and process are advantageously used in a DPS chamber, the chamber is provided only to illustrate the invention and should not be construed to limit the scope of the invention.

図1を参照すると、通常のチャンバ106は、側壁120と、底壁122と天井124とを含むエンクロージャ壁118を備えるハウジング114を備えている。天井124は、示されているようなフラット形状や、例えば、「Method of Plasma Etching a Deeply Recessed Feature in a Substrate Using a Plasma Source Gas Modulated Etchant System」と題された、Chinnらへの米国特許第7,074,723号に説明されているような多放射弓状プロファイルのドーム形状を備えていてもよく、これはその全体を参照として本明細書に組み込まれている。壁118は一般的にアルミニウムなどの金属やセラミック材料から製作される。天井124および/または側壁120はまた、放射がチャンバを通過して、チャンバ106で行われるプロセスを監視するのを許容する放射透過性ウィンドウ126を有することが可能である。プラズマが、プロセスチャンバ106と、基板支持体とドーム状天井124とによって画成されたプロセスゾーンに形成される。   Referring to FIG. 1, a typical chamber 106 includes a housing 114 that includes an enclosure wall 118 that includes a side wall 120, a bottom wall 122, and a ceiling 124. The ceiling 124 may have a flat shape as shown, for example, “Method of Plasma Etching a Depth Received Feature in a Substitute Usage a Plasma Source Gas Modulated Etch” , 074,723, which has a multi-radial arcuate profile dome shape, which is incorporated herein by reference in its entirety. Wall 118 is typically fabricated from a metal such as aluminum or a ceramic material. The ceiling 124 and / or the sidewall 120 may also have a radiation transmissive window 126 that allows radiation to pass through the chamber and monitor the process performed in the chamber 106. A plasma is formed in a process zone defined by the process chamber 106, the substrate support and the dome-shaped ceiling 124.

基板25は、ベース91上に静止する静電チャック20を備える基板支持体の受け取り表面26上のチャンバ106に保持される。静電チャック20は、パック24の上部表面である基板受け取り表面26を有するセラミックパック24を備えており、図1および2に示されているように基板25を保持するように作用する。セラミックパック24はまた、基板受け取り表面26に対向する裏側表面28を有する。セラミックパック24は、第1のステップ31および第2のステップ33を有する周辺出っ張り29を有する。セラミックパック24は、酸化アルミニウム、窒化アルミニウム、酸化シリコン、シリコンカーバイド、窒化シリコン、酸化チタン、酸化ジルコニウムおよびこれらの混合物のうちの少なくとも1つを備える。セラミックパック24は、セラミック粉末をホットプレスかつ焼結してから、焼結形態を加工してチャック24の最終的な形状を形成することによって作られたセラミックの一体型モノリスであってもよい。   The substrate 25 is held in a chamber 106 on a receiving surface 26 of a substrate support comprising an electrostatic chuck 20 resting on a base 91. The electrostatic chuck 20 includes a ceramic pack 24 having a substrate receiving surface 26 that is the upper surface of the pack 24 and serves to hold a substrate 25 as shown in FIGS. The ceramic pack 24 also has a back side surface 28 opposite the substrate receiving surface 26. The ceramic pack 24 has a peripheral ledge 29 having a first step 31 and a second step 33. The ceramic pack 24 includes at least one of aluminum oxide, aluminum nitride, silicon oxide, silicon carbide, silicon nitride, titanium oxide, zirconium oxide, and mixtures thereof. The ceramic pack 24 may be a ceramic monolith made by hot pressing and sintering ceramic powder and then processing the sintered form to form the final shape of the chuck 24.

セラミックパック24の厚さは実質的に、基板の温度を急速に上昇および低下させる能力に影響すると判断された。セラミックパック24が極めて厚い場合、パック24は温度を上昇および低下させるのに非常に時間がかかり、下地基板の温度は、所望のセットポイント温度に達するのにそれに応じてかなりの時間がかかることになる。さらに、セラミックパック24が非常に薄い場合は、基板を一定の状態温度に維持せずに、処理中の基板温度のばらつきをもたらすとも判断された。また、セラミックパック24の厚さは、セラミックパック24に埋め込まれている電極36の動作に影響する。埋め込まれている電極36のすぐ上のセラミックパック24の層の厚さが非常に大きい場合、電極36はプロセスゾーンに形成されたプラズマにエネルギーを効果的に結合させない。他方、電極36周辺のセラミックパック24の厚さが非常に薄い場合は、電極36に印加されるRF電圧はプラズマ作成アークおよびプラズマ不安定性に放電する恐れがある。従って、セラミックパック24の厚さは約7mm未満の厚さ、例えば、約4〜約7mmの厚さとなるように正確にコントロールされており、一変形例ではセラミックパックは5mmの厚さを有していた。これらの厚さレベルで、セラミックパック24は基板温度の急速な上昇および低下を許容していたのに対して、プロセス中の温度ばらつきを削減して、実質的にプラズマ不安定性を作成しなかった。   It has been determined that the thickness of the ceramic pack 24 substantially affects the ability to rapidly raise and lower the temperature of the substrate. If the ceramic pack 24 is very thick, the pack 24 will take a very long time to raise and lower the temperature and the temperature of the underlying substrate will take a considerable amount of time accordingly to reach the desired setpoint temperature. Become. Furthermore, it was determined that if the ceramic pack 24 was very thin, it would cause substrate temperature variations during processing without maintaining the substrate at a constant state temperature. Further, the thickness of the ceramic pack 24 affects the operation of the electrode 36 embedded in the ceramic pack 24. If the layer thickness of the ceramic pack 24 immediately above the embedded electrode 36 is very large, the electrode 36 will not effectively couple energy into the plasma formed in the process zone. On the other hand, if the thickness of the ceramic pack 24 around the electrode 36 is very thin, the RF voltage applied to the electrode 36 may discharge into the plasma creation arc and plasma instability. Accordingly, the thickness of the ceramic pack 24 is precisely controlled to be less than about 7 mm, for example, about 4 to about 7 mm, and in one variation, the ceramic pack has a thickness of 5 mm. It was. At these thickness levels, the ceramic pack 24 allowed rapid increases and decreases in substrate temperature, while reducing temperature variations during the process and creating virtually no plasma instability. .

セラミックパック24に埋め込まれた電極36は、静電力を生成し、基板受け取り表面26上に置かれた基板を保有し、かつ任意にはチャンバに形成されたプラズマにエネルギーを容量結合させるために使用される。電極36は金属などの導体であり、モノポーラまたはバイポーラ電極34として成形される。モノポーラ電極は単一の導体を備えており、外部電源への単一の電気接続を有しており、またチャック20に保持された基板全体に電気バイアスを印加するためにチャンバに形成された下地プラズマの帯電種との共働する。バイポーラ電極は2つ以上の導体を有しており、これらの各々は他方に対してバイアスされて、基板を保持する静電力を生成する。電極36はワイヤメッシュや、適切な切欠き領域を具備する金属プレートとして成形可能である。例えば、モノポーラ電極を備える電極36は、示されるようなセラミックパックに埋め込まれた単一の連続ワイヤメッシュであってもよい。バイポーラ電極を備える電極36の一実施形態は、C形状の真っ直ぐな脚にわたって相互に面する1対の充填C形状プレートであってもよい。電極36はアルミニウム、銅、鉄、モリブデン、チタン、タングステンまたはこれらの合金からなってもよい。電極36の一変形例はモリブデンのメッシュを備える。電極36は、外部電源230から電極36に電力を供給する端末ポスト58に接続されており、これはDC電圧電源、および任意でRF電圧電源を含むことができる。   Electrodes 36 embedded in the ceramic pack 24 generate electrostatic forces, hold the substrate placed on the substrate receiving surface 26, and optionally are used to capacitively couple energy to the plasma formed in the chamber. Is done. The electrode 36 is a conductor such as metal and is formed as a monopolar or bipolar electrode 34. The monopolar electrode has a single conductor, has a single electrical connection to an external power source, and a substrate formed in the chamber to apply an electrical bias across the substrate held by the chuck 20. It works with the charged species of plasma. A bipolar electrode has two or more conductors, each of which is biased with respect to the other to generate an electrostatic force that holds the substrate. The electrode 36 can be formed as a wire mesh or a metal plate with a suitable notch area. For example, the electrode 36 comprising a monopolar electrode may be a single continuous wire mesh embedded in a ceramic pack as shown. One embodiment of electrode 36 comprising a bipolar electrode may be a pair of filled C-shaped plates facing each other over a C-shaped straight leg. The electrode 36 may be made of aluminum, copper, iron, molybdenum, titanium, tungsten, or an alloy thereof. One variation of electrode 36 comprises a molybdenum mesh. The electrode 36 is connected to a terminal post 58 that supplies power to the electrode 36 from an external power source 230, which may include a DC voltage power source and optionally an RF voltage power source.

任意で、複数の熱移送ガス導管38a、bがセラミックパック24を横切って、チャック20の基板受け取り表面26のポート40a、bで終端して、熱移送ガスを基板受け取り表面26に提供する。例えば、ヘリウムであってもよい熱移送ガスは、基板の裏側34の下方に供給されて、下地基板25から、かつセラミックパック24の受け取り表面26に熱を伝導する。例えば、第1のガス導管38aは、基板受け取り表面26の中心加熱ゾーン42aに熱移送ガスを供給するように配置可能であり、また第2のガス導管38bは、基板受け取り表面26の周辺加熱ゾーン42bに熱移送ガスを供給するように配置可能である。セラミックパック24の基板受け取り表面26の中心および周辺加熱ゾーン42a、bによって基板表面44の対応する部分、例えば、基板25の下地中心および周辺部分46a、bは異なる温度に維持されることができ、相互に異なるプロセス条件の対応する不均一なバンドゆえに基板25に生じる不均一な同心処理バンドを補償する。   Optionally, a plurality of heat transfer gas conduits 38a, b cross the ceramic pack 24 and terminate at ports 40a, b on the substrate receiving surface 26 of the chuck 20 to provide heat transfer gas to the substrate receiving surface 26. For example, a heat transfer gas, which may be helium, is supplied below the back side 34 of the substrate to conduct heat from the base substrate 25 and to the receiving surface 26 of the ceramic pack 24. For example, the first gas conduit 38a can be arranged to supply a heat transfer gas to the central heating zone 42a of the substrate receiving surface 26, and the second gas conduit 38b can be a peripheral heating zone of the substrate receiving surface 26. It can be arranged to supply heat transfer gas to 42b. Corresponding portions of the substrate surface 44 by the center and peripheral heating zones 42a, b of the substrate receiving surface 26 of the ceramic pack 24, for example, the substrate center and peripheral portions 46a, b of the substrate 25, can be maintained at different temperatures, It compensates for non-uniform concentric processing bands that occur on the substrate 25 due to corresponding non-uniform bands of different process conditions.

セラミックパック24はまた、基板25を加熱するための埋め込みヒーターを有している。ヒーターは複数のヒーターコイル50、52、例えば、セラミックパック24に埋め込まれた第1のヒーターコイル50および第2のヒーターコイル52を備えている。セラミックパック24の基板受け取り表面26の中心および周辺加熱ゾーン42a、bの温度は、放射状に間隔をあけられており、かつ互いの中心に同心的であるヒーターコイル50、52を使用してコントロールされる。一変形例では、第1のヒーターコイル50はセラミックパック24の周辺部分54bに配置されており、第2のヒーターコイル52はセラミックパック24の中心部分54aに配置されている。第1および第2のヒーターコイル50、52によって、セラミックパック24の中心および周辺部分54a、54bの温度の独立コントロールが可能になり、加熱ゾーン42a、bの温度を独立してコントロールする能力を提供して、基板25の処理表面44の半径方向の異なる処理レートや特徴を達成する。従って、異なる温度が2つの加熱ゾーン42a、bに維持可能であり、基板25の下地中心および周辺部分46a、bの温度に影響することによって、基板25の処理中に生じる可変的なガス種分布や熱負荷に対抗することができる。例えば、基板25の処理表面44の周辺部分46bのガス種が中心部分46bほどアクティブでない場合、周辺加熱ゾーン42bの温度は、中心加熱ゾーン42aよりも高温に上昇し、基板25の処理表面44にわたってより均一な処理レートやプロセス特徴を提供する。図8は、基板温度の変化が、チャック24に埋め込まれている内側および外側加熱コイルによって供給されるヒーター電力のプリチャージに左右される様子を明示している。   The ceramic pack 24 also has an embedded heater for heating the substrate 25. The heater includes a plurality of heater coils 50 and 52, for example, a first heater coil 50 and a second heater coil 52 embedded in the ceramic pack 24. The temperatures of the center and peripheral heating zones 42a, b of the substrate receiving surface 26 of the ceramic pack 24 are controlled using heater coils 50,52 that are radially spaced and concentric with each other. The In one variation, the first heater coil 50 is disposed in the peripheral portion 54 b of the ceramic pack 24, and the second heater coil 52 is disposed in the central portion 54 a of the ceramic pack 24. The first and second heater coils 50, 52 allow independent control of the temperature of the center and peripheral portions 54a, 54b of the ceramic pack 24 and provide the ability to independently control the temperature of the heating zones 42a, b. Thus, different processing rates and features in the radial direction of the processing surface 44 of the substrate 25 are achieved. Accordingly, different temperatures can be maintained in the two heating zones 42a, b, and the variable gas species distribution that occurs during processing of the substrate 25 by affecting the temperature of the substrate center and peripheral portions 46a, b of the substrate 25. And can withstand heat loads. For example, if the gas species in the peripheral portion 46b of the processing surface 44 of the substrate 25 is not as active as the central portion 46b, the temperature of the peripheral heating zone 42b rises above the central heating zone 42a and over the processing surface 44 of the substrate 25. Provides more uniform processing rates and process characteristics. FIG. 8 demonstrates how the change in substrate temperature depends on the precharging of the heater power supplied by the inner and outer heating coils embedded in the chuck 24.

一変形例では、第1および第2のヒーターコイル50、52は各々、並んで配列された抵抗加熱素子の円形ループを備えており、実質的に同一平面にあってもよい。例えば、ヒーターコイル50、52は各々、セラミックパック24の本体の半径方向内側に徐々に螺旋を描く連続同心ループであってもよい。一変形例では、ヒーターは、第1の距離の間隔があけられた第1のループと、第1の距離よりも大きな第2の距離の間隔があけられた第2のループとを有するコイルを備えている。第2のループはパックのリフトピンホールを中心に位置決めされる。ヒーターコイル50、52はまた、例えば、セラミックパック24の内部容積にわたって同心円に位置決めされている電球フィラメントのような、コイルの中心を通過する軸を中心に螺旋を描くスパイラルコイルであってもよい。抵抗加熱素子は、例えば、タングステンやモリブデンなどの異なる電気抵抗材料からなってもよい。   In one variation, the first and second heater coils 50, 52 each comprise a circular loop of resistive heating elements arranged side by side and may be substantially coplanar. For example, each of the heater coils 50 and 52 may be a continuous concentric loop that gradually spirals radially inward of the main body of the ceramic pack 24. In one variation, the heater comprises a coil having a first loop spaced a first distance and a second loop spaced a second distance greater than the first distance. I have. The second loop is positioned around the lift pinhole of the pack. The heater coils 50, 52 may also be spiral coils that spiral around an axis passing through the center of the coil, such as a bulb filament positioned concentrically over the internal volume of the ceramic pack 24, for example. The resistance heating element may be made of different electrical resistance materials such as tungsten and molybdenum.

ヒーターコイル50、52は、基板25の温度を上昇および低下させるレートを高めるように選択される電気抵抗および動作電力レベルを有する。一変形例では、ヒーターコイル50、52は、各々、約80℃〜約250℃の温度にセラミックパック24の基板受け取り表面26を急速に上昇させて、これに維持するのにかなり高い電気抵抗を備えている。この変形例では、コイルの電気抵抗は、約4〜約12オームである。一例では、第1のヒーターコイル50は6.5オームの電気抵抗を有しており、第2のヒーターコイル52は8.5オームの電気抵抗を有している。別の変形例では、第1および第2のヒーターコイルは、10オーム未満の合計抵抗を備えている。一変形例では、ヒーターは、8.5オームの抵抗を備えている。ヒーターコイル50、52は、セラミックパック24を介して延びる独立端末ポスト58a〜dを介して電源投入される。   The heater coils 50, 52 have electrical resistances and operating power levels that are selected to increase the rate at which the temperature of the substrate 25 is raised and lowered. In one variation, the heater coils 50, 52 each have a fairly high electrical resistance to rapidly raise and maintain the substrate receiving surface 26 of the ceramic pack 24 to a temperature of about 80 ° C to about 250 ° C. I have. In this variation, the electrical resistance of the coil is about 4 to about 12 ohms. In one example, the first heater coil 50 has an electrical resistance of 6.5 ohms, and the second heater coil 52 has an electrical resistance of 8.5 ohms. In another variation, the first and second heater coils have a total resistance of less than 10 ohms. In one variation, the heater has a resistance of 8.5 ohms. The heater coils 50, 52 are powered on via independent terminal posts 58 a-d that extend through the ceramic pack 24.

ヒーターコイル50、52と関連して、熱移送ガスの圧力もまた、基板25にわたって基板処理レートをより均一にするために、2つの加熱ゾーン42a、bでコントロール可能である。例えば、2つのゾーン42a、bは各々、異なる平衡圧力に熱移送ガスを保持して、基板25の裏側34から異なる熱移送レートを提供するように設定可能である。これは、2つの導管38a、38bを介して2つの異なる圧力の熱移送ガスを供給して、基板受け取り表面26の2つの異なる場所に出ることによって遂行される。   In connection with the heater coils 50, 52, the pressure of the heat transfer gas can also be controlled in the two heating zones 42 a, b to make the substrate processing rate more uniform across the substrate 25. For example, the two zones 42a, b can each be set to hold the heat transfer gas at different equilibrium pressures to provide different heat transfer rates from the back side 34 of the substrate 25. This is accomplished by supplying two different pressure heat transfer gases via two conduits 38a, 38b and exiting at two different locations on the substrate receiving surface 26.

セラミックパック24の裏側表面28は、図3に示されるような複数の間隔のあいたメサ30を有することができる。一変形例では、メサ30は、複数のギャップ32によって相互に分離されている円筒形マウンドである。使用に際して、ギャップ32は空気などのガスで充填されて、裏側表面28からベースの下地表面への熱移送レートを調節する。一実施形態では、メサ30は円筒形マウンドを備えており、これは、表面28から上に延びるポストとして成形可能であり、ポストは矩形または円形断面形状を有している。メサ30の高さは約10〜約50ミクロンであってもよく、メサ30の直径は約500〜約5000ミクロンであってもよい。しかしながら、メサ30はまた他の形状およびサイズ、例えば、円錐または矩形ブロックや、サイズが変るバンプをも有することができる。一変形例では、メサ30は、例えば、数十ミクロンの適切に小さなビーズサイズで裏側表面28をビーズブラストすることによって形成されて、裏側表面28の材料を腐食によってエッチングして、介在するギャップ32によって成形メサ30を形成する。   The backside surface 28 of the ceramic pack 24 may have a plurality of spaced mesa 30 as shown in FIG. In one variation, the mesas 30 are cylindrical mounds that are separated from each other by a plurality of gaps 32. In use, the gap 32 is filled with a gas, such as air, to adjust the heat transfer rate from the backside surface 28 to the base substrate surface. In one embodiment, the mesa 30 includes a cylindrical mound, which can be molded as a post extending up from the surface 28, the post having a rectangular or circular cross-sectional shape. The height of the mesa 30 may be about 10 to about 50 microns, and the diameter of the mesa 30 may be about 500 to about 5000 microns. However, the mesa 30 can also have other shapes and sizes, such as conical or rectangular blocks, and bumps that vary in size. In one variation, the mesa 30 is formed, for example, by bead blasting the backside surface 28 with a suitably small bead size of tens of microns to etch the material of the backside surface 28 by corrosion and intervening gaps 32. Thus, the molded mesa 30 is formed.

静電チャック20はまた、セラミックパック24のホール62a、bを通過して、基板25の下地中心および周辺部分46a、bの温度に接触して、これを正確に測定する光学温度センサー60a、bを含んでいる。第1のセンサー60aは、基板25の中心部分46aの温度を読み取るためにセラミックパック24の中心加熱ゾーン42aに位置決めされ、第2のセンサー60bは、これに応じて基板25の周辺部分46bの温度を読み取るためにセラミックパック24の周辺加熱ゾーン42bに位置決めされる。センサーの先端64a、bがチャック20に保持された基板25の裏側34に接触できるように、光学温度センサー60a、bはチャック20に位置決めされて、センサーの先端64a、bはセラミックパック24の基板受け取り表面26と同一平面にある。センサー60a、bの脚66a、bはセラミックパック24の本体を介して垂直に延びる。   The electrostatic chuck 20 also passes through the holes 62a, b of the ceramic pack 24 and contacts the temperature of the base center and peripheral portions 46a, b of the substrate 25 to accurately measure the temperature sensor 60a, b. Is included. The first sensor 60a is positioned in the central heating zone 42a of the ceramic pack 24 to read the temperature of the central portion 46a of the substrate 25, and the second sensor 60b is correspondingly adjusted to the temperature of the peripheral portion 46b of the substrate 25. Is positioned in the peripheral heating zone 42b of the ceramic pack 24. The optical temperature sensors 60a, 60b are positioned on the chuck 20 so that the sensor tips 64a, b can contact the back side 34 of the substrate 25 held by the chuck 20, and the sensor tips 64a, b are the substrates of the ceramic pack 24. It is flush with the receiving surface 26. The legs 66a, b of the sensors 60a, b extend vertically through the body of the ceramic pack 24.

一変形例では、図5に示されるように、各光学温度センサー60は、サイド72と、先端64として作用するドーム形状上部74とを具備するクローズオフシリンダーとして成形された銅キャップ70を備える熱センサープローブ68を備えている。銅キャップ70は酸素フリー銅材料からなってもよい。リンプラグ76が、銅キャップ70の上部74内部に、これと直接接触して埋め込まれる。銅キャップ70に埋め込まれたリンプラグ76は熱検知プローブ68に対してより迅速かつより敏感な熱応答を提供する。銅キャップ70の先端64はドーム形状上部74であり、基板を腐食したりダメージを与えたりせずに異なる基板25との反復接触を許容する。銅キャップ70は、センサープローブ68にキャップ70を添えるためのエポキシ79を受け取るための凹状溝78を有している。   In one variation, as shown in FIG. 5, each optical temperature sensor 60 includes a copper cap 70 that is shaped as a close-off cylinder with a side 72 and a dome-shaped upper portion 74 that acts as a tip 64. A sensor probe 68 is provided. The copper cap 70 may be made of an oxygen free copper material. A phosphorus plug 76 is embedded in the upper portion 74 of the copper cap 70 in direct contact therewith. A phosphorus plug 76 embedded in the copper cap 70 provides a quicker and more sensitive thermal response to the thermal sensing probe 68. The tip 64 of the copper cap 70 is a dome-shaped upper portion 74 that allows repeated contact with different substrates 25 without corroding or damaging the substrate. The copper cap 70 has a concave groove 78 for receiving an epoxy 79 for attaching the cap 70 to the sensor probe 68.

リンプラグ76は赤外線放射形態の熱を、光学繊維束80を通過させられる光子に変換する。光学繊維束80はホウケイ酸塩ガラス繊維からなってもよい。光学繊維束80はスリーブ82によって包まれており、これはまた、セラミックパックを支持するベースの熱から温度センサーを隔離するように作用する温度隔離ジャケット84によって部分的に囲まれている。スリーブ82は周囲の構造からのより良好な熱隔離を提供するためのガラスチューブであってもよいが、これもまた銅などの金属から作られてもよい。温度隔離ジャケット84はPEEK、ポリエーテルエーテルケトンからなってもよく、またDupont de Nemours Co.DelawareのTeflon(登録商標)(ポリテトラフルオロエチレン)であってもよい。   The phosphorus plug 76 converts heat in the form of infrared radiation into photons that are allowed to pass through the optical fiber bundle 80. The optical fiber bundle 80 may be made of borosilicate glass fiber. The optical fiber bundle 80 is encased by a sleeve 82, which is also partially surrounded by a temperature isolation jacket 84 that acts to isolate the temperature sensor from the heat of the base supporting the ceramic pack. The sleeve 82 may be a glass tube to provide better thermal isolation from the surrounding structure, but it may also be made from a metal such as copper. The temperature isolation jacket 84 may be made of PEEK, polyetheretherketone, and Dupont de Nemours Co. Delaware's Teflon® (polytetrafluoroethylene) may also be used.

静電チャック20を備える基板支持体90は、チャック20を支持および固定し、ならびにチャックを冷却するために使用される冷却ベース91に固定される(図1および図4)。ベース91は、チャック受け取り部分96および周辺部分98を有する上部表面94を具備する金属本体92を備えている。上部表面94のチャック受け取り部分96は、静電チャック20のセラミックパック24の裏側表面28を受け取るように適合されている。ベース91の周辺部分98はセラミックパック24を超えて、半径方向外側に延びる。ベース91の周辺部分98は、ベースの周辺部分の上部表面に固定可能なクランプリング100を受け取るように適合可能である。ベース91の金属本体92は、例えば、端末58a〜dや供給ガスをセラミックパック24のガス導管38a、bに保持するために、ベースの底部表面104からベース91の上部表面94に走る多数の通路102を有している。   A substrate support 90 comprising an electrostatic chuck 20 is fixed to a cooling base 91 that supports and secures the chuck 20 and cools the chuck (FIGS. 1 and 4). Base 91 includes a metal body 92 having an upper surface 94 having a chuck receiving portion 96 and a peripheral portion 98. The chuck receiving portion 96 of the top surface 94 is adapted to receive the backside surface 28 of the ceramic pack 24 of the electrostatic chuck 20. The peripheral portion 98 of the base 91 extends radially outward beyond the ceramic pack 24. The peripheral portion 98 of the base 91 is adaptable to receive a clamp ring 100 that can be secured to the top surface of the peripheral portion of the base. The metal body 92 of the base 91 has a number of passages that run from the bottom surface 104 of the base to the top surface 94 of the base 91, for example, to retain the terminals 58a-d and the feed gas in the gas conduits 38a, b of the ceramic pack 24. 102.

ベース104は、冷却剤をチャネルに循環させるための、入口95および末端97を備える冷却チャネル110を有している。冷却チャネル110の入口95および末端97は、冷却チャネル110が図4Bに示されるように自身にループバックする場合に、相互に隣接して位置決め可能である。冷却剤は水などの流体や他の適切な熱移送流体であってもよく、これは冷却器でプリセット温度に維持されて、ベース91のチャネルを介してポンピングされる。巡回する冷却流体を具備するベース91は、チャック20の温度をコントロールして基板25の処理表面44にわたって所望の温度を達成するための熱交換器として作用する。チャネル110を通過した流体は、チャック28の温度、およびチャック28に保持された基板25の温度を上昇または低下させるために加熱または冷却可能である。一変形例では、チャネル110は、約0〜120℃の温度にベース91を維持するように流体を流すように成形およびサイズ設定されている。   Base 104 has a cooling channel 110 with an inlet 95 and a distal end 97 for circulating coolant through the channel. The inlet 95 and end 97 of the cooling channel 110 can be positioned adjacent to each other when the cooling channel 110 loops back on itself as shown in FIG. 4B. The coolant may be a fluid such as water or other suitable heat transfer fluid, which is maintained at a preset temperature with a cooler and pumped through a channel in the base 91. A base 91 with circulating cooling fluid acts as a heat exchanger to control the temperature of the chuck 20 to achieve the desired temperature across the processing surface 44 of the substrate 25. The fluid that has passed through the channel 110 can be heated or cooled to increase or decrease the temperature of the chuck 28 and the temperature of the substrate 25 held on the chuck 28. In one variation, the channel 110 is shaped and sized to flow fluid to maintain the base 91 at a temperature of about 0-120 ° C.

ベース91の上部表面94のチャック受け取り部分96は、セラミックパック20の裏側全体に空気を保有し、かつ流すための1つ以上の溝106a、bを備えている。一実施形態では、チャック受け取り部分96は、セラミックパック24の裏側表面28上の複数のメサ30と共働し、かつセラミックパック24の周辺部分54bからの熱移送レートをコントロールする周辺溝106aを備えている。別の実施形態では、ベースのチャック受け取り表面は、パックの裏側のメサの周りに空気を含有するための周辺溝を備えている。さらに別の実施形態では、中心溝106bが、セラミックパック24の中心部分からの熱移送を調節するために周辺溝106aと関連して使用される。   The chuck receiving portion 96 of the upper surface 94 of the base 91 includes one or more grooves 106a, b for holding and flowing air across the back side of the ceramic pack 20. In one embodiment, the chuck receiving portion 96 includes a peripheral groove 106a that cooperates with the plurality of mesas 30 on the backside surface 28 of the ceramic pack 24 and controls the heat transfer rate from the peripheral portion 54b of the ceramic pack 24. ing. In another embodiment, the chuck receiving surface of the base includes a peripheral groove for containing air around a mesa on the back side of the pack. In yet another embodiment, the central groove 106b is used in conjunction with the peripheral groove 106a to regulate heat transfer from the central portion of the ceramic pack 24.

ベース91の上部表面94の溝106a、bはセラミックパック24の裏側表面28上のメサ30と共働して、基板処理表面44全体の温度をさらに調節する。セラミックパック24の裏側表面28上のメサ30は均一または不均一パターンで裏側表面28にわたって分布可能である。メサ30の形状、サイズおよび間隔は、ベース91の上部表面94とのメサ30の接触表面の全量をコントロールすることによって、インタフェースの全熱伝導面積をコントロールすることができる。均一に間隔のあけられたパターンでは、ギャップ32によって表されるようなメサ30間の距離は実質的に同じままであり、不均一な間隔では、ギャップ距離は表面28にわたって変化する。   The grooves 106a, b in the upper surface 94 of the base 91 cooperate with the mesas 30 on the backside surface 28 of the ceramic pack 24 to further adjust the temperature of the entire substrate processing surface 44. The mesas 30 on the back side surface 28 of the ceramic pack 24 can be distributed over the back side surface 28 in a uniform or non-uniform pattern. The shape, size, and spacing of the mesas 30 can control the total heat transfer area of the interface by controlling the total amount of contact surface of the mesa 30 with the top surface 94 of the base 91. In a uniformly spaced pattern, the distance between mesas 30 as represented by the gap 32 remains substantially the same, and in a non-uniform spacing, the gap distance varies across the surface 28.

任意に、セラミックパック24の裏側表面28は、図3に示されるように、ベースの冷却チャネル111の入口に隣接するメサ30の第1のアレイ39と、チャネル111の入口95から離れているか、冷却チャネル111の末端97に隣接するメサ30の第2のアレイ41とを有することができる。メサ230の第2のアレイは第1のアレイとは異なるパターンを形成する異なるギャップ距離を有しており、冷却チャネル111に隣接し、かつこれから離れた領域周辺の熱移送レートを調節する。例えば、新鮮な冷却剤を受け取るチャネル入口95付近の冷却チャネル111のセグメントの上にあるセラミックパック24の一部分はしばしば、チャネル末端付近の冷却チャネル111のセグメントの上にあるセラミックパック24の一部分より低い温度に維持される。これは、冷却剤は、セラミックパック24からの熱を捕捉することによってベースのチャネルの長さを移動すると温まるからである。結果として、セラミックパック24の受け取り表面26上に置かれた基板25の温度プロファイルは、入口95の上にある領域の温度より冷却チャネル末端97の下にある領域の温度のほうがが高くなっている。この温度プロファイルは、第1のギャップ距離で間隔をあけられたチャネル入口周辺にメサ30の第1のアレイと、第1の距離とは異なる第2のギャップ距離で間隔をあけられたチャネル111の末端97周辺にメサ30の第2のアレイを提供することによって補償される。第1の距離が第2の距離よりも大きい場合、第1のアレイのすぐ上にある基板25の部分からの熱移送レートは、第2のアレイ41のすぐ上にある基板25の部分からの熱移送レートよりも低い。結果的に、第2の基板領域からの熱移送レートよりも遅いレートで第1の基板領域から熱が移送されて、第1の領域を第2の領域よりも温かくして、冷却チャネルの入口95および末端97の基板表面44にわたって生じたであろう温度プロファイルを補償し、かつこれを等しくする。一例では、メサ39の第1のアレイは少なくとも約5mmの第1の距離の間隔をあけられているのに対して、メサ41の第2のアレイは、約3mm未満の第2の距離の間隔があけられている。   Optionally, the back surface 28 of the ceramic pack 24 may be spaced from the first array 39 of mesas 30 adjacent to the inlet of the base cooling channel 111 and the inlet 95 of the channel 111, as shown in FIG. And a second array 41 of mesas 30 adjacent to the end 97 of the cooling channel 111. The second array of mesas 230 has a different gap distance that forms a different pattern than the first array and adjusts the heat transfer rate around the area adjacent to and away from the cooling channel 111. For example, the portion of the ceramic pack 24 above the segment of the cooling channel 111 near the channel inlet 95 that receives fresh coolant is often lower than the portion of the ceramic pack 24 above the segment of the cooling channel 111 near the channel end. Maintained at temperature. This is because the coolant warms as it moves the length of the base channel by capturing heat from the ceramic pack 24. As a result, the temperature profile of the substrate 25 placed on the receiving surface 26 of the ceramic pack 24 is higher in the region below the cooling channel end 97 than in the region above the inlet 95. . This temperature profile includes a first array of mesas 30 around a channel inlet spaced by a first gap distance and a channel 111 spaced by a second gap distance different from the first distance. Compensated by providing a second array of mesas 30 around end 97. If the first distance is greater than the second distance, the heat transfer rate from the portion of the substrate 25 immediately above the first array is from the portion of the substrate 25 immediately above the second array 41. Lower than heat transfer rate. As a result, heat is transferred from the first substrate region at a slower rate than the heat transfer rate from the second substrate region, making the first region warmer than the second region and the inlet of the cooling channel. Compensate and equalize the temperature profile that would have occurred across the substrate surface 44 at 95 and end 97. In one example, the first array of mesas 39 is spaced at a first distance of at least about 5 mm, whereas the second array of mesas 41 is spaced at a second distance of less than about 3 mm. Has been opened.

同一の温度プロファイルコントロールが、メサ41の第2のアレイの接触領域の寸法に対してメサ39の第1のアレイの接触領域の寸法を変更することによって得られる。例えば、メサ39の第1のアレイの接触領域の第1の寸法は約2000ミクロン未満であってもよいのに対して、メサ41の第2のアレイの接触領域は少なくとも約3000ミクロンであってもよい。第1および第2の寸法はポスト形状を備えるメサ30の直径であってもよい。一変形例では、第1の寸法は1000ミクロンの直径であり、第2の寸法は4000ミクロンの直径である。接触面積が小さいほど、基板処理表面44全体の温度は高くなる。また、メサ30間と、裏側表面28全体に空気が提供されて、さらなる温度調節器として作用する。   The same temperature profile control is obtained by changing the size of the contact area of the first array of mesas 39 relative to the size of the contact area of the second array of mesas 41. For example, the first dimension of the contact area of the first array of mesas 39 may be less than about 2000 microns, while the contact area of the second array of mesas 41 is at least about 3000 microns and Also good. The first and second dimensions may be the diameter of the mesa 30 with a post shape. In one variation, the first dimension is 1000 microns in diameter and the second dimension is 4000 microns in diameter. The smaller the contact area, the higher the temperature of the entire substrate processing surface 44. Also, air is provided between the mesas 30 and the entire backside surface 28 to act as a further temperature regulator.

基板の温度を急速に上昇および低下させる能力に影響を与える別の要因は、セラミックパック24とベース91間の熱インタフェースの性質である。良好な熱伝導性を有するインタフェースが、ベース91を移動する冷却剤によってセラミックパック24から容易に熱を除去するために、このインタフェースでは望ましい。加えて、セラミックパック24と冷却ベース91間の大きな温度差は、セラミックパック24のひび割れや他の熱ストレス誘導ダメージを引き起こす恐れのある熱膨張ストレスをもたらすため、インタフェースは柔らかいことが望ましい。一変形例では、柔らかい層が、セラミックパック24の裏側表面をベース91のフロント表面に接着させるのに使用される。柔らかい層は良好な熱伝導性を提供するように製作されるが、高い熱ストレスを吸収するには依然としてかなり柔らかい。一変形例では、柔らかい層はアルミニウム繊維を埋め込んだシリコンを備えている。シリコン材料は良好な柔らかさを提供するのに対して、依然として合理的な熱伝導性を有している。シリコン材料の熱伝導性は、埋め込まれているアルミニウム繊維によって高められる。別の変形例では、柔らかい層は、埋め込みワイヤメッシュを有するアクリルを備えている。また、アクリルポリマーは柔らかさに熱ストレスを提供するように選択されるのに対して、埋め込みワイヤメッシュは構造の熱伝導性を高める。   Another factor that affects the ability to rapidly raise and lower the temperature of the substrate is the nature of the thermal interface between the ceramic pack 24 and the base 91. An interface with good thermal conductivity is desirable at this interface because it easily removes heat from the ceramic pack 24 by the coolant moving through the base 91. In addition, it is desirable that the interface be soft because a large temperature difference between the ceramic pack 24 and the cooling base 91 results in thermal expansion stress that can cause cracks in the ceramic pack 24 and other thermal stress induced damage. In one variation, a soft layer is used to adhere the back side surface of the ceramic pack 24 to the front surface of the base 91. Although the soft layer is made to provide good thermal conductivity, it is still quite soft to absorb high heat stress. In one variation, the soft layer comprises silicon embedded with aluminum fibers. While silicon materials provide good softness, they still have reasonable thermal conductivity. The thermal conductivity of the silicon material is enhanced by the embedded aluminum fibers. In another variation, the soft layer comprises acrylic with an embedded wire mesh. Also, the acrylic polymer is selected to provide heat stress to the softness, whereas the embedded wire mesh increases the thermal conductivity of the structure.

ベース91はさらに、静電チャック20の電極36に電力を伝導するための電気端末アセンブリ120を備えている。電気端末アセンブリ120はセラミック絶縁ジャケット124を備えている。セラミック絶縁ジャケット124は例えば、酸化アルミニウムであってもよい。複数の端末ポスト58がセラミック絶縁ジャケット124内に埋め込まれている。端末ポスト58、58a〜dは電力を静電チャック20の電極36およびヒーターコイル50、52に供給する。例えば、端末ポスト58は銅ポストを含んでもよい。   Base 91 further includes an electrical terminal assembly 120 for conducting electrical power to electrode 36 of electrostatic chuck 20. The electrical terminal assembly 120 includes a ceramic insulation jacket 124. The ceramic insulating jacket 124 may be aluminum oxide, for example. A plurality of end posts 58 are embedded in the ceramic insulation jacket 124. The terminal posts 58 and 58a to d supply power to the electrode 36 and the heater coils 50 and 52 of the electrostatic chuck 20. For example, the terminal post 58 may include a copper post.

リングアセンブリ170はまた、図6Aおよび6Bに示されているように、プロセス堆積物の形成を削減し、ベース91によって支持されている静電チャック20を備える基板支持体90の周辺領域を腐食から保護するために提供可能である。リングアセンブリ170は、スクリューやボルト(図示せず)などの固定手段によってベース91の上部表面94の周辺部分98に固定されるクランプリング100を備えている。クランプリング100は、横断方向かつ半径方向内側に延びるリップ172と、上部表面174と外側側面176とを有している。リップ172は、セラミックパック24と、上部表面174と外側側面176とのガス気密シールを形成するためにセラミックパック24の周辺出っ張り29の第1のステップ31上に静止する下面173を有している。一変形例では、下面173は例えば、ポリイミドを備えるポリマー層179を備えており、良好なガス気密シールを形成する。クランプリング100は、プラズマによる腐食に抵抗可能な材料、例えば、ステンレス鋼、チタンまたはアルミニウムなどの金属材料や、酸化アルミニウムなどのセラミック材料から製作される。   The ring assembly 170 also reduces the formation of process deposits and corrodes the peripheral region of the substrate support 90 with the electrostatic chuck 20 supported by the base 91, as shown in FIGS. 6A and 6B. Can be provided to protect. The ring assembly 170 includes a clamp ring 100 that is fixed to the peripheral portion 98 of the upper surface 94 of the base 91 by fixing means such as screws and bolts (not shown). The clamp ring 100 has a lip 172 extending transversely and radially inward, an upper surface 174 and an outer side 176. The lip 172 has a lower surface 173 that rests on the first step 31 of the peripheral ledge 29 of the ceramic pack 24 to form a gas tight seal between the ceramic pack 24 and the upper surface 174 and outer side 176. . In one variation, the lower surface 173 includes a polymer layer 179 comprising, for example, polyimide, forming a good gas tight seal. The clamp ring 100 is made of a material that can resist corrosion by plasma, for example, a metal material such as stainless steel, titanium, or aluminum, or a ceramic material such as aluminum oxide.

リングアセンブリはまた、クランプリング100の上部表面174上に静止するフット184を有するバンド182を備える端リング180を含む。端リングはまた、クランプリング100上のスパッタリング堆積物の堆積を削減したり全体的に排除したりするために処理環境に暴露されるクランプリング100の外側表面176を取り囲む環状外壁186を有している。端リング180はまた、セラミックパックの受け取り表面上に保有されている端リング180を覆う基板の縁と共にシールを形成するために、セラミックパック29の周辺出っ張り29の第2のステップ33をカバーするフランジ190を備えている。フランジ190は、基板25の張り出し端196の下方に終端する突出部194を備えている。フランジ190は、基板25の周辺を取り囲んで、処理中に基板25によってカバーされないセラミックパック24の領域を保護するリング190の内縁を画成する。リングアセンブリ170のクランプリング100および端リング180は共働して、プロセスチャンバでの基板25の処理中にベース91上に支持される静電チャック20上へのプロセス堆積物の形成を削減し、またこれを腐食から保護する。端リング180は基板支持体90の暴露側面を保護して、エネルギー付与されたプラズマ種による腐食を削減する。リングアセンブリ170はリング100、180の暴露表面から堆積物を洗浄するために容易に除去可能であるため、基板支持体90全体は洗浄するために分解される必要はない。端リング180は例えば、石英などのセラミックを備えている。   The ring assembly also includes an end ring 180 with a band 182 having a foot 184 resting on the upper surface 174 of the clamp ring 100. The end ring also has an annular outer wall 186 that surrounds the outer surface 176 of the clamp ring 100 that is exposed to the processing environment to reduce or entirely eliminate the deposition of sputtering deposits on the clamp ring 100. Yes. The end ring 180 is also a flange that covers the second step 33 of the peripheral ledge 29 of the ceramic pack 29 to form a seal with the edge of the substrate covering the end ring 180 held on the receiving surface of the ceramic pack. 190 is provided. The flange 190 includes a protrusion 194 that terminates below the overhanging end 196 of the substrate 25. The flange 190 defines the inner edge of the ring 190 that surrounds the periphery of the substrate 25 and protects the area of the ceramic pack 24 that is not covered by the substrate 25 during processing. The clamp ring 100 and end ring 180 of the ring assembly 170 work together to reduce the formation of process deposits on the electrostatic chuck 20 supported on the base 91 during processing of the substrate 25 in the process chamber, It also protects against corrosion. The end ring 180 protects the exposed side of the substrate support 90 and reduces corrosion due to energized plasma species. Because the ring assembly 170 can be easily removed to clean deposits from the exposed surfaces of the rings 100, 180, the entire substrate support 90 need not be disassembled for cleaning. The end ring 180 includes, for example, ceramic such as quartz.

動作に際して、プロセスガスが、設定流量のガスを通過させるための、質量流コントローラなどのガス流コントロールバルブ158を有する導管203を各々が供給するガス源を備えるプロセスガスサプライ204を含むガス送出システム150を介してチャンバ106に導入される。導管はガスを、ガスが混合されて所望のプロセスガス組成を形成する混合マニホルド(図示せず)に供給する。混合マニホルドはガス出口を有するガス分配器(図示せず)162をチャンバ106に供給する。ガス出口はチャンバ側壁120を通過して基板支持体20の周辺に終端してもよく、または天井124を通過して基板25上方に終端してもよい。使用済みプロセスガスおよび副生成物は、使用済みプロセスガスを受け取り、この使用済みガスを、チャンバ106のガス圧力をコントロールするためのスロットルバルブがある排出導管に通過させる1つ以上の排出ポート211を含む排出システム210を介してチャンバ106から排出される。排出導管172は1つ以上の排出ポンプ218を供給する。排出システム210はまた、排出される望ましくないガスを削減するための廃棄処置システム(図示せず)を含有してもよい。   In operation, a gas delivery system 150 that includes a process gas supply 204 that includes a gas source each supplying a conduit 203 having a gas flow control valve 158, such as a mass flow controller, for passing process gas through a set flow rate. Through the chamber 106. The conduit supplies gas to a mixing manifold (not shown) where the gas is mixed to form the desired process gas composition. The mixing manifold supplies a gas distributor (not shown) 162 having a gas outlet to the chamber 106. The gas outlet may pass through the chamber sidewall 120 and terminate at the periphery of the substrate support 20 or may pass through the ceiling 124 and terminate above the substrate 25. Spent process gas and by-products receive one or more exhaust ports 211 that receive the spent process gas and pass the spent gas through a discharge conduit with a throttle valve for controlling the gas pressure in the chamber 106. It is exhausted from the chamber 106 via the exhaust system 210 that contains it. The discharge conduit 172 supplies one or more discharge pumps 218. The exhaust system 210 may also contain a disposal treatment system (not shown) for reducing undesirable gases that are exhausted.

プロセスガスはエネルギー付与されて、チャンバ106のプロセスゾーン、またはチャンバ106の上流の遠隔ゾーン(図示せず)のプロセスガスにエネルギーを結合させるガスエナジャイザー208によって基板25を処理する。「エネルギー付与されたプロセスガス」によって、解離ガス種、非解離ガス種、イオンガス種および天然ガス種のうちの1つ以上を形成するためにプロセスガスが活性化またはエネルギー付与されることになる。一変形例では、ガスエナジャイザー208は、チャンバ106を中心とする円形対称性を有することがある1つ以上のインダクタコイル188を備えるアンテナ186を備えている。一般的に、アンテナ186は約1〜約20ターンを有するソレノイドを備えており、中心軸は、プロセスチャンバ106を介して延びる長手方向垂直軸に一致している。ソレノイドの適切な配列は、プロセスガスへの強力な誘導フラックスリンクおよび結合を提供するように選択される。アンテナ186がチャンバ106の天井124付近に位置決めされると、天井124の隣接部分は、RFまたは電磁場に対して透明な、二酸化シリコンなどの誘電材料から作られてもよい。アンテナ186はアンテナ電流サプライ(図示せず)によって電源投入されて、印加された電力はRF整合ネットワーク192によってチューニングされる。アンテナ電流サプライは例えば、RF電力を、一般的に約50kHz〜約60MHz、より一般的には約13.56MHzの周波数で、かつ約100〜約5000ワットの電力レベルでアンテナ186に提供する。   The process gas is energized to process the substrate 25 by a gas energizer 208 that couples energy to the process gas in the process zone of the chamber 106 or a remote zone (not shown) upstream of the chamber 106. An “energized process gas” will activate or energize the process gas to form one or more of dissociated gas species, non-dissociated gas species, ionic gas species, and natural gas species. . In one variation, the gas energizer 208 includes an antenna 186 that includes one or more inductor coils 188 that may have circular symmetry about the chamber 106. In general, antenna 186 includes a solenoid having from about 1 to about 20 turns, with a central axis coinciding with a longitudinal vertical axis extending through process chamber 106. The appropriate arrangement of solenoids is selected to provide a strong inductive flux link and coupling to the process gas. When the antenna 186 is positioned near the ceiling 124 of the chamber 106, the adjacent portion of the ceiling 124 may be made of a dielectric material, such as silicon dioxide, that is transparent to RF or electromagnetic fields. The antenna 186 is powered on by an antenna current supply (not shown) and the applied power is tuned by the RF matching network 192. The antenna current supply, for example, provides RF power to the antenna 186 at a frequency of typically about 50 kHz to about 60 MHz, more typically about 13.56 MHz, and at a power level of about 100 to about 5000 watts.

アンテナ186がチャンバ106で使用される場合、壁118は、酸化アルミニウムや二酸化シリコンなどの誘導電磁界透過材料から作られる天井124を含んでおり、アンテナ186からの誘導エネルギーが壁118や天井124を透過することを許容する。適切な半導体材料はドープシリコンである。ドープシリコン半導体天井について、天井124の温度は好ましくは、材料が半導体特性を提供し、かつキャリア電子濃度が温度に対してかなり一定である範囲に保持される。ドープシリコンについて、温度範囲は(これ未満でシリコンが誘電特性を有し始める)約100K〜(これ以上でシリコンが金属導体特性を有し始める)600Kであってもよい。   When the antenna 186 is used in the chamber 106, the wall 118 includes a ceiling 124 made from an inductive electromagnetic field transmissive material such as aluminum oxide or silicon dioxide, and the inductive energy from the antenna 186 passes through the wall 118 or the ceiling 124. Allow to penetrate. A suitable semiconductor material is doped silicon. For doped silicon semiconductor ceilings, the temperature of the ceiling 124 is preferably maintained in a range where the material provides semiconductor properties and the carrier electron concentration is fairly constant with temperature. For doped silicon, the temperature range may be from about 100K (below the silicon begins to have dielectric properties) to 600K (below the silicon begins to have metal conductor properties).

一変形例では、ガスエナジャイザー208はまた、プラズマ開始エネルギーをプロセスガスに提供したり、運動学的エネルギーをエネルギー付与されたガス種に与えたりするように容量結合されてもよい1対の電極(図示せず)である。一般的に、一方の電極は基板20の下方の支持体20にあり、他方の電極は壁、例えば、チャンバ106の側壁120や天井124である。例えば、電極は、依然として天井124上方のアンテナ186によって送信されるRF誘導電磁界に低インピーダンスを提供しつつ、チャンバ106に電界を形成するためにバイアスまたは接地されるのに十分導電性である半導体から作られる天井124であってもよい。適切な半導体は、例えば、室温で約500cm未満の電気抵抗を有するようにドープされたシリコンを備えている。概して、電極は、RFバイアス電圧を電極に提供して電極を相互に容量結合させるバイアス電圧サプライ(図示せず)によって相互に電気バイアスされてもよい。印加されたRF電圧はRF整合ネットワーク202によってチューニングされる。RFバイアス電圧は約50kHz〜約60MHz、つまり約13.56MHzの周波数を有してもよく、RFバイアス電流の電力レベルは一般的に約50〜約3000ワットである。   In one variation, the gas energizer 208 is also a pair of electrodes (which may be capacitively coupled to provide plasma initiation energy to the process gas or to provide kinetic energy to the energized gas species. (Not shown). In general, one electrode is on the support 20 below the substrate 20 and the other electrode is a wall, for example, the side wall 120 or the ceiling 124 of the chamber 106. For example, a semiconductor that is sufficiently conductive to be biased or grounded to form an electric field in the chamber 106 while still providing a low impedance to the RF induction field transmitted by the antenna 186 above the ceiling 124. It may be a ceiling 124 made from Suitable semiconductors comprise, for example, silicon doped to have an electrical resistance of less than about 500 cm at room temperature. In general, the electrodes may be mutually electrically biased by a bias voltage supply (not shown) that provides an RF bias voltage to the electrodes to capacitively couple the electrodes to each other. The applied RF voltage is tuned by the RF matching network 202. The RF bias voltage may have a frequency of about 50 kHz to about 60 MHz, or about 13.56 MHz, and the power level of the RF bias current is typically about 50 to about 3000 watts.

チャンバ106は、基板支持体20を昇降させる基板支持体20と、ガス流コントロールバルブ158と、ガスエナジャイザー208とスロットルバルブ174と、を含むチャンバコンポーネントを操作する命令を、ハードウェアインタフェース304を介して送るコンピュータ302を備えるコントローラ300によって操作されてもよい。チャンバ106の異なる検出器によって測定されたり、ガス流コントロールバルブ158、圧力モニター(図示せず)、スロットルバルブ174などのコントロールデバイスおよび他のこのようなデバイスによってフィードバック信号として送られたりするプロセス条件およびパラメータは、電気信号としてコントローラ300に送信される。コントローラ300は本発明の説明を簡略化するために例示的な単一コントローラデバイスの例として図示されているが、コントローラ300は、相互に接続されてもよい複数のコントローラデバイスや、チャンバ106の異なるコンポーネントに接続されてもよい複数のコントローラデバイスであってもよいことが理解されるべきであり、従って、本発明は、本明細書に説明されている事例的かつ例示的実施形態に制限されるべきではない。   The chamber 106 provides instructions for operating chamber components including the substrate support 20 for raising and lowering the substrate support 20, the gas flow control valve 158, the gas energizer 208 and the throttle valve 174 via the hardware interface 304. It may be operated by a controller 300 comprising a sending computer 302. Process conditions measured by different detectors of the chamber 106 or sent as feedback signals by control devices such as a gas flow control valve 158, pressure monitor (not shown), throttle valve 174, and other such devices, and The parameter is transmitted to the controller 300 as an electrical signal. Although the controller 300 is illustrated as an example of an exemplary single controller device to simplify the description of the present invention, the controller 300 may include multiple controller devices that may be connected to each other and different chambers 106. It should be understood that there may be multiple controller devices that may be connected to a component, and thus the present invention is limited to the example and exemplary embodiments described herein. Should not.

コントローラ300は、チャンバ106およびこの周辺コンポーネントを操作するのに適した集積回路を備える電気回路を含む電子ハードウェアを備えている。概して、コントローラ300は、データ入力を受容し、アルゴリズムを実行し(run)、有用な出力信号を発生させ、検出器および他のチャンバコンポーネントからのデータ信号を検出し、チャンバ106のプロセス条件を監視またはコントロールするように適合されている。例えば、コントローラ300は、(1)例えば、CDやフロッピードライブなどのリムーバブル記憶媒体310や、例えば、ハードドライブ、ROMおよびRAM314などの非リムーバブル記憶媒体312を含むメモリ308に結合された、例えば、INTEL社の従来のマイクロプロセッサなどの中央演算処理装置(CPU)306と、(ii)チャンバ106からのデータおよび他の情報の検索や、特定のチャンバコンポーネントの操作などの特定のタスクに対して設計およびプログラミングされた特定用途向け集積回路(ASIC)と、(iii)例えば、アナログおよびディジタルの入力および出力ボードと、通信インタフェースボードとモーターコントローラボードとを備える、特定の信号処理タスクで使用されるインタフェースボード304とを備えるコンピュータ302を備えてもよい。コントローラインタフェースボード304は例えば、プロセスモニター210からの信号を処理して、データ信号をCPU306に提供してもよい。コンピュータ302はまた、例えば、コプロセッサ、クロック回路、キャッシュ、電源、およびCPU306と連通している他の周知のコンポーネントを含む支持回路を有している。RAM314は、プロセス実施中に本発明のソフトウェア実施を記憶するために使用可能である。本発明のコードの命令セットは一般的に記憶媒体310、312に記憶されて、CPU306によって実行される場合にはRAM314の一次記憶装置に呼び出される。オペレータとコントローラ300間のユーザインタフェースは例えば、ディスプレイ316と、キーボードやライトペンなどのデータ入力デバイス318とを介して可能である。特定のスクリーンや機能を選択するために、オペレータはデータ入力デバイス318を使用して選択を入力して、その選択をディスプレイ316で閲覧することができる。   The controller 300 includes electronic hardware that includes electrical circuitry comprising integrated circuits suitable for operating the chamber 106 and its peripheral components. In general, the controller 300 receives data input, runs algorithms, generates useful output signals, detects data signals from detectors and other chamber components, and monitors process conditions in the chamber 106. Or is adapted to control. For example, the controller 300 may (1) be coupled to a memory 308 including, for example, a removable storage medium 310 such as a CD or floppy drive, and a non-removable storage medium 312 such as a hard drive, ROM and RAM 314, for example, INTEL. A central processing unit (CPU) 306, such as a conventional microprocessor of the company; and (ii) designed for specific tasks such as retrieval of data and other information from the chamber 106 and operation of specific chamber components Interface board used in specific signal processing tasks, including programmed application specific integrated circuits (ASICs), and (iii) analog and digital input and output boards, communication interface boards and motor controller boards, for example 304 and it may include a computer 302 comprising a. For example, the controller interface board 304 may process a signal from the process monitor 210 and provide a data signal to the CPU 306. The computer 302 also has support circuitry that includes, for example, a coprocessor, clock circuit, cache, power supply, and other well-known components in communication with the CPU 306. RAM 314 can be used to store the software implementation of the present invention during process implementation. The instruction set of the code of the present invention is generally stored in the storage media 310, 312 and is called to the primary storage of the RAM 314 when executed by the CPU 306. A user interface between the operator and the controller 300 is possible via, for example, a display 316 and a data input device 318 such as a keyboard and a light pen. To select a particular screen or function, the operator can enter the selection using the data input device 318 and view the selection on the display 316.

コントローラ300によって受信および評価されたデータ信号はファクトリオートメーションホストコンピュータ320に送られてもよい。ファクトリオートメーションホストコンピュータ320は、幾つかのシステム、プラットフォームまたはチャンバ106からの、かつ基板104のバッチに対するまたは延長期間のデータを評価して、(i)基板上で行われたプロセスや、(ii)単一の基板にわたって統計的関係が変化することがある特性や、(iii)基板のバッチにわたって統計的関係が変化することがある特性の統計的プロセスコントロールパラメータを識別するホストソフトウェアプログラム322を備えていてもよい。ホストソフトウェアプログラム322はまた、進行中のイン・シトゥープロセス評価やプロセスパラメータのコントロールに対してデータを使用してもよい。適切なホストソフトウェアプログラムは、上記のアプライドマテリアルズ社から入手可能なWORKSTREAM(商標)ソフトウェアプログラムを備えている。ファクトリオートメーションホストコンピュータ320はさらに、(i)例えば、基板特性が不適切であったり、統計的に所定の範囲の値にない場合、あるいはプロセスパラメータが許容範囲から外れている場合に特定の基板25をエッチングシーケンスから除去する、(ii)特定のチャンバ106でのエッチングを終了させる、または(iii)基板25やプロセスパラメータの不適切な特性を判断する際にプロセス条件を調整するための命令信号を提供するように適合されてもよい。ファクトリオートメーションホストコンピュータ320はまた、ホストソフトウェアプログラム322によるデータの評価に応答して、基板25のエッチングの開始または終了時に命令信号を提供してもよい。   Data signals received and evaluated by the controller 300 may be sent to the factory automation host computer 320. The factory automation host computer 320 evaluates data from several systems, platforms or chambers 106 and for a batch of substrates 104 or for extended periods of time to (i) processes performed on a substrate, (ii) A host software program 322 that identifies statistical process control parameters for characteristics that may change statistical relationships across a single substrate and (iii) characteristics that may change across batches of substrates May be. The host software program 322 may also use data for ongoing in situ process evaluation and control of process parameters. A suitable host software program comprises the WORKSTREAM ™ software program available from Applied Materials, Inc. above. The factory automation host computer 320 may further: (i) identify a particular substrate 25 if, for example, the substrate characteristics are inappropriate or statistically not within a predetermined range of values, or if the process parameters are out of tolerance. Command signals to adjust process conditions when determining (ii) terminating the etch in a particular chamber 106, or (iii) determining inappropriate properties of the substrate 25 or process parameters. It may be adapted to provide. The factory automation host computer 320 may also provide command signals at the beginning or end of etching of the substrate 25 in response to the evaluation of the data by the host software program 322.

一変形例では、コントローラ300は、コンピュータ302によって読み取り可能であり、かつ例えば、非リムーバブル記憶媒体312やリムーバブル記憶媒体310上のメモリ308に記憶されてもよいコンピュータプログラム330を備えている。コンピュータプログラム330は概して、チャンバ106およびこのコンポーネントを操作するためのプログラムコードを備えるプロセスコントロールソフトウェアと、チャンバ106で実行されるプロセスを監視するためのプロセス監視ソフトウェアと、安全なシステムソフトウェアと、他のコントロールソフトウェアとを備えている。コンピュータプログラム330は、例えば、アセンブリ言語、C++、PascalまたはFortranなどの任意の従来のプログラミング言語で書かれてもよい。適切なプログラムコードが、従来のテキストエディターを使用して単一ファイルまたは複数のファイルに入力されて、メモリ308のコンピュータ使用可能な媒体に記憶または具現化される。入力されたコードテキストがハイレベル言語である場合、コードはコンパイルされて、その結果得られたコンパイラコードは、プリコンパイルされたライブラリルーチンのオブジェクトコードとリンクされる。リンクされたコンパイル済みオブジェクトコードを実行するために、ユーザはオブジェクトコードを呼び出し、CPU306にコードを読み取りおよび実行させて、プログラムにおいて識別されたタスクを実行する。 In one variation, the controller 300 includes a computer program 330 that is readable by the computer 302 and may be stored in the memory 308 on the non-removable storage medium 312 or the removable storage medium 310, for example. The computer program 330 generally includes process control software with program code for operating the chamber 106 and its components, process monitoring software for monitoring processes performed in the chamber 106, secure system software, and other Control software. The computer program 330 may be written in any conventional programming language such as, for example, assembly language, C ++ , Pascal, or Fortran. Appropriate program code is entered into a single file or multiple files using a conventional text editor and stored or embodied in a computer-usable medium in memory 308. If the input code text is in a high level language, the code is compiled and the resulting compiler code is linked with the object code of the precompiled library routine. To execute the linked compiled object code, the user invokes the object code and causes the CPU 306 to read and execute the code to perform the tasks identified in the program.

動作に際して、データ入力デバイス318を使用して、例えば、ユーザは、プロセス選択器332によって生成されるディスプレイ316上のメニューやスクリーンに応答して、プロセスセットおよびチャンバ番号をコンピュータプログラム330に入力する。コンピュータプログラム330は、基板の位置、ガス流、ガス圧力、温度、RF電力レベル、および特定のプロセスの他のパラメータをコントロールするための命令セットならびにチャンバプロセスを監視するための命令セットを含んでいる。プロセスセットは、特定されたプロセスを実施するために必要なプロセスパラメータの所定のグループである。プロセスパラメータは、ガス組成、ガス流量、温度、圧力、およびRFまたはマイクロ波電力レベルなどのガスエナジャイザー設定を制限なく含むプロセス条件である。チャンバ番号は、プラットフォームに1セットの相互接続チャンバがある場合の特定のチャンバのIDを反映する。   In operation, using data input device 318, for example, a user enters a process set and chamber number into computer program 330 in response to a menu or screen on display 316 generated by process selector 332. The computer program 330 includes an instruction set for controlling substrate position, gas flow, gas pressure, temperature, RF power level, and other parameters of a particular process and an instruction set for monitoring the chamber process. . A process set is a predetermined group of process parameters necessary to perform a specified process. Process parameters are process conditions including, without limitation, gas energizer settings such as gas composition, gas flow, temperature, pressure, and RF or microwave power levels. The chamber number reflects the ID of a particular chamber when there is a set of interconnected chambers on the platform.

プロセスシーケンサー334は、コンピュータプログラム330やプロセス選択器332からのチャンバ番号およびプロセスパラメータセットを受容して、この動作をコントロールするための命令セットを備えている。プロセスシーケンサー334は、特定のプロセスパラメータを、チャンバ106において多数のタスクをコントロールするチャンバマネージャ336に渡すことによってプロセスセットの実行を開始する。チャンバマネージャ336は、例えば、基板位置決め命令セット340、ガス流コントロール命令セット342、ガス圧力コントロール命令セット344、温度コントロール命令セット348、ガスエナジャイザーコントロール命令セット350およびプロセス監視命令セット352などの命令セットを含んでもよい。1セットのタスクを実行するための個別の命令セットとして説明されているが、これらの命令セットの各々は相互に一体化可能であり、あるいはオーバーラップしていてもよく、従って、本明細書に説明されているチャンバコントローラ300およびコンピュータ読み取り可能なプログラムは本明細書に説明されている機能的ルーチンの具体的な変形例に制限されるべきではない。   Process sequencer 334 includes a set of instructions for receiving chamber numbers and process parameter sets from computer program 330 and process selector 332 and controlling this operation. The process sequencer 334 initiates execution of the process set by passing specific process parameters to a chamber manager 336 that controls a number of tasks in the chamber 106. The chamber manager 336 provides instruction sets such as, for example, a substrate positioning instruction set 340, a gas flow control instruction set 342, a gas pressure control instruction set 344, a temperature control instruction set 348, a gas energizer control instruction set 350, and a process monitoring instruction set 352. May be included. Although described as separate instruction sets for performing a set of tasks, each of these instruction sets may be integrated with each other, or may overlap, and thus is described herein. The chamber controller 300 and computer readable program described are not to be limited to the specific variations of the functional routines described herein.

基板位置決め命令セット340は、基板25を基板支持体20上にロードし、任意で基板25をチャンバ106の所望の高さに持ち上げるために使用されるチャンバコンポーネントをコントロールするためのコードを備えている。例えば、基板位置決め命令セット340は、基板をチャンバに移送する移送ロボットアーム(図示せず)を操作し、静電チャックのホールを介して延ばされるリフトピン(図示せず)をコントロールし、ロボットアームの移動とリフトピンの動きとを調整するためのコードを含むことが可能である。   The substrate positioning instruction set 340 includes code for controlling the chamber components that are used to load the substrate 25 onto the substrate support 20 and optionally lift the substrate 25 to the desired height of the chamber 106. . For example, the substrate positioning instruction set 340 operates a transfer robot arm (not shown) that transfers the substrate to the chamber, controls lift pins (not shown) extended through the holes of the electrostatic chuck, and controls the robot arm. A cord for coordinating movement and movement of the lift pin can be included.

プログラムコードはまた、例えば、チャック20のセラミックパック24における第1および第2のヒーターコイル50、52に異なる電力レベルを別個に印加することによって、基板25の異なる領域に維持されている温度を設定およびコントロールするための温度コントロール命令セット348を含んでいる。温度コントロール命令セット348はまた、導管38a、bを通過した熱移送ガスの流れを調整する。   The program code also sets the temperature maintained in different regions of the substrate 25, for example by applying different power levels separately to the first and second heater coils 50, 52 in the ceramic pack 24 of the chuck 20. And a temperature control instruction set 348 for controlling. The temperature control instruction set 348 also regulates the flow of heat transfer gas through the conduits 38a, b.

温度コントロール命令セット348はまた、ベース91の冷却チャネル110を通過した冷却流体の温度および流量をコントロールするためのコードを備えている。一変形例では、温度コントロール命令セット348は、ヒーターに印加された電力レベルを上昇させる直前に、初期の低レベルから、例えば、少なくとも約1秒間冷却器の冷却剤の温度をより高レベルに高めるコードを備えている。これによって、ヒーターの温度が結局上がらない場合にヒーターが温度を上昇させてセラミックパック24からベース91への熱流を削減する直前に、より高い温度の冷却剤がベース91の冷却チャネルにおいて循環可能になり、基板の温度上昇レートを効果的に上げることができる。反対に、プログラムコード348は、基板温度が低下される場合に基板から熱が移送されるレートを加速させるためにヒーターに印加される電力レベルを低下させる前に、冷却剤の温度を例えば、少なくとも約10℃下げ、冷却器をより低レベルに下げる命令セットを含んでいる。図7の温度対時間のグラフは、冷却ベースが5℃に維持されている、45℃〜75℃に傾斜した基板の温度傾斜レートを描いている。図9は、熱を保持して基板に与える静電チャックの温度傾斜のグラフによって、基板温度の急速な変化を描いている。基板は、裏側のヘリウム圧力の使用によって、静電チャックと同じ温度を維持する。グラフは、静電チャックが所定の時間間隔で上昇および低下される様子を明示している。グラフの2つの急勾配の山はそれぞれ温度の高速上昇および低下を示している。静電チャックのこのような高速の温度傾斜は基板温度の急速な変化を見込んでいるため、Poly−SiおよびWSIXなどの以前は両立不可能であった材料のエッチングを可能にする。   The temperature control instruction set 348 also includes code for controlling the temperature and flow rate of the cooling fluid that has passed through the cooling channel 110 of the base 91. In one variation, the temperature control command set 348 increases the coolant temperature of the cooler from an initial low level to a higher level, for example for at least about 1 second, immediately before increasing the power level applied to the heater. It has a code. This allows higher temperature coolant to circulate in the cooling channel of the base 91 just before the heater raises the temperature and reduces the heat flow from the ceramic pack 24 to the base 91 if the heater temperature does not rise eventually. Thus, the temperature rise rate of the substrate can be effectively increased. Conversely, program code 348 may set the temperature of the coolant, for example, at least before reducing the power level applied to the heater to accelerate the rate at which heat is transferred from the substrate when the substrate temperature is lowered. Includes an instruction set that lowers about 10 ° C. and lowers the cooler to a lower level. The temperature versus time graph of FIG. 7 depicts the temperature ramp rate of a substrate tilted from 45 ° C. to 75 ° C. with the cooling base maintained at 5 ° C. FIG. 9 depicts a rapid change in the substrate temperature with a graph of the temperature gradient of the electrostatic chuck that holds and applies heat to the substrate. The substrate is maintained at the same temperature as the electrostatic chuck through the use of backside helium pressure. The graph demonstrates how the electrostatic chuck is raised and lowered at predetermined time intervals. The two steep peaks in the graph indicate a rapid rise and fall in temperature, respectively. Such a fast temperature ramp of the electrostatic chuck allows for the etching of materials that were previously incompatible, such as Poly-Si and WSIX, because they anticipate rapid changes in substrate temperature.

プロセスフィードバックコントロール命令セット352は、温度信号を光学温度センサー60a、bから受信して、ヒーターコイル50、52などのチャンバコンポーネントに印加された電力と、導管38a、bを介する熱移送ガスの流れと、ベース91のチャネル110を介する流体の流れと、冷却器の冷却剤温度とを調整する温度監視命令セット348間のフィードバックコントロールループとして作用可能である。   Process feedback control instruction set 352 receives temperature signals from optical temperature sensors 60a, b and applies power to chamber components such as heater coils 50,52 and the flow of heat transfer gas through conduits 38a, b. , Can act as a feedback control loop between temperature monitoring instruction set 348 that regulates fluid flow through channel 110 of base 91 and coolant temperature of the cooler.

ガス流コントロール命令セット342は、プロセスガスの異なる構成要素の流量をコントロールするためのコードを備えている。例えば、ガス流コントロール命令セット342は、ガス出口203からチャンバ106への所望のガス流量を得るために、ガス流コントロールバルブ158の開口サイズを調節してもよい。一変形例では、ガス流コントロール命令セット342は、第1のガスの第1の容積流量と第2のガスの第2の容積流量とを設定して、プロセスガス組成における第2のプロセスガスに対する第1のプロセスガスの所望の容積流量比を設定するためのコードを備えている。   The gas flow control instruction set 342 includes code for controlling the flow rates of the different components of the process gas. For example, the gas flow control instruction set 342 may adjust the opening size of the gas flow control valve 158 to obtain a desired gas flow rate from the gas outlet 203 to the chamber 106. In one variation, the gas flow control instruction set 342 sets a first volume flow rate of the first gas and a second volume flow rate of the second gas to a second process gas in the process gas composition. A code for setting a desired volume flow rate ratio of the first process gas is provided.

ガス圧力コントロール命令セット344は、スロットルバルブ174の開閉位置を調節することによって、チャンバ106の圧力をコントロールするためのプログラムコードを備えている。温度コントロール命令セット348は例えば、エッチング中に基板104の温度をコントロールするためのコードや、天井の温度などの、チャンバ106の壁の温度をコントロールするためのコードを備えてもよい。ガスエナジャイザーコントロール命令セット350は、例えば、電極やアンテナ186に印加されるRF電力レベルを設定するためのコードを備えている。   The gas pressure control instruction set 344 includes program code for controlling the pressure in the chamber 106 by adjusting the opening / closing position of the throttle valve 174. The temperature control instruction set 348 may include, for example, code for controlling the temperature of the substrate 104 during etching and code for controlling the temperature of the walls of the chamber 106, such as the temperature of the ceiling. The gas energizer control instruction set 350 includes a code for setting the RF power level applied to the electrode and the antenna 186, for example.

1セットのタスクを実行するための個別の命令セットとして説明されているが、これらの命令セットの各々は相互に一体化可能である、つまり1セットのプログラムコードのタスクは、所望のセットのタスクを実行するためにタスクと相互に一体化されることが理解されるべきである。従って、本明細書に説明されているコントローラ300およびコンピュータプログラム330は本明細書に説明されている機能的ルーチンの具体的な変形例に制限されるべきではなく、等価的なセットの機能を実行する任意の他のセットのルーチンや合併プログラムコードもまた本発明の範囲内である。また、コントローラはチャンバ106の一変形例に対して図示されているが、本明細書に説明されている任意のチャンバに対して使用可能である。   Although described as separate instruction sets for performing a set of tasks, each of these instruction sets can be integrated with each other, i.e., a set of program code tasks is a desired set of tasks. It should be understood that they are mutually integrated with tasks to perform Thus, the controller 300 and computer program 330 described herein should not be limited to the specific variations of the functional routines described herein, but perform an equivalent set of functions. Any other set of routines or merged program codes that are also within the scope of the present invention. Also, although the controller is illustrated for one variation of chamber 106, it can be used for any chamber described herein.

本発明の装置およびプロセスは、基板およびチャンバで実行されるプロセスの異なるステップ間の基板の温度の急速な変化を許容することによって重要な利点を提供している。このような急速な温度変化は、多数のステップを有するエッチングプロセスが実行可能な速度を速める。本システムはまた、基板上の異なる材料や層のエッチングに必要な多数のエッチング段階を有するエッチングプロセスなどの特定のプロセスに望ましい温度上昇および低下プロファイルの正確な再現を許容する。さらに別の利点は、本装置によって、冷却ベースの温度よりもかなり高い温度に基板を維持することができることであり、これはまたプロセス中の基板温度の偏り(drift)が全くなく、より高いプラズマ電力を基板に印加することを可能にする。基板と冷却ベース間の大きな温度差によっても、基板の内側と外側のゾーン間の良好な温度差が可能になり、基板表面にわたって変動する環状プロセス条件を補償することができる。   The apparatus and process of the present invention provides significant advantages by allowing rapid changes in the temperature of the substrate between different steps of the process performed on the substrate and chamber. Such rapid temperature changes increase the rate at which an etching process having multiple steps can be performed. The system also allows an accurate reproduction of the temperature rise and fall profiles desired for a particular process, such as an etch process that has multiple etching steps required to etch different materials and layers on the substrate. Yet another advantage is that the apparatus allows the substrate to be maintained at a much higher temperature than the cooling base temperature, which also eliminates any substrate temperature drift during the process and results in a higher plasma. Allows power to be applied to the substrate. A large temperature difference between the substrate and the cooling base also allows a good temperature difference between the inner and outer zones of the substrate and can compensate for annular process conditions that vary across the substrate surface.

本発明は、この特定の好ましい変形例に関してかなり詳細に説明されてきたが、他の変形例も可能である。例えば、基板支持体、冷却ベースおよび温度センサーなどの装置コンポーネントは、本明細書に説明されているもの以外のチャンバおよびプロセスに使用可能である。従って、添付の請求項は本明細書に含有されている好ましい変形例の説明に制限されるべきではない。   Although the present invention has been described in considerable detail with respect to this particular preferred variation, other variations are possible. For example, device components such as substrate supports, cooling bases, and temperature sensors can be used for chambers and processes other than those described herein. Accordingly, the appended claims should not be limited to the description of the preferred variations contained herein.

静電チャックを具備する基板処理チャンバの実施形態の概略側面図である。1 is a schematic side view of an embodiment of a substrate processing chamber comprising an electrostatic chuck. 静電チャックの実施形態の概略側断面図である。1 is a schematic cross-sectional side view of an embodiment of an electrostatic chuck. 図1の静電チャックの概略底面図である。It is a schematic bottom view of the electrostatic chuck of FIG. 静電チャックのベースの実施形態の上部の概略斜視図である。1 is a schematic perspective view of the upper part of an embodiment of a base of an electrostatic chuck. 静電チャックのベースの実施形態の底部の概略斜視図である。2 is a schematic perspective view of the bottom of an embodiment of a base of an electrostatic chuck. FIG. 光学温度センサーの概略側面図である。It is a schematic side view of an optical temperature sensor. 図4Aおよび4Bの静電チャック上のリングアセンブリの概略側断面図である。4B is a schematic cross-sectional side view of a ring assembly on the electrostatic chuck of FIGS. 4A and 4B. FIG. 図6Aのリングアセンブリの詳細である。6B is a detail of the ring assembly of FIG. 6A. 一定温度の冷却器によってある時間間隔に変化する(ramp)基板温度を描くグラフである。FIG. 6 is a graph depicting substrate temperature that is ramped at a certain time interval by a constant temperature cooler. FIG. ヒーター電力のプリチャージに対する静電チャックと冷却器間の温度差を描くグラフである。It is a graph which depicts the temperature difference between the electrostatic chuck and the cooler with respect to the precharge of the heater power. 静電チャックの温度傾斜を描くグラフである。It is a graph which draws the temperature gradient of an electrostatic chuck.

符号の説明Explanation of symbols

104…基板、106…チャンバ、120…側壁、122…底壁、124…天井、118…エンクロージャ壁、114…ハウジング、124…天井、208…ガスエナジャイザー、300…コントローラ、218…排出ポンプ。 DESCRIPTION OF SYMBOLS 104 ... Board | substrate, 106 ... Chamber, 120 ... Side wall, 122 ... Bottom wall, 124 ... Ceiling, 118 ... Enclosure wall, 114 ... Housing, 124 ... Ceiling, 208 ... Gas energizer, 300 ... Controller, 218 ... Exhaust pump.

Claims (20)

プロセスチャンバにおいて基板を保持および加熱することができる基板支持アセンブリであって、
(a)セラミックパック(puck)であって、(i)基板受け取り表面であって、該受け取り表面に熱移送ガスを供給するための複数のポートを有する基板受け取り表面と、(ii)中心部分及び周辺部分と、(iii)対向する裏側表面であって、第1のグループのメサおよび第2のグループのメサを具備する複数の間隔のあいたメサを備えている、前記対向する裏側表面と、(iv)静電力を生成して、前記基板受け取り表面に置かれた基板を保有するための、内部に埋め込まれた電極と、(v)前記基板を加熱するための、前記セラミックパックに埋め込まれたヒーターであって、前記セラミックパックの前記周辺部分に配置されている第1のヒーターコイルと、前記セラミックパックの前記中心部分に配置されている第2のヒーターコイルとを含むヒーターとを備えるセラミックパックと、
(b)冷却剤を循環させる冷却チャネルを備える冷却ベースであって、前記冷却チャネルが入口および末端を備える冷却ベースと、
(c)前記セラミックパックを前記冷却ベースに接着する柔らかい層であって、(i)埋め込みアルミニウム繊維を有するシリコンまたは(ii)埋め込みワイヤメッシュを有するアクリルのうちの少なくとも1つを備える柔らかい層と
を備え、
前記第1及び第2のヒーターコイルを含むヒーター、前記冷却ベース、及び前記柔らかい層は協働して、前記セラミックパックの前記中心部分及び周辺部分の温度を独立して制御し、前記基板の温度を急速に上昇及び低下させることを可能にし、
(i)前記第1のメサが、前記第2のメサ間の第2の距離よりも長い第1の距離の間隔があけられているか、
(ii)前記第1のメサが、前記第2のメサの第2の接触領域の寸法よりも小さな寸法を有する第1の接触領域をそれぞれ有している、
アセンブリ。
A substrate support assembly capable of holding and heating a substrate in a process chamber, comprising:
(A) a ceramic puck, (i) a substrate receiving surface having a plurality of ports for supplying heat transfer gas to the receiving surface; (ii) a central portion; (Iii) an opposing backside surface comprising a plurality of spaced mesa comprising a first group of mesas and a second group of mesas ; iv) an embedded electrode for generating an electrostatic force to hold the substrate placed on the substrate receiving surface; and (v) embedded in the ceramic pack for heating the substrate. A heater comprising a first heater coil disposed in the peripheral portion of the ceramic pack and a second heater coil disposed in the central portion of the ceramic pack. A ceramic pack comprising a motor,
(B) a cooling base comprising a cooling channel for circulating a coolant, wherein the cooling channel comprises an inlet and an end;
(C) a soft layer that bonds the ceramic pack to the cooling base, the soft layer comprising at least one of (i) silicon with embedded aluminum fibers or (ii) acrylic with embedded wire mesh. Prepared,
The heater including the first and second heater coils, the cooling base, and the soft layer cooperate to independently control the temperature of the central portion and the peripheral portion of the ceramic pack, and the temperature of the substrate. Can rise and fall rapidly ,
(I) the first mesas are spaced apart by a first distance that is longer than a second distance between the second mesas;
(Ii) each of the first mesas has a first contact area having a size smaller than that of the second contact area of the second mesa;
assembly.
前記冷却チャネルの前記入口および末端が相互に隣接しており、前記冷却チャネルが自身にループバックする、請求項1に記載の支持アセンブリ。   The support assembly of claim 1, wherein the inlet and end of the cooling channel are adjacent to each other and the cooling channel loops back to itself. 前記第1のメサが前記冷却チャネルの前記入口に隣接しており、前記第2のメサが前記冷却チャネルの前記入口から離れている、請求項2に記載の支持アセンブリ。 Said first mesa is adjacent to the inlet of the cooling channel, the second mesa is away from the inlet of the cooling channel, the support assembly of claim 2. 前記セラミックパックが、以下の特徴:
(i)約7mm未満の厚さ、
(ii)約4〜約7mmの厚さ、または
(iii)前記セラミックパックが酸化アルミニウムからなる、
のうちの少なくとも1つを備える、請求項1に記載の支持アセンブリ。
The ceramic pack has the following features:
(I) a thickness of less than about 7 mm;
(Ii) a thickness of about 4 to about 7 mm, or (iii) the ceramic pack is made of aluminum oxide,
The support assembly of claim 1, comprising at least one of:
前記電極およびヒーターがそれぞれタングステンまたはモリブデンのいずれかを備える、請求項1に記載の支持アセンブリ。   The support assembly of claim 1, wherein the electrode and heater each comprise either tungsten or molybdenum. 以下の特徴:
(i)前記第1および第2のヒーターコイルが、相互に放射状に間隔があけられ、かつ同心的である、
(ii)前記第1および第2のヒーターコイルが、10オーム未満の結合抵抗を有する、
(iii)前記第1のヒーターコイルが、第1の距離の間隔のあいた第1のループを含み、前記第2のヒーターコイルが、前記第1の距離よりも長い第2の距離の間隔のあいた第2のループを含む、のうちの少なくとも1つを備える、請求項1に記載の支持アセンブリ。
The following features:
(I) the first and second heater coils are radially spaced from each other and concentric;
(Ii) the first and second heater coils have a coupling resistance of less than 10 ohms;
(Iii) The first heater coil includes a first loop spaced by a first distance, and the second heater coil is spaced by a second distance that is longer than the first distance. The support assembly of claim 1, comprising at least one of including a second loop.
(iii)において前記第2のループが前記セラミックパックのリフトピンホールを中心に位置決めされている、請求項6に記載の支持アセンブリ。   The support assembly of claim 6, wherein in (iii) the second loop is positioned about a lift pinhole of the ceramic pack. プロセスチャンバにおいて基板を保持および加熱することができる静電チャックであって、
(a)(i)基板受け取り表面であって、該受け取り表面に熱移送ガスを供給するための複数のポートを有する基板受け取り表面と、(ii)中心部分及び周辺部分と、(iii)対向する裏側表面であって、第1のグループのメサおよび第2のグループのメサを具備する複数の間隔のあいたメサを備えている、前記対向する裏側表面とを備えるセラミックパックと、
(b)静電力を生成して、前記基板受け取り表面に置かれた基板を保有するための、前記セラミックパックに埋め込まれた電極と、
(c)前記基板受け取り表面で受け取られた基板を加熱するための、前記セラミックパックに埋め込まれたヒーターであって、前記セラミックパックの前記周辺部分に配置されている第1のヒーターコイルと、前記セラミックパックの前記中心部分に配置されている第2のヒーターコイルとを含むヒーターと、
(d)前記セラミックパックに接着された冷却ベースであって、冷却剤を循環させる冷却チャネルを備える冷却ベースと、
を備え
(i)前記第1のメサが、前記第2のメサ間の第2の距離よりも長い第1の距離の間隔があけられているか、
(ii)前記第1のメサが、前記第2のメサの第2の接触領域の寸法よりも小さな寸法を有する第1の接触領域をそれぞれ有している、
静電チャック。
An electrostatic chuck capable of holding and heating a substrate in a process chamber,
(A) (i) a substrate receiving surface having a plurality of ports for supplying a heat transfer gas to the receiving surface; (ii) a central portion and a peripheral portion; and (iii) opposite. A ceramic pack comprising a plurality of spaced apart mesas comprising a first group of mesas and a second group of mesas ;
(B) an electrode embedded in the ceramic pack for generating an electrostatic force to hold a substrate placed on the substrate receiving surface;
(C) a heater embedded in the ceramic pack for heating the substrate received at the substrate receiving surface, the first heater coil disposed in the peripheral portion of the ceramic pack; A heater including a second heater coil disposed in the central portion of the ceramic pack;
(D) a cooling base bonded to the ceramic pack, the cooling base comprising a cooling channel for circulating a coolant;
Equipped with a,
(I) the first mesas are spaced apart by a first distance that is longer than a second distance between the second mesas;
(Ii) each of the first mesas has a first contact area having a size smaller than that of the second contact area of the second mesa;
Electrostatic chuck.
前記セラミックパックが7mm未満の厚さを備える、請求項8に記載の静電チャック。   The electrostatic chuck of claim 8, wherein the ceramic pack comprises a thickness of less than 7 mm. (i)前記セラミックパックが酸化アルミニウムからなり、
(ii)前記電極およびヒーターがそれぞれタングステンまたはモリブデンのいずれかからなる、請求項8に記載の静電チャック。
(I) the ceramic pack is made of aluminum oxide;
(Ii) The electrostatic chuck according to claim 8, wherein each of the electrode and the heater is made of tungsten or molybdenum.
基板処理装置であって、
(a)基板支持体を搭載しているプロセスチャンバであって、前記基板支持体が
(i)[i]基板受け取り表面であって、該基板受け取り表面に熱移送ガスを供給するための複数のポートを有する基板受け取り表面と、[ii]対向する裏側表面と、[iii]電極およびその内部に埋め込まれたヒーターとを具備する、セラミックパックと、
(ii)前記セラミックパックの下の、前記セラミックパックの前記裏側表面に接着された冷却ベースであって、冷却チャネルを備える冷却ベースと、
(iii)冷却剤を前記冷却ベースの前記冷却チャネルに通過させる冷却温度に、冷却剤を維持するための冷却器と、を備えるプロセスチャンバと、
(b)プロセスガスを前記プロセスチャンバに提供するためのガス分配器と、
(c)前記プロセスガスにエネルギー付与するためのガスエナジャイザーと、
(d)前記プロセスガスを前記チャンバから排出させるためのガス排出ポートと、
(e)(i)前記セラミックパックにおける前記ヒーターに印加された電力レベルを上昇させる前に前記冷却器の冷却温度をより高いレベルに上げ、あるいは(ii)前記セラミックパックにおける前記ヒーターに印加された電力レベルを低下させる前に前記冷却器の冷却温度をより低いレベルに下げることによって、前記基板の温度がより速いレートで上昇または低下可能になるコードを含む温度コントロール命令セットを備えるコントローラと、を備え、
前記温度コントロール命令セットが、前記セラミックパックにおける前記ヒーターに印加された電力レベルを上昇または低下させる前に少なくとも約1秒間、前記冷却器の冷却温度を変化させるコードを含む、前記装置。
A substrate processing apparatus,
(A) A process chamber in which a substrate support is mounted, wherein the substrate support is
(I) [i] a substrate receiving surface having a plurality of ports for supplying heat transfer gas to the substrate receiving surface; [ii] an opposing back surface; [iii] an electrode; A ceramic pack comprising a heater embedded therein;
(Ii) a cooling base bonded to the backside surface of the ceramic pack under the ceramic pack, the cooling base comprising a cooling channel;
(Iii) a process chamber comprising: a cooler for maintaining the coolant at a cooling temperature that allows the coolant to pass through the cooling channel of the cooling base;
(B) a gas distributor for providing process gas to the process chamber;
(C) a gas energizer for imparting energy to the process gas;
(D) a gas exhaust port for exhausting the process gas from the chamber;
(E) (i) raising the cooling temperature of the cooler to a higher level before raising the power level applied to the heater in the ceramic pack, or (ii) applied to the heater in the ceramic pack A controller comprising a temperature control instruction set comprising code that allows the temperature of the substrate to be raised or lowered at a faster rate by lowering the cooling temperature of the cooler to a lower level before reducing the power level; Prepared,
The temperature control instruction set, at least about 1 second before raising or lowering the power level applied to the heater in the ceramic puck includes code for changing the cooling temperature of the cooler, the device.
基板処理装置であって、
(a)基板支持体を搭載しているプロセスチャンバであって、前記基板支持体が
(i)[i]基板受け取り表面であって、該基板受け取り表面に熱移送ガスを供給するための複数のポートを有する基板受け取り表面と、[ii]対向する裏側表面と、[iii]電極およびその内部に埋め込まれたヒーターとを具備する、セラミックパックと、
(ii)前記セラミックパックの下の、前記セラミックパックの前記裏側表面に接着された冷却ベースであって、冷却チャネルを備える冷却ベースと、
(iii)冷却剤を前記冷却ベースの前記冷却チャネルに通過させる冷却温度に、冷却剤を維持するための冷却器と、を備えるプロセスチャンバと、
(b)プロセスガスを前記プロセスチャンバに提供するためのガス分配器と、
(c)前記プロセスガスにエネルギー付与するためのガスエナジャイザーと、
(d)前記プロセスガスを前記チャンバから排出させるためのガス排出ポートと、
(e)(i)前記セラミックパックにおける前記ヒーターに印加された電力レベルを上昇させる前に前記冷却器の冷却温度をより高いレベルに上げ、あるいは(ii)前記セラミックパックにおける前記ヒーターに印加された電力レベルを低下させる前に前記冷却器の冷却温度をより低いレベルに下げることによって、前記基板の温度がより速いレートで上昇または低下可能になるコードを含む温度コントロール命令セットを備えるコントローラと、を備え、
前記温度コントロール命令セットが、前記冷却温度を少なくとも約10℃変化させるコードを含む、前記装置。
A substrate processing apparatus,
(A) A process chamber in which a substrate support is mounted, wherein the substrate support is
(I) [i] a substrate receiving surface having a plurality of ports for supplying heat transfer gas to the substrate receiving surface; [ii] an opposing back surface; [iii] an electrode; A ceramic pack comprising a heater embedded therein;
(Ii) a cooling base bonded to the backside surface of the ceramic pack under the ceramic pack, the cooling base comprising a cooling channel;
(Iii) a process chamber comprising: a cooler for maintaining the coolant at a cooling temperature that allows the coolant to pass through the cooling channel of the cooling base;
(B) a gas distributor for providing process gas to the process chamber;
(C) a gas energizer for imparting energy to the process gas;
(D) a gas exhaust port for exhausting the process gas from the chamber;
(E) (i) raising the cooling temperature of the cooler to a higher level before raising the power level applied to the heater in the ceramic pack, or (ii) applied to the heater in the ceramic pack A controller comprising a temperature control instruction set comprising code that allows the temperature of the substrate to be raised or lowered at a faster rate by lowering the cooling temperature of the cooler to a lower level before reducing the power level; Prepared,
The temperature control instruction set comprises code for causing at least about 10 ° C. change the cooling temperature, the device.
基板処理装置であって、
(a)基板支持体を搭載しているプロセスチャンバであって、前記基板支持体が
(i)[i]基板受け取り表面であって、該基板受け取り表面に熱移送ガスを供給するための複数のポートを有する基板受け取り表面と、[ii]対向する裏側表面と、[iii]電極およびその内部に埋め込まれたヒーターとを具備する、セラミックパックと、
(ii)前記セラミックパックの下の、前記セラミックパックの前記裏側表面に(i)埋め込みアルミニウム繊維を有するシリコン材料、または(ii)埋め込みワイヤメッシュを有するアクリルのうちの少なくとも1つを備える柔らかい層によって接着された冷却ベースであって、冷却チャネルを備える冷却ベースと、
(iii)冷却剤を前記冷却ベースの前記冷却チャネルに通過させる冷却温度に、冷却剤を維持するための冷却器と、を備えるプロセスチャンバと、
(b)プロセスガスを前記プロセスチャンバに提供するためのガス分配器と、
(c)前記プロセスガスにエネルギー付与するためのガスエナジャイザーと、
(d)前記プロセスガスを前記チャンバから排出させるためのガス排出ポートと、
(e)(i)前記セラミックパックにおける前記ヒーターに印加された電力レベルを上昇させる前に前記冷却器の冷却温度をより高いレベルに上げ、あるいは(ii)前記セラミックパックにおける前記ヒーターに印加された電力レベルを低下させる前に前記冷却器の冷却温度をより低いレベルに下げることによって、前記基板の温度がより速いレートで上昇または低下可能になるコードを含む温度コントロール命令セットを備えるコントローラと、を備える、前記装置。
A substrate processing apparatus,
(A) A process chamber in which a substrate support is mounted, wherein the substrate support is
(I) [i] a substrate receiving surface having a plurality of ports for supplying heat transfer gas to the substrate receiving surface; [ii] an opposing back surface; [iii] an electrode; A ceramic pack comprising a heater embedded therein;
(Ii) by a soft layer comprising at least one of (i) a silicon material having embedded aluminum fibers or (ii) an acrylic having an embedded wire mesh on the backside surface of the ceramic pack, under the ceramic pack . A bonded cooling base comprising a cooling channel;
(Iii) a process chamber comprising: a cooler for maintaining the coolant at a cooling temperature that allows the coolant to pass through the cooling channel of the cooling base;
(B) a gas distributor for providing process gas to the process chamber;
(C) a gas energizer for imparting energy to the process gas;
(D) a gas exhaust port for exhausting the process gas from the chamber;
(E) (i) raising the cooling temperature of the cooler to a higher level before raising the power level applied to the heater in the ceramic pack, or (ii) applied to the heater in the ceramic pack A controller comprising a temperature control instruction set comprising code that allows the temperature of the substrate to be raised or lowered at a faster rate by lowering the cooling temperature of the cooler to a lower level before reducing the power level; Said device.
前記柔らかい層が、シリコンまたはアクリルポリマーを含む、請求項1に記載の支持アセンブリ。   The support assembly of claim 1, wherein the soft layer comprises silicon or acrylic polymer. 前記セラミックパックを熱移送ガス導管が横切って、前記基板受け取り表面上のポートで終端し、前記導管が、前記基板受け取り表面の中心加熱ゾーンに熱移送ガスを供給するように配置された第1のガス導管と、前記基板受け取り表面の周辺加熱ゾーンに熱移送ガスを供給するように配置された第2のガス導管とを備える、請求項1に記載の支持アセンブリ。   A first heat transfer gas conduit traverses the ceramic pack and terminates at a port on the substrate receiving surface, the conduit being arranged to supply a heat transfer gas to a central heating zone of the substrate receiving surface. The support assembly of claim 1, comprising a gas conduit and a second gas conduit arranged to supply a heat transfer gas to a peripheral heating zone of the substrate receiving surface. 基板の重複する中心部分及び周辺部分の温度を測定するための光学温度センサを備える、請求項1に記載の支持アセンブリ。   The support assembly of claim 1, comprising an optical temperature sensor for measuring the temperature of overlapping central and peripheral portions of the substrate. 前記温度センサが、前記セラミックパックの中心部分に配置された第1のセンサと、前記セラミックパックの周辺部分に配置された第2のセンサとを備える、請求項1に記載の支持アセンブリ。   The support assembly of claim 1, wherein the temperature sensor comprises a first sensor disposed in a central portion of the ceramic pack and a second sensor disposed in a peripheral portion of the ceramic pack. 前記柔らかい層が、シリコン材料を含む、請求項1に記載の支持アセンブリ。   The support assembly of claim 1, wherein the soft layer comprises a silicon material. プロセスチャンバにおいて基板を保持および加熱することができる基板支持アセンブリであって、
(a)セラミックパックであって、(i)基板受け取り表面であって、該受け取り表面に熱移送ガスを供給するための複数のポートを有する基板受け取り表面と、(ii)対向する裏側表面であって、第1のグループのメサおよび第2のグループのメサを具備する複数の間隔のあいたメサを備えている、前記対向する裏側表面と、(iii)埋め込まれた電極及びヒーターとを備えるセラミックパックと、
(b)前記セラミックパックの下の、前記セラミックパックの前記裏側表面にシリコン材料で接着された冷却ベースであって、冷却チャネルを備える冷却ベースと
を備え
(i)前記第1のメサが、前記第2のメサ間の第2の距離よりも長い第1の距離の間隔があけられているか、
(ii)前記第1のメサが、前記第2のメサの第2の接触領域の寸法よりも小さな寸法を有する第1の接触領域をそれぞれ有している、
アセンブリ。
A substrate support assembly capable of holding and heating a substrate in a process chamber, comprising:
(A) a ceramic pack, (i) a substrate receiving surface, the substrate receiving surface having a plurality of ports for supplying heat transfer gas to the receiving surface; and (ii) an opposing back surface . A ceramic pack comprising a plurality of spaced apart mesas comprising a first group of mesas and a second group of mesas, said opposing backside surface , and (iii) embedded electrodes and heaters When,
(B) a cooling base that is bonded to the back surface of the ceramic pack with a silicon material under the ceramic pack, the cooling base including a cooling channel ;
(I) the first mesas are spaced apart by a first distance that is longer than a second distance between the second mesas;
(Ii) each of the first mesas has a first contact area having a size smaller than that of the second contact area of the second mesa;
assembly.
前記セラミックパックが中心部分及び周辺部分を備え、前記ヒーターが、前記セラミックパックの前記周辺部分に配置されている第1のヒーターコイルと、前記セラミックパックの前記中心部分に配置されている第2のヒーターコイルとを含む、請求項19に記載の支持アセンブリ。   The ceramic pack includes a central portion and a peripheral portion, and the heater is disposed in the peripheral portion of the ceramic pack, and a second heater coil is disposed in the central portion of the ceramic pack. 20. A support assembly according to claim 19, comprising a heater coil.
JP2006314608A 2006-07-20 2006-11-21 Substrate processing with rapid temperature gradient control Active JP5183058B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US83254506P 2006-07-20 2006-07-20
US60/832,545 2006-07-20

Publications (2)

Publication Number Publication Date
JP2008028354A JP2008028354A (en) 2008-02-07
JP5183058B2 true JP5183058B2 (en) 2013-04-17

Family

ID=39042371

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006314608A Active JP5183058B2 (en) 2006-07-20 2006-11-21 Substrate processing with rapid temperature gradient control

Country Status (4)

Country Link
JP (1) JP5183058B2 (en)
KR (1) KR101532906B1 (en)
CN (1) CN101110381B (en)
TW (1) TWI373810B (en)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5049891B2 (en) 2008-06-13 2012-10-17 新光電気工業株式会社 Substrate temperature adjustment fixing device
US8807075B2 (en) * 2008-09-22 2014-08-19 Applied Materials, Inc. Shutter disk having a tuned coefficient of thermal expansion
US8405005B2 (en) * 2009-02-04 2013-03-26 Mattson Technology, Inc. Electrostatic chuck system and process for radially tuning the temperature profile across the surface of a substrate
US8404572B2 (en) * 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
JP5423632B2 (en) * 2010-01-29 2014-02-19 住友大阪セメント株式会社 Electrostatic chuck device
CN101866826B (en) * 2010-04-29 2012-04-11 中微半导体设备(上海)有限公司 Fluid conveying device for vacuum processing system
CN101899650A (en) * 2010-04-30 2010-12-01 苏州索乐机电设备有限公司 Substrate heating furnace of MOCVD
WO2013050243A1 (en) * 2011-10-06 2013-04-11 Asml Netherlands B.V. Chuck, lithography apparatus and method of using a chuck
EP2834839A4 (en) * 2012-02-29 2016-03-30 Oasis Materials Corp Transient liquid phase, pressureless joining of aluminum nitride components
US10537013B2 (en) 2012-04-23 2020-01-14 Applied Materials, Inc. Distributed electro-static chuck cooling
US20130276980A1 (en) * 2012-04-23 2013-10-24 Dmitry Lubomirsky Esc with cooling base
US20140116622A1 (en) * 2012-10-31 2014-05-01 Semes Co. Ltd. Electrostatic chuck and substrate processing apparatus
EP3514700A1 (en) 2013-02-20 2019-07-24 Hartford Steam Boiler Inspection and Insurance Company Dynamic outlier bias reduction system and method
TWM492529U (en) * 2013-03-14 2014-12-21 Applied Materials Inc Substrate support assembly using substrate support pedestal with heater
JP5633766B2 (en) * 2013-03-29 2014-12-03 Toto株式会社 Electrostatic chuck
CN103388134B (en) * 2013-07-22 2016-05-18 北京工业大学 Capacitively coupled plasma strengthens the method that even thickness film is prepared in chemical vapour deposition (CVD)
US9196514B2 (en) * 2013-09-06 2015-11-24 Applied Materials, Inc. Electrostatic chuck with variable pixilated heating
US10391526B2 (en) * 2013-12-12 2019-08-27 Lam Research Corporation Electrostatic chuck cleaning fixture
US9779971B2 (en) * 2014-04-11 2017-10-03 Applied Materials, Inc. Methods and apparatus for rapidly cooling a substrate
CN105489527B (en) * 2014-09-19 2018-11-06 北京北方华创微电子装备有限公司 Bogey and semiconductor processing equipment
CN105552014B (en) * 2014-10-28 2018-09-18 北京北方华创微电子装备有限公司 A kind of support device and plasma etching equipment
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US10832936B2 (en) * 2016-07-27 2020-11-10 Lam Research Corporation Substrate support with increasing areal density and corresponding method of fabricating
JP2018046185A (en) * 2016-09-15 2018-03-22 東京エレクトロン株式会社 Method for etching silicon oxide and silicon nitride mutually and selectively
US10910195B2 (en) * 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
JP6820206B2 (en) * 2017-01-24 2021-01-27 東京エレクトロン株式会社 How to process the work piece
US10741425B2 (en) * 2017-02-22 2020-08-11 Lam Research Corporation Helium plug design to reduce arcing
US10147610B1 (en) * 2017-05-30 2018-12-04 Lam Research Corporation Substrate pedestal module including metallized ceramic tubes for RF and gas delivery
KR102408720B1 (en) * 2017-06-07 2022-06-14 삼성전자주식회사 Semiconductor process chamber including upper dome
KR102435888B1 (en) * 2017-07-04 2022-08-25 삼성전자주식회사 Electro-static chuck, apparatus for processing substrate and manufacturing method of semiconductor device using the same
CN110275556B (en) * 2018-03-14 2022-04-22 北京北方华创微电子装备有限公司 Temperature control method and system for electrostatic chuck and semiconductor processing equipment
CN108246896B (en) * 2018-03-21 2023-05-05 吉林大学 Gradient heating device for high-strength steel thermoforming and heating method thereof
CN108682635B (en) * 2018-05-03 2021-08-06 拓荆科技股份有限公司 Wafer seat with heating mechanism and reaction cavity comprising wafer seat
US11177144B2 (en) * 2018-06-04 2021-11-16 Applied Materials, Inc. Wafer spot heating with beam width modulation
CN108803702B (en) * 2018-06-26 2020-12-29 武汉华星光电技术有限公司 Temperature control system and method in array substrate manufacturing process
WO2020004091A1 (en) * 2018-06-29 2020-01-02 東京エレクトロン株式会社 Plasma processing device, plasma state detection method, and plasma state detection program
CN118360588A (en) 2018-07-05 2024-07-19 朗姆研究公司 Dynamic temperature control of substrate support in substrate processing system
US11183400B2 (en) 2018-08-08 2021-11-23 Lam Research Corporation Progressive heating of components of substrate processing systems using TCR element-based heaters
KR20210053348A (en) * 2018-09-24 2021-05-11 램 리써치 코포레이션 Multiplexed high TCR based ampoule heaters
US11887878B2 (en) * 2019-06-28 2024-01-30 Applied Materials, Inc. Detachable biasable electrostatic chuck for high temperature applications
KR102424374B1 (en) * 2020-06-17 2022-07-22 조중래 Method and apparatus for fabricating semiconductor device
CN114200980B (en) * 2021-12-03 2022-10-18 北京温致科技有限公司 Output control method, system, aerosol control method and heating non-combustion device
CN115287762B (en) * 2022-10-08 2022-12-09 中电化合物半导体有限公司 Crystal crystallization interface control device and silicon carbide crystal growth method
CN116759346B (en) * 2023-08-16 2023-10-24 无锡尚积半导体科技有限公司 Quick temperature control slide holder, photoresist removing etching equipment and photoresist removing process

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4009006B2 (en) * 1998-04-15 2007-11-14 株式会社アルバック Hot plate
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6469283B1 (en) * 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
US6320736B1 (en) * 1999-05-17 2001-11-20 Applied Materials, Inc. Chuck having pressurized zones of heat transfer gas
JP2001118915A (en) * 1999-10-15 2001-04-27 Applied Materials Inc Multilayer ceramic electrostatic chuck having internal channel
JP3642746B2 (en) * 2001-06-21 2005-04-27 日本発条株式会社 Ceramic heater
JP2003077783A (en) * 2001-09-03 2003-03-14 Ibiden Co Ltd Ceramic heater for semiconductor manufacturing/ inspecting device and manufacturing method therefor
JP3881908B2 (en) * 2002-02-26 2007-02-14 株式会社日立ハイテクノロジーズ Plasma processing equipment
JP2004179364A (en) * 2002-11-27 2004-06-24 Kyocera Corp Electrostatic chuck
JP4674792B2 (en) * 2003-12-05 2011-04-20 東京エレクトロン株式会社 Electrostatic chuck
JP4413667B2 (en) * 2004-03-19 2010-02-10 日本特殊陶業株式会社 Electrostatic chuck
JP4540407B2 (en) * 2004-06-28 2010-09-08 京セラ株式会社 Electrostatic chuck
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate

Also Published As

Publication number Publication date
CN101110381A (en) 2008-01-23
CN101110381B (en) 2013-08-21
JP2008028354A (en) 2008-02-07
KR101532906B1 (en) 2015-07-01
TW200807560A (en) 2008-02-01
KR20080008933A (en) 2008-01-24
TWI373810B (en) 2012-10-01

Similar Documents

Publication Publication Date Title
JP5183058B2 (en) Substrate processing with rapid temperature gradient control
US10257887B2 (en) Substrate support assembly
US8663391B2 (en) Electrostatic chuck having a plurality of heater coils
KR101380879B1 (en) Substrate support with electrostatic chuck having dual temperature zones
US6440221B2 (en) Process chamber having improved temperature control
JP4176848B2 (en) Substrate support and processing apparatus
KR19980032909A (en) Parallel plate plasma reactor inductively connected to a conical dome
CN106298447A (en) Temperature-controlled process
KR100423629B1 (en) Resistively heated single wafer furnace
KR101039085B1 (en) Plasma processing apparatus and plasma processing method
EP2472575A2 (en) Heat treatment apparatus
WO2002009162A2 (en) Heated substrate support assembly and method
KR20050083837A (en) Forced convection assisted rapid thermal furnace
US11929240B2 (en) Substrate support, substrate processing apparatus, and substrate processing method
US7179663B2 (en) CDA controller and method for stabilizing dome temperature
WO2001082342A1 (en) Gas assisted rapid thermal annealing

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091111

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101108

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101209

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110914

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111006

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120106

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120112

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120206

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120209

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120306

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120309

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120406

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120621

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120920

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120925

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121022

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121025

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121121

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121213

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130115

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5183058

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160125

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250