JP5052620B2 - 製造可能性プロセスのための閉ループを設計するための方法、およびコンピュータ・プログラム - Google Patents

製造可能性プロセスのための閉ループを設計するための方法、およびコンピュータ・プログラム Download PDF

Info

Publication number
JP5052620B2
JP5052620B2 JP2009534945A JP2009534945A JP5052620B2 JP 5052620 B2 JP5052620 B2 JP 5052620B2 JP 2009534945 A JP2009534945 A JP 2009534945A JP 2009534945 A JP2009534945 A JP 2009534945A JP 5052620 B2 JP5052620 B2 JP 5052620B2
Authority
JP
Japan
Prior art keywords
layout
design
mask
model
process model
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2009534945A
Other languages
English (en)
Other versions
JP2010508549A (ja
Inventor
マンズフィールド、スコット、エム
リーブマン、ラース、ダブリュ
グラウル、イオアナ
ハン、ゲン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2010508549A publication Critical patent/JP2010508549A/ja
Application granted granted Critical
Publication of JP5052620B2 publication Critical patent/JP5052620B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Data Mining & Analysis (AREA)
  • Databases & Information Systems (AREA)
  • Mathematical Physics (AREA)
  • Software Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

本発明は、集積回路の製造、およびウェハ上に集積回路を製造するためのリソグラフィ法に関する。とりわけ、本発明は、集積回路製造のためのリソグラフィ・マスクの設計および製造、ならびに、製造可能性(manufacturability)についてマスク設計を最適化するための方法に関する。
集積回路は、通常、光学リソグラフィ技法によって製造され、この技法では、エネルギー・ビームが、フォトマスク上の集積回路イメージまたはパターン(すなわち、マスクまたはレチクル)を、半導体ウェハ基板上の感光性レジストに送り、基板上に重ねられた複数層のパターン化材料として形成(すなわち、プリントまたは転写)される。基板上に形成されるパターン化層のそれぞれについて、1つまたは複数のマスクが存在し、ウェハ上のプリント・パターンを形成するために使用することができる。パターンは通常、マスク上に多角形として表される。しかしながら、ウェハ上に転写またはイメージ化されるマスクの多角形は、当分野で周知のように、様々な光学的効果により、ウェハにマスク・パターンを転写するリソグラフィ・プロセス中に平滑化および歪曲化されることになる。したがって回路設計者は、リソグラフィ・プロセスの特徴ならびに機能および性能要件を考慮に入れながら、回路レイアウトを設計することが望ましい。
リソグラフィ・プロセスを使用して集積回路を製造するプロセスは、一般に、図1〜図3を参照しながら理解することができる。
図1を参照すると、回路設計プロセス流れ10の間に、望ましい回路レイアウト・レベルに対応する初期マスク・レイアウト15のための多角形を準備するために、回路設計者は設計規則のセット11を組み込むことになる。規則11は、たとえば2次元基準のルックアップ・テーブルとしてあらわすことが可能であり、オーバレイ許容範囲、限界寸法(CD)、多角形状間の最小および最大間隔などの、要件に関する基準を含むことができる。オプションで、この規則は、望ましい設計形状周囲の許容範囲帯に関して表すことができる。設計者は、通常は多角形状の2次元レイアウトを備える初期回路レイアウト15に達するように、回路論理12の要件と設計規則11とを組み合わせる。設計規則11は、通常、回路素子の性能および電気的特徴に関する許容範囲および制約ならびに他の基準、ならびに、たとえばリソグラフィ・プロセスおよびオーバレイ許容範囲などに関する、製造可能性規則を含む。初期マスク・レイアウトには、通常、回路レイアウト15によって提供されるものと同じ多角形レイアウトが割り当てられる。典型的には、初期マスク・レイアウト15は、たとえば製造工場(foundry)またはFABで、リソグラファへの入力として提供されることになる、データ・セットとして書き出される。マスク・レイアウト・データ・セットを書き出すプロセスは、しばしばテープアウト17と呼ばれ、テープアウト・データ・セットは、製造工場のリソグラフィ技術者によるさらなる分析および修正20のための入力として、製造工場へ送信することができる。
フォトマスク上の回路イメージは、一部には、フォトマスクを通過する伝送エネルギーと遮断エネルギーとの間の光学的効果により、基板上で精密に再生できない可能性がある。図2を参照すると、マスクの製造に先立ち、初期設計レイアウト15は、通常、こうした光学的効果を反映するために修正される。実際の修正済みマスク・レイアウト25を形成するために、初期マスク設計15を修正するプロセス20は、光学近接補正(optical proximity correction)(OPC)29による修正を含むことが可能であり、オプションで、解像度向上技法(RET)を含むことが可能である。マスク・レイアウトの修正プロセスは、一般に、データ準備(すなわち、「Data−Prep」)20と呼ばれる。光学近接補正(OPC)29は、最先端技術の集積回路製造プログラムによって課せられるイメージ・サイズ制御要件を満たすために必要な、主要な可能化解像度向上技法として採用されてきた。OPC 29は、本来、系統的かつ安定的誤差を補償するための、フォトマスク・パターンの意図的かつ事前対応的な歪みである。OPCは、一般に、規則ベースまたはモデル・ベースとして分類される。規則ベースOPCは、補正可能なイメージング誤差を決定すること、適切なフォトマスク補償を計算すること、および、計算された補償を最終的にフォトマスク・レイアウトに直接適用することによって、実行される。
モデル・ベースOPC(MBOPC)は、リソグラフィ・プロセスを表す数学的モデル21におけるイメージング特徴をキャプチャすること、ならびに、調査中のマスク・パターンによって投影されることになる予測されるオンウェハ(on-wafer)回路イメージを計算し、シミュレートされたイメージ輪郭配置とオリジナル・マスク・パターンの配置とを比較し、所望のオンウェハ・ターゲット・パターン23に対してシミュレートされたイメージの好適な一致が得られるまで、指定された許容範囲および他のマスク・レイアウト規則24内で、マスク・パターンを繰り返し調節することの、概念に基づく。マスク・レイアウト規則24は、通常は回路レイアウトの設計中(たとえば図1)に適用されることのない、マスク・ハウス要件に関する製造可能性規則を含むことができる。通常、オンウェハ・ターゲット・パターン23は、設計者がウェハ上にプリントしようと意図する内容を表す、初期設計レイアウト15と同じ多角形レイアウトを有することに留意されたい。MBOPCはプリント・イメージ中に結果としてより高い忠実度を生じさせるが、MBOPCを使用するためには、規則ベースOPCよりもかなり大量の計算リソースが必要である。
既存の光学リソグラフィ・ツールは、現在、193nm波長のレーザ照射を採用する。所与の波長の照射エネルギーでは、リソグラフィ・プロセスの解像度、すなわち言い換えれば、確実にイメージング可能な最小寸法ρは、通常、以下のレイリー・スケーリング式で表され、
Figure 0005052620
上式で、λは光源の波長であり、NA(開口数)はレンズによって集めることが可能な光量の尺度であり、いわゆるk係数kは、抵抗特性または拡張マスクの使用などの波長または開口数以外のリソグラフィ・プロセスの態様を表す。典型的なk係数値は、約0.7から0.4までの範囲である。しかしながら、解像度支援フィーチャ(SRAF)、交番位相シフト・マスク(altPSM)などの、様々な解像度向上技術(RET)を使用することによって、k係数を低下させ、リソグラフィ・プロセスの解像度を向上させることができる。RETがMBOPCと共に、さらにMBOPCに加えて使用される場合、既存の光学リソグラフィ・ツールを使用して、90nmから45nmまたはそれよりも小さい、かなり小さなフィーチャ・サイズがプリントされている。
現在実施されているようにRETと共にモデル・ベースOPCを使用する場合、通常、以下の5つの主要な多角形データ・セットが含まれる。
1)設計者によってレイアウトされたような回路設計15の多角形レンダリングを含む、MBOPCへの入力として使用される初期マスク・レイアウト15。
2)支援フィーチャまたは交番位相形状などの、解像度向上技法(RET)用に修正された初期入力マスク・レイアウトである、RETレイアウト。
3)所望のオンウェハ多角形、すなわち、所望の歩留まりを得るためにウェハ上で必要な内容を記述する、ウェハ・ターゲット23。通常、ウェハ・ターゲット23は、レイアウトにおいて、初期マスクまたは回路設計レイアウト15と等価である。
4)イメージ・プロセスの数学的モデルでマスク・レイアウトを畳み込むことによって生成される、予測されるオンウェハ多角形である、シミュレートされた輪郭。
5)フォトマスク上に配置されることになる多角形を記述するOPC反復の出力である、修正済みまたは暫定のマスク・レイアウト25。
モデル・ベースOPCは、以下を含む反復最適化プロセスである。
i)通常、RETレイアウトを形成するために修正された、初期マスク・レイアウト15のシミュレートされた輪郭を生成すること。
ii)シミュレートされた輪郭とウェハ・ターゲット23とを比較すること。
iii)シミュレートされた輪郭とウェハ・ターゲット23との間のオフセットを補償するためにRETレイアウトを調節し、それによってマスク・レイアウト25の第1の推定値を生成すること。
iv)1回の反復から次の反復用の入力として暫定マスク・レイアウト25を使用し、このプロセスを反復すること。
このサイクルは、シミュレートされた輪郭とウェハ・ターゲット23との間のオフセットが受け入れ可能値となるまで、または最大反復回数に達するまで、反復される。最終反復の出力が、マスク・ハウスに送信される実際のマスク・レイアウト25になる。
一般的に適用される簡略化は、初期入力マスク・レイアウト15がウェハ・ターゲット23と等しくなるものと想定されることである。
修正済みマスク・レイアウト25は、その後、製造のために、マスク・ハウス、あるいは製造工場またはFAB、またはその両方に送信することができる。しかしながら図3を参照すると、マスクが構築される前に、修正済みマスク・レイアウト25には、通常、マスク・ハウスの要件および機能の従ってマスクの製造可能性を検証し、集積回路が製造されることになる特定FABの詳細なリソグラフィ・プロセスに基づいてマスクのプリント可能性を検証する、他の検証手順30が施される。マスクは、FABあるいはマスク・ハウスまたはその両方によって提供される、詳細なプロセス・モデル33、ならびに、製造可能性規則あるいはプリント可能性規則またはその両方34などの、様々な形で提供することが可能な製造可能性およびプリント可能性基準に従って、誤差がチェックされる(ブロック31)。修正済みマスク・レイアウト25は、使用されることになる特定リソグラフィ・プロセスに関する歩留まり障害のリスクを許容できないほど増加させる可能性がある、規則違反またはプリント可能性誤差について検査される31。修正済みマスク25がプリント可能性および製造可能性の基準に合格した(すなわち、ブロック37で誤差が見つからない)場合、マスクを構築することができる(ブロック35)。しかしながら、マスク誤差が見つかった場合、マスクは、図2のデータ準備手順20などのようにさらに修正しなければならない可能性、または場合によって、図1の手順10などのように再設計しなければならない可能性がある。
さらに最近では、設計段階で製造可能性およびプリント可能性を保証するように、マスク・レイアウトが設計されることが提案されてきた。図4を参照すると、この手順、いわゆる製造に関する設計(DfM)40は、図1の基本設計フロー10の修正である。設計規則11および回路論理12は、基本設計フロー10の場合と同様(図1を参照)、入力として提供されるが、RET形状を含む可能性がある、結果として生じるマスク・レイアウト42は、モデル・ベースのレイアウト最適化手順140によって修正される。モデル・ベースの修正140は、指定された許容範囲およびマスク・レイアウト規則41と共に、入力としてウェハ・ターゲット43を取り、初期プロセス・モデル44を使用して、プロセス・モデル44を使用してイメージ47をシミュレートすることを含む。前述のように、しばしばウェハ・ターゲット43は、初期回路またはマスク設計レイアウト15と同じ多角形レイアウトを有する。シミュレートされた輪郭が設計者に提示され、それによって、より好ましいウェア形状を取得するために、自分のレイアウト形状を調節することができるようになる。これは、たとえば、RETを手動でレイアウト42に適用すること、OPCを実行すること、およびその後、設計者に提示するための輪郭帯を生成するためにプロセス・ウィンドウ・モデル44(すなわち、プロセス変形を認識しているモデル)を使用することによって、実行可能である。別の方法として、プロセス・モデル44は、入力レイアウト、RETレイアウト、マスク・レイアウトから、シミュレートされた輪郭帯への形状変換の全シーケンスを妥当な精度で記述する、コンパクト・モデルを備えることができる。ほとんどの場合、生成された輪郭は寸法障害について評価され、すなわち、マスク検証30(図3)と同様の、レイアウト検証49が行われ、レイアウト修正を促進するために誤差マーカが設計者に提示される。誤差が見つからない(ブロック48で誤差がない)場合、修正済みレイアウトのテープアウト45が実行可能であり、修正済みレイアウトを備えるデータ・セットが製造工場に転送され、入力の初期マスク・レイアウト15(図2)として、データ準備サイクル20(図2)に入る。したがって望ましくは、製造工場のRET/OPC分析20に送信されるモデル・ベースのレイアウト最適化140の出力は、より少ないプリント可能性誤差または製造可能性誤差を示すか、またはこれらを示さないものであるべきである。
しかしながら、この手法にはいくつかの欠点がある。
第1に、リソグラフィ・プロセスおよびウェハ・エッチング・プロセスならびにチップ設計の開発は、通常、最低でも約6ヶ月から5年またはそれ以上の期間にわたって、同時に実行される。この開発期間により、事実上、チップ設計中に、RETおよびOPCソリューションの正確な記述ならびに正確なプロセス・ウィンドウ・モデルを設計者に与えることが不可能となる。パターン化プロセスについての正確な見識を有するという仮定の下に作業しながら、設計者に、不正確なモデルおよびRET/OPCソリューションに対するレイアウトを最適化させることは、破滅的障害につながる可能性があり、製造可能性を良好にするのではなく、最悪にすることになる。
第2に、モデル・ベースのレイアウト最適化の主要な顧客は、外部の製造工場で製造されることになるチップを設計する、工場を持たない設計会社(fabless design house)である。これらの工場を持たない設計会社に対する主な要件は、製造工場のポータビリティを維持すること(すなわち、1つの製造工場から競合する製造工場へとビジネスを移動できること)、さらには、自社製品を複数の製造工場へ同時にアウトソーシングすることである。モデル・ベースのレイアウト最適化を成功させることは、特定製造工場のRET/OPCおよびイメージング・ソリューションの詳細かつ正確なモデルに基づくため、基本的に、最適化されたレイアウトを特定製造工場にリンクさせる。したがって、個々の製造工場それぞれについての詳細なプロセス・モデルを使用してモデル・ベースのレイアウト最適化を実行することは非現実的である。複数の製造工場に関する最悪のケースのプリント可能性障害を記述する、最小共通分母のモデルを使用する代替のソリューションは極端に保守的であり、工場を持たないビジネスに関して協働または競争する複数の製造工場にとっては特に重要である、非競合的レイアウト密度を生じさせることになる。
第3に、設計者がシミュレーション・フィードバックに基づいてオリジナル・レイアウトを操作する場合、設計者は、新しい多角形セットを効果的に導入しており、すなわち、最適化されたレイアウトはもはやオリジナルの設計者の意図を表しておらず、モデル・ベースの最適化を合格させるためには、オリジナルの所期のレイアウトに対して設計者が何を実行しなければならなかったかを表している。この操作済みレイアウトがRET/IOCフローへの入力レイアウトとして導入される場合、設計者の意図を超える多角形の複雑さおよび不確実さが追加されることにより、製造可能性リスクが導入されることになり、DfMが達成しようとするものと正反対の効果を有する可能性がある。
これまで、ウェハ・ターゲットをウェハ・ターゲット帯に置き換えること、およびシミュレートされた輪郭をシミュレートされた輪郭帯に置き換えることによって、通常の不可避のプロセス変化の反映を試みる、OPCアプリケーションの修正について提案してきた。反復的な最適化プロセスは依然として同じであるが、ウェハ・ターゲット帯は、回路歩留まりに必要な形状許容範囲の理解に基づく設計者によって、あるいは、設計規則マニュアルで伝えられる許容範囲を適用することによる入力レイアウトからのOPCツールによって、生成される必要がある。こうしたOPCに対する修正は、プロセス・ウィンドウOPC(PWOPC)と呼ばれており、PWOPCは、戦略的な製造可能性に関する設計(DfM)ソリューションの主な構成要素として提案されてきた。
しかしながら、DfMを使用するPWOPCの実施には、以下のような問題点がある。第1に、設計者によって生成されるウェハ・ターゲット帯は、使用可能なプロセス機能をまったく認識しておらず、すなわち、設計者はそれらがどのようになるかは知っているが、すべてのレイアウト状況において何を求めることが妥当であるかを伝えることはできない。第2に、OPCアプリケーションによって生成されるウェハ・ターゲット帯は、設計者のニーズを認識しておらず、すなわちこの時点で、プロセス制限は良く知られているが、受け入れ可能な許容範囲は知られていない。第3に、いずれのケースでもウェハ・ターゲット帯の生成は規則ベースであり、すなわち、所望のウェハ・ターゲット帯に対する直線近似(rectilinear approximation)を生成するために、一連のサイズ決定動作およびブールが実行される。複雑な規則セットを介してレイアウトを確実に操作することにおける課題点により、第一にモデル・ベースのOPCが実施され、こうした規則ベースの動作に対する依存性の再確立は実質上後退し、かなりの歩留まりリスクが発生することになる。
上記に鑑み、前述の問題点を回避し、複数の製造工場でのマスク検証中に、プリント可能性誤差あるいは製造可能性誤差またはその両方を最小限にするかまたは回避するマスク設計を提供し、工場を持たない設計に好適な効率的な設計プロセスを提供する、ソリューションを製造するための設計が求められている。
米国特許第6578190号明細書
従来技術の問題点および課題点に留意すると、本発明の目的は、集積回路用のリソグラフィ・マスクを設計および製造するために改善された方法を提供することである。
本発明の他の目的は、マスク最適化段階中に、設計者の制約が満たされることを保証する方法を提供することである。
本発明の他の目的は、設計規則に合致し、マスク検証後にレイアウトの再設計を必要としない、データ準備方法を提供することである。
本発明の他の目的は、設計をカスタマイズする必要なしに、マスク・ハウス向けに最適化可能な製造可能性を保証する、より効率的なマスク設計プロセスを提供することである。
本発明は、プロセス・モデルによってシミュレートされたイメージ輪郭によって設計制約が満たされるまで、プロセス・モデルを使用して設計レイアウトが最適化される、集積回路を設計する方法を提供する。設計段階で使用されるプロセス・モデルは、データ準備中にリソグラフィ・マスク・レイアウトの準備で使用されるリソグラフィ・モデルと同様に正確である必要はない。結果として生じるイメージ輪郭は、修正され、最適化された設計レイアウトと共にデータ準備プロセスに含められ、ここでマスク・レイアウトは、たとえばRETおよびOPCを含む、リソグラフィ・プロセス・モデルを使用して最適化される。マスク・レイアウト最適化は、リソグラフィ・プロセス・モデルによってシミュレートされたイメージを設計段階中に生成されたイメージ輪郭と突き合せ、これにより、設計者によって指定された設計および製造可能性制約が、最適化されたマスク・レイアウトによって満たされることが保証される。
本発明の一態様によれば、
1つまたは複数の設計許容範囲を提供するステップと、
レイアウトを提供するステップと、
第1のプロセス・モデルを提供するステップと、
第1のイメージ輪郭が1つまたは複数の設計許容範囲を満たすように、第1の修正済みレイアウトを形成するためにレイアウトを修正するステップであって、第1のイメージ輪郭が、第1のプロセス・モデルを使用して決定された第1の修正済みレイアウトに対応する、修正するステップと、
第2のプロセス・モデルを提供するステップと、
第2のイメージ輪郭が第1のイメージ輪郭とほぼ一致するように、第2の修正済みレイアウトを形成するために第1の修正済みレイアウトを修正するステップであって、第2のイメージ輪郭が、第2のプロセス・モデルによって決定された第2の修正済みレイアウトに対応する、修正するステップと、
を含む、集積回路を設計するための方法が提供される。
好ましくは、イメージ輪郭を決定するためにプロセス・ウィンドウ・モデルが使用され、好ましくは、MBOPCなどのマスク・レイアウト最適化へのターゲット入力として輪郭帯が使用される。
本発明の他の態様によれば、この方法は、コンピュータ・システムにおいて、またはコンピュータ・プログラム製品において、具体化可能である。
本発明の他の態様によれば、本発明に従った方法を、工場を持たない設計会社などの、設計者へのサービスとして提供することができる。
本発明の他の目的および利点は、本明細書から、一部は明白となり一部は明らかとなろう。
新規であると考えられる本発明の特徴および本発明の要素特性については、添付の特許請求の範囲で具体的に示される。図面は単なる例示のためであり、一定の縮尺では示されていない。しかしながら本発明自体は、組織および動作方法の両方に関して、添付の図面に関連して以下に記載される詳細な説明を参照することによって最も良く理解することが可能である。
従来技術の設計フローを示す図である。 従来技術のデータ準備プロセスを示す流れ図である。 従来技術のマスク検証プロセスを示す流れ図である。 提案されたDfMフローを示す図である。 本発明に従ったDfMプロセスにおけるレイアウト最適化方法の好ましい実施形態を示す図である。 本発明に従ったDfMプロセスにおけるデータ準備方法の好ましい実施形態を示す図である。 DfMプロセスの設計段階中のレイアウト形状を示す図である。 DfMプロセスの設計段階中の修正済みレイアウト形状を示す図である。 本発明の実施形態に従ったレイアウト最適化段階中に生成される輪郭帯を示す図である。 本発明のDfMプロセスの諸実施形態を実行するように構成された、コンピュータ・システムおよびコンピュータ・プログラム製品を示す図である。
以下、本明細書に添付された図面を参照しながら以下の考察に言及することによって、本発明についてより詳細に説明する。本明細書の図面は例示の目的で提供されたものであり、したがって一定の縮尺で図示されていないことが明らかであろう。
図5を参照すると、本発明の一実施形態は、回路レイアウトの設計段階50中に、初期の回路レイアウト52に到達するために、通常は様々なソフトウェア・ツール(明確には図示せず)を用いて設計者によって提供および使用される、回路論理12および設計規則11を含む。本発明によれば、リソグラフィ・プロセス・モデル/ツール54、好ましくはプロセス・ウィンドウ・モデル/ツールを使用する、設計段階中に、レイアウト52上で、またはレイアウト52の一部または要素上で、レイアウト最適化350が実行される。プロセス・ウィンドウ・モデル/ツール54は、製造工場またはOPC用の工場によって使用されるモデルと同様とすることができる。プロセス・ウィンドウ・モデル/ツール54は、形状の修正時に設計者を支援するために、オプションでRETおよびOPCツールなどのツールを含むことができる。プロセス・ウィンドウ・モデルについては、たとえば本出願人に譲渡された米国特許第6578190号明細書に記載されている。好ましくは、モデル54はコンパクト(すなわち、OPCに使用される詳細なリソグラフィ・プロセス・モデルよりも高速の)モデルであるが、十分に性格であり、設計者の設計規則に合致し、好ましくはこれを超えることになる、予測されるリソグラフィ・プロセスの代表である。
本発明によれば、プロセス・ウィンドウ・モデル54は、イメージ57、および、とりわけ、ウェハ上にプリントされたイメージをシミュレートするイメージ輪郭51を生成するために、使用される。イメージ輪郭51は、たとえば抵抗しきい値モデルとシミュレートされたイメージ強度との交差部分を決定することによって、当分野で知られているか、または今後開発される、任意の方法によって、決定することができる。好ましくは、使用される可能性の高いプロセス条件範囲にわたって、ある程度の信頼度(たとえば±3σ)でプリントされることが予測される、輪郭帯51が決定される。生成されたイメージ57および輪郭帯51は、イメージ57および輪郭または輪郭帯51とウェハ・ターゲット53とを比較すること、ならびに、与えられた許容範囲内での一致を保証することによって、検証される(ブロック55)。レイアウトのプリント可能性または製造可能性の誤差がある場合(ブロック58)、すなわち許容範囲に違反している場合、レイアウトは、シミュレートされた輪郭または帯51とウェハ・ターゲット53との間の偏差が満たされるまで、または同等に、この偏差が許容範囲内になるまで、設計者によって修正され、レイアウトまたはその関連する部分は検証55に合格する。これは、設計が完了するまで(ブロック46)、レイアウト52の各部分または要素について反復される。設計が完了すると、検証55によって製造可能性について最適化されたレイアウト59は、以下でさらに考察する本発明の実施形態に従って、データ準備60のために製造工場に提供される(たとえばテープアウト45)。本発明によれば、プロセス・ウィンドウ・モデル54によって提供される輪郭帯51は、本発明のデータ準備60にも提供されることに留意されたい。
たとえば、図7に示された初期回路形状71について考えてみる。形状間の間隔Dが所定の最低間隔よりも短い場合、さもなければ、初期回路形状71に基づくマスクを使用してシミュレートされたイメージがプロセス・モデル/ツール54の規則に違反している場合、この形状は設計者によって、またはOPCツールなどの自動化ツールによって、修正可能である。これにより、図8に示された結果として生じる形状72は、設計規則あるいはプロセス・モデル/ツール54を満たすことになる。従来技術の設計プロセスでは、結果として生じる形状72は、その後、データ準備プロセス20(図2)に対する(すなわち、図2の初期レイアウト15への)入力として、製造工場に提供されることになる。従来技術のデータ準備20では、たとえターゲット23がもはや設計者の意図する形状71を表さない形状72を含むことになっても、ウェハ・ターゲット23は、OPCツールが一致させようと試みることになる入力レイアウト15に等しく設定されることに留意されたい。それに反して、本発明によれば、プロセス・ウィンドウ・モデル/ツール54を使用することによって、設計者の規則を満たし、予測されるプロセス条件の範囲にわたって設計者の許容範囲に合致することになるプロセス・モデル/ツールによって、シミュレートされた輪郭帯75が生成される。本発明によれば、これで、設計者の意図する形状を許容範囲内で保持する輪郭帯75、51が、本発明のデータ準備プロセス60に、入力ターゲット53(図5)として提供される。
図6を参照すると、本発明に従って、データ準備60は、プロセス・ウィンドウOPCツール67、およびオプションでRETレイアウト・ツール67への入力として、結果として生じる製造可能レイアウト59を受け取る。加えて、設計レイアウト最適化350中に生成された輪郭または輪郭帯51が、ウェハ・ターゲット入力51としてプロセス・ウィンドウOPC 67に提供される。本発明のデータ準備60で使用されるプロセス・モデル61は、設計レイアウト最適化350中で使用されるモデル54よりも最新であり、より正確であることが予測される。好ましくは、プロセス・モデル61はプロセス・ウィンドウ・モデルである。結果として生じるマスク・レイアウト65は、プロセス・ウィンドウOPC 67が、設計最適化350(図5)中に設計者の許容範囲を満たした入力輪郭ターゲット51、すなわち、輪郭または輪郭帯51と一致するように、マスク・レイアウトを最適化することになるため、設計者の設計規則に違反することなく、製造可能性について最適化されることになる。輪郭がターゲット入力51として提供された場合、プロセス・ウィンドウOPC 67は、許容範囲内、および、設計段階では使用可能でなかった可能性がある、マスク・ハウスからの製造可能性規則を含むことが可能なマスク・レイアウト規則64内で、現在のプロセス・ウィンドウ・モデル61によって生成されたシミュレートされた輪郭と一致するように構成することができる。別の言い方をすれば、シミュレートされた輪郭とターゲット入力51(設計段階50においてレイアウト最適化350中に決定された輪郭または帯)との相違は、許容範囲を満たしていなければならない。輪郭帯がターゲット入力51として提供される場合、プロセス・ウィンドウOPC 67は、シミュレートされた輪郭が提供されたターゲット帯51に収まる(すなわちほぼ一致する)ことを保証するように構成することができる。オプションで、シミュレートされた輪郭が、ターゲット帯を外れてもなお修正済みの許容範囲を満たすことができる、たとえば製造可能性許容範囲を含む、修正済みの許容範囲を提供することができる。
本発明によって提供される主要な利点は、従来技術の方法とは異なり、マスク・レイアウトが少なくとも設計者の設計および製造可能性規則を満たすため、レイアウトを設計者に返信する必要がなくなることである。したがって本発明は、DfMに関する設計ループを閉じる方法を提供する。加えて、データ準備中に、カスタマイズされた設計を必要とすることなく、依然としてオリジナルの設計規則を満たしながら、個々のマスク・ハウス規則に従ってマスク・レイアウトを最適化することができる。
その後、結果として生じる最適化されたマスク・レイアウト65を使用して、回路を製造することができる(ブロック90)。
本発明の一実施形態では、図10を参照すると、レイアウト最適化方法350およびデータ準備方法60は、中央処理ユニット(CPU)1701、少なくとも1つの入力/出力(I/O)デバイス1705(キーボード、マウス、コンパクト・ディスク(CD)ドライブ、など)、ディスプレイ・デバイス1708、コンピュータ読み取り可能コードの読み取りあるいは書き込みまたはその両方が可能なストレージ・デバイス1709、およびメモリ1702を含むが、これらに限定されることのない構成要素を有し、これらすべてが、たとえばバスまたは通信ネットワーク1710によって接続された、デジタル・コンピュータ1700内に実装可能である。本発明は、たとえば、I/Oデバイス1705によって読み取り可能な、テープまたはCD 1706などのコンピュータ読み取り可能媒体上に格納され、ストレージ・デバイス1709あるいはメモリ1702またはその両方に格納された、コンピュータ・プログラム製品として実装可能である。コンピュータ・プログラム製品は、本発明に従ってデジタル・コンピュータ上で方法を実施するための命令を含む。本発明は、完全なハードウェア実施形態、完全なソフトウェア実施形態、またはハードウェア要素およびソフトウェア要素の両方を含む実施形態の形を取ることができる。好ましい実施形態では、本発明は、ファーム・ウェア、常駐ソフトウェア、マイクロコードなどを含むが、これらに限定されることのない、ソフトウェア内に実装される。さらに本発明は、コンピュータまたは任意の命令実行システムによって、あるいはこれらに関連して使用するためのプログラム・コードを提供する、コンピュータ使用可能またはコンピュータ読み取り可能媒体からアクセス可能な、コンピュータ・プログラム製品の形を取ることができる。説明のために、コンピュータ使用可能またはコンピュータ読み取り可能媒体は、コンピュータまたは命令実行システムによって、またはこれらに関連して使用するためのプログラムを含む、格納する、送信する、伝播する、または移送することが可能な、任意の装置、デバイス、または要素とすることができる。媒体は、電子、磁気、光、電磁、赤外線、または半導体の記憶媒体、ネットワーク、あるいは伝播媒体とすることができる。記憶媒体の例には、半導体メモリ、固定記憶ディスク、移動可能フロッピィ・ディスク、磁気テープ、および光ディスクが含まれる。現行の光ディスクの例には、コンパクト・ディスク、すなわち読み取り専用メモリ(CD−ROM)、コンパクト・ディスク、すなわち読み取り/書き込み(CD−R/W)、およびデジタル・ビデオ・ディスク(DVD)が含まれる。本発明は、複数のこうしたコンピュータまたは命令実行システム内でも実装可能であり、これらのアイテムは、物理的に近接して常駐するか、または、広範囲の地理的領域にわたって分散され、ネットワーク・アダプタなどの通信デバイスを介して伝播媒体を通じて通信する、通信ネットワークによって接続されることが可能である。ネットワークの例には、インターネット、イントラネット、およびローカル・エリア・ネットワークが含まれる。伝播媒体の例には、有線、光ファイバ、および無線の伝送が含まれる。ネットワーク・アダプタの例には、モデム、ケーブル・モデム、イーサネット・カード、および無線ルータが含まれる。
本発明の他の実施形態では、本発明に従った方法は、たとえば、コンパクト・プロセス・モデルを提供することによって設計者にレイアウト最適化サービスを提供する、DfMサービスの一部として提供することが可能であり、シミュレートされた結果は、設計者の設計基準および許容範囲を満たす輪郭帯を含む(図5を参照のこと)。結果として生じる輪郭帯は、データ準備サービスにおいてターゲット入力として使用可能であり、プロセス・ウィンドウ・モデルは、製造可能マスク・レイアウトを提供するためにRET/OPCプロセスで使用される(図6を参照のこと)。
前述の諸ステップの順序は単なる例示的なものであることを理解されよう。この点で、1つまたは複数のステップを並行して、異なる順序で、離れた時間に、などで実行することができる。さらに、ステップのうちの1つまたは複数は、本発明の様々な実施形態で実行されない可能性がある。
本発明は、ハードウェア、ソフトウェア、伝播信号、またはそれらの任意の組み合わせで実現可能であり、図示された以外の区分が可能であることを理解されよう。本明細書で説明された方法を実施するように適合された、任意の種類のコンピュータ/サーバ・システムまたは他の装置が好適である。典型的な組み合わせのハードウェアおよびソフトウェアは、ロードおよび実行された場合、本明細書で説明されたそれぞれの方法を実施するコンピュータ・プログラムを備えた、汎用コンピュータ・システムとすることができる。別の方法として、本発明の機能タスクのうちの1つまたは複数を実施するために特化されたハードウェアを含む、特定用途向けコンピュータを利用することができる。本発明は、本明細書で説明された方法の実装を可能にするそれぞれの機能のすべてを備え、コンピュータ・システム内にロードされた場合、これらの方法を実施することが可能な、コンピュータ・プログラム製品または伝播信号内に組み込むことも可能である。コンピュータ・プログラム、伝播信号、ソフトウェア・プログラム、またはソフトウェアは、このコンテキストでは、情報処理機能を有するシステムに、直接、あるいは、(a)他の言語、コード、または表記法への変換、あるいは、(b)異なる材料形状での再生、またはその両方のうちの、いずれかまたは両方の後に、特定機能を実行させることが意図された命令セットの、任意の言語、コード、または表記法での、任意の式を意味する。さらに、本発明の教示は、加入または料金ベースでビジネス方法として提供することが可能であることを理解されたい。たとえば、システムあるいはコンピュータまたはその両方は、本明細書で説明された機能を顧客に提供するサービス・プロバイダによって、作成、保守、サポート、あるいは展開すること、またはそのすべてが可能である。すなわちサービス・プロバイダは、前述の機能を提供することができる。
本発明について、上記で概説した特定の実施形態に関連して説明してきたが、当業者であれば、多くの代替、修正、および変形が明らかとなることは明白である。したがって、前述の本発明の諸実施形態は例示的なものであり、限定的なものではない。以下の特許請求の範囲に定義された本発明の趣旨および範囲を逸脱することなく、様々な変更が可能である。
本発明は、半導体集積回路の製造に有用であり、具体的には、集積回路製造用のリソグラフィ・マスクの設計および製造に有用であり、さらに具体的には、製造可能性についてマスク設計を最適化するために有用である。

Claims (13)

  1. 1つまたは複数の設計許容範囲(11)を提供するステップと、
    設計レイアウト(52)を提供するステップと、
    第1のプロセス・モデル(54)を提供するステップと、
    前記設計レイアウト(52)および前記第1のプロセス・モデル(54)を用いて、ウェハ上にプリントされたイメージをシミュレートする第1の輪郭帯(51)を生成するステップと
    前記第1の輪郭帯(51)が前記1つまたは複数の設計許容範囲(11)を満たすように、前記設計レイアウト(52)を修正して修正済み設計レイアウト(59)を形成するステップと、
    前記第1のプロセス・モデル(54)よりも光学近接補正の精度が高い第2のプロセス・モデル(61)を提供するステップと、
    前記修正済み設計レイアウト(59)および前記第2のプロセス・モデル(61)を用いて、製造可能なマスク・レイアウト(65)を生成するステップと
    前記マスク・レイアウト(65)および前記第2のプロセス・モデル(61)を用いて、ウェハ上にプリントされたイメージをシミュレートする第2の輪郭帯を生成するステップと
    1つまたは複数の製造可能性許容範囲(64)内で、前記第2の輪郭帯が前記第1の輪郭帯(51)と一致するように、前記マスク・レイアウト(65)を修正して修正済みマスク・レイアウト(65)を形成するステップと
    を含む、集積回路を設計するための方法。
  2. 前記第1のプロセス・モデル(54)がプロセス・ウィンドウ・モデルを備え、前記第1の輪郭帯(51)が、使用される可能性の高いプロセス条件の範囲に対応する輪郭帯を備える、請求項1に記載の方法。
  3. 前記マスク・レイアウト(65)を修正するステップが、光学近接補正を実行するステップを含む、請求項1に記載の方法。
  4. 前記マスク・レイアウト(65)を修正するステップが、解像度向上技術を含む、請求項1に記載の方法。
  5. 前記第2のプロセス・モデル(61)がプロセス・ウィンドウ・モデルを備える、請求項1に記載の方法。
  6. 前記第1の輪郭帯(51)および前記修正済み設計レイアウト(59)を備えるテープアウトを実行するステップと、前記テープアウトを、前記マスク・レイアウト(65)を修正するステップに入力として提供するステップとを、さらに含む請求項1に記載の方法。
  7. 前記修正済みマスク・レイアウト(65)に従ってマスクを構築するステップをさらに含む、請求項1に記載の方法。
  8. 集積回路を設計するためコンピュータ・プログラムであって、コンピュータ
    1つまたは複数の設計許容範囲(11)を提供するステップと、
    設計レイアウト(52)を提供するステップと、
    第1のプロセス・モデル(54)を提供するステップと、
    前記設計レイアウト(52)および前記第1のプロセス・モデル(54)を用いて、ウェハ上にプリントされたイメージをシミュレートする第1の輪郭帯(51)を生成するステップと
    第1のイメージ輪郭(51)が前記1つまたは複数の設計許容範囲(11)を満たすように、前記設計レイアウト(52)を修正して修正済み設計レイアウト(59)を形成するステップと、
    前記第1のプロセス・モデル(54)よりも光学近接補正の精度が高い第2のプロセス・モデル(61)を提供するステップと、
    前記修正済み設計レイアウト(59)および前記第2のプロセス・モデル(61)を用いて、製造可能なマスク・レイアウト(65)を生成するステップと
    前記マスク・レイアウト(65)および前記第2のプロセス・モデル(61)を用いて、ウェハ上にプリントされたイメージをシミュレートする第2の輪郭帯を生成するステップと
    1つまたは複数の製造可能性許容範囲(64)内で、前記第2の輪郭帯が前記第1の輪郭帯(51)と一致するように、前記マスク・レイアウト(65)を修正して修正済みマスク・レイアウト(65)を形成するステップと
    を実行させる、コンピュータ・プログラム。
  9. 前記第1のプロセス・モデル(54)がプロセス・ウィンドウ・モデルを備え、前記第1の輪郭帯(51)が、使用される可能性の高いプロセス条件の範囲に対応する輪郭帯を備える、請求項に記載のコンピュータ・プログラム。
  10. 前記マスク・レイアウト(65)を修正するステップが、光学近接補正を実行するステップを含む、請求項に記載のコンピュータ・プログラム。
  11. 前記マスク・レイアウト(65)を修正するステップが、解像度向上技術を含む、請求項に記載のコンピュータ・プログラム。
  12. 前記第2のプロセス・モデル(61)がプロセス・ウィンドウ・モデルを備える、請求項に記載のコンピュータ・プログラム。
  13. 前記第1のプロセス・モデル(54)がコンパクト・プロセス・モデルである、請求項に記載のコンピュータ・プログラム。
JP2009534945A 2006-10-31 2007-10-31 製造可能性プロセスのための閉ループを設計するための方法、およびコンピュータ・プログラム Expired - Fee Related JP5052620B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/554,904 US7624369B2 (en) 2006-10-31 2006-10-31 Closed-loop design for manufacturability process
US11/554,904 2006-10-31
PCT/US2007/083145 WO2008055195A2 (en) 2006-10-31 2007-10-31 Closed-loop design for manufacturability process

Publications (2)

Publication Number Publication Date
JP2010508549A JP2010508549A (ja) 2010-03-18
JP5052620B2 true JP5052620B2 (ja) 2012-10-17

Family

ID=39345068

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009534945A Expired - Fee Related JP5052620B2 (ja) 2006-10-31 2007-10-31 製造可能性プロセスのための閉ループを設計するための方法、およびコンピュータ・プログラム

Country Status (5)

Country Link
US (1) US7624369B2 (ja)
JP (1) JP5052620B2 (ja)
KR (1) KR20090077789A (ja)
TW (1) TW200834365A (ja)
WO (1) WO2008055195A2 (ja)

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7149999B2 (en) * 2003-02-25 2006-12-12 The Regents Of The University Of California Method for correcting a mask design layout
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
US7730432B1 (en) 2005-03-30 2010-06-01 Tela Innovations, Inc. Method and system for reshaping a transistor gate in an integrated circuit to achieve a target objective
US8490043B2 (en) 2005-05-06 2013-07-16 Tela Innovations, Inc. Standard cells having transistors annotated for gate-length biasing
US7441211B1 (en) 2005-05-06 2008-10-21 Blaze Dfm, Inc. Gate-length biasing for digital circuit optimization
US7921383B1 (en) * 2006-01-11 2011-04-05 Olambda, Inc Photolithographic process simulation including efficient result computation for multiple process variation values
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US7932545B2 (en) 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US7908578B2 (en) 2007-08-02 2011-03-15 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US7943967B2 (en) 2006-03-09 2011-05-17 Tela Innovations, Inc. Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US7979829B2 (en) 2007-02-20 2011-07-12 Tela Innovations, Inc. Integrated circuit cell library with cell-level process compensation technique (PCT) application and associated methods
US8286107B2 (en) 2007-02-20 2012-10-09 Tela Innovations, Inc. Methods and systems for process compensation technique acceleration
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US8112724B2 (en) * 2007-03-20 2012-02-07 Sony Corporation Method of designing semiconductor integrated circuit, apparatus for designing semiconductor integrated circuit, recording medium, and mask manufacturing method
US8020120B2 (en) * 2007-10-01 2011-09-13 International Business Machines Corporation Layout quality gauge for integrated circuit design
NL1036189A1 (nl) * 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US20110173577A1 (en) * 2008-02-01 2011-07-14 International Business Machines Corporation Techniques for Pattern Process Tuning and Design Optimization for Maximizing Process-Sensitive Circuit Yields
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
KR101739709B1 (ko) 2008-07-16 2017-05-24 텔라 이노베이션스, 인코포레이티드 동적 어레이 아키텍쳐에서의 셀 페이징과 배치를 위한 방법 및 그 구현
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US8627264B1 (en) * 2009-05-29 2014-01-07 Altera Corporation Automated verification of transformational operations on a photomask representation
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US8619236B2 (en) 2010-11-24 2013-12-31 International Business Machines Corporation Determining lithographic set point using optical proximity correction verification simulation
US8577489B2 (en) 2011-01-26 2013-11-05 International Business Machines Corporation Diagnosing in-line critical dimension control adjustments using optical proximity correction verification
US8499260B2 (en) 2011-01-26 2013-07-30 International Business Machines Corporation Optical proximity correction verification accounting for mask deviations
US8423923B2 (en) 2011-07-20 2013-04-16 United Microelectronics Corp. Optical proximity correction method
US8601407B2 (en) * 2011-08-25 2013-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Geometric pattern data quality verification for maskless lithography
US8810785B2 (en) 2011-08-26 2014-08-19 United Microelectronics Corp. Mask inspecting method
US8486587B2 (en) 2011-12-20 2013-07-16 United Microelectronics Corp. Method for correcting layout pattern and method for manufacturing photomask
US8962221B2 (en) 2012-05-14 2015-02-24 United Microelectronics Corp. Mask and method of forming pattern by using the same
US8829610B2 (en) 2012-05-15 2014-09-09 United Microelectronics Corp. Method for forming semiconductor layout patterns, semiconductor layout patterns, and semiconductor structure
US8806391B2 (en) 2012-07-31 2014-08-12 United Microelectronics Corp. Method of optical proximity correction according to complexity of mask pattern
US8741507B1 (en) 2013-01-16 2014-06-03 United Microelectronics Corp. Method for separating photomask pattern
US8701052B1 (en) 2013-01-23 2014-04-15 United Microelectronics Corp. Method of optical proximity correction in combination with double patterning technique
US8627242B1 (en) 2013-01-30 2014-01-07 United Microelectronics Corp. Method for making photomask layout
US8661372B1 (en) 2013-02-04 2014-02-25 United Microelectronics Corp. Optical proximity correction method
US8977988B2 (en) 2013-04-09 2015-03-10 United Microelectronics Corp. Method of optical proximity correction for modifying line patterns and integrated circuits with line patterns modified by the same
US9009633B2 (en) 2013-05-06 2015-04-14 United Microelectronics Corp. Method of correcting assist feature
US9230812B2 (en) 2013-05-22 2016-01-05 United Microelectronics Corp. Method for forming semiconductor structure having opening
CN103345550B (zh) * 2013-06-28 2016-07-06 上海和达汽车配件有限公司 一种汽车仪表板横梁的减重优化方法
US8745547B1 (en) 2013-07-11 2014-06-03 United Microelectronics Corp. Method for making photomask layout
US8930858B1 (en) 2013-11-27 2015-01-06 United Microelectronics Corp. Method for optical proximity correction
KR102227127B1 (ko) * 2014-02-12 2021-03-12 삼성전자주식회사 리소그래피 시뮬레이션을 이용한 디자인룰 생성 장치 및 방법
CN105574293B (zh) * 2016-02-01 2019-12-03 中国科学院微电子研究所 Euv设计规则、光源和掩模的联合优化和成像建模方法
KR102434991B1 (ko) * 2016-04-26 2022-08-22 삼성전자주식회사 집적 회로 및 집적 회로의 설계 방법
US10083272B2 (en) 2016-08-12 2018-09-25 International Business Machines Corporation Integrated circuit design layout optimizer based on process variation and failure mechanism
US10394116B2 (en) 2017-09-06 2019-08-27 International Business Machines Corporation Semiconductor fabrication design rule loophole checking for design for manufacturability optimization
US10621295B2 (en) 2018-04-10 2020-04-14 International Business Machines Corporation Incorporation of process variation contours in design rule and risk estimation aspects of design for manufacturability to increase fabrication yield
US11061318B2 (en) * 2019-02-28 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography model calibration
US11574103B2 (en) 2020-01-31 2023-02-07 International Business Machines Corporation Addressing layout retargeting shortfalls

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6578190B2 (en) 2001-01-11 2003-06-10 International Business Machines Corporation Process window based optical proximity correction of lithographic images
KR100576752B1 (ko) * 2001-10-09 2006-05-03 에이에스엠엘 마스크툴즈 비.브이. 2차원 피처모델 캘리브레이션 및 최적화 방법
US7363099B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US20050114822A1 (en) 2003-03-03 2005-05-26 Valery Axelrad Integrated scheme for yield improvement by self-consistent minimization of IC design and process interactions
US7100134B2 (en) 2003-08-18 2006-08-29 Aprio Technologies, Inc. Method and platform for integrated physical verifications and manufacturing enhancements
US7043712B2 (en) * 2003-09-09 2006-05-09 International Business Machines Corporation Method for adaptive segment refinement in optical proximity correction
US7342646B2 (en) * 2004-01-30 2008-03-11 Asml Masktools B.V. Method of manufacturing reliability checking and verification for lithography process using a calibrated eigen decomposition model
US7487490B2 (en) 2004-03-30 2009-02-03 Youping Zhang System for simplifying layout processing
US20050229130A1 (en) 2004-04-07 2005-10-13 Aprio Technologies, Inc. Method and apparatus for selective, incremental, reconfigurable and reusable semiconductor manufacturing resolution-enhancements
KR20060010431A (ko) * 2004-07-28 2006-02-02 삼성전자주식회사 정보 저장 매체, 기록/재생 장치 및 기록/재생 방법
US7882456B2 (en) 2005-04-09 2011-02-01 Cadence Design Systems, Inc. Optical lithography correction process

Also Published As

Publication number Publication date
KR20090077789A (ko) 2009-07-15
WO2008055195A3 (en) 2008-08-07
WO2008055195A2 (en) 2008-05-08
US7624369B2 (en) 2009-11-24
JP2010508549A (ja) 2010-03-18
TW200834365A (en) 2008-08-16
US20080127029A1 (en) 2008-05-29

Similar Documents

Publication Publication Date Title
JP5052620B2 (ja) 製造可能性プロセスのための閉ループを設計するための方法、およびコンピュータ・プログラム
US11036126B2 (en) Semiconductor fabrication design rule loophole checking for design for manufacturability optimization
US7512927B2 (en) Printability verification by progressive modeling accuracy
US7318214B1 (en) System and method for reducing patterning variability in integrated circuit manufacturing through mask layout corrections
US7735053B2 (en) Correction method and correction system for design data or mask data, validation method and validation system for design data or mask data, yield estimation method for semiconductor integrated circuit, method for improving design rule, mask production method, and semiconductor integrated circuit production method
US7925486B2 (en) Computer-implemented methods, carrier media, and systems for creating a metrology target structure design for a reticle layout
US7886262B2 (en) System and method of maximizing integrated circuit manufacturing yield with fabrication process simulation driven layout optimization
US9418195B2 (en) Layout content analysis for source mask optimization acceleration
US11360383B2 (en) Mask optimization process
TWI240307B (en) Correction method and verification method for pattern size using OPC, mask and semiconductor device made by using the correction method, and system and recording medium executing the correction method
TW202121062A (zh) 基於缺陷機率分佈和臨界尺寸變異的微影技術改進
EP2113109B1 (en) Simulation site placement for lithographic process models
US20190286784A1 (en) Method for generating layout diagram including wiring arrangement
US20230153514A1 (en) Integrated circuit device design method and system
CN116324617A (zh) 用于掩模综合的随机感知光刻模型
US20230205974A1 (en) Semiconductor Process Technology Assessment
US20230014110A1 (en) Variable tracks and non-default rule routing
TW202129396A (zh) 利用光罩製作模型於微影光罩之修正
US8619236B2 (en) Determining lithographic set point using optical proximity correction verification simulation
Reiger et al. TCAD physical verification for reticle enhancement techniques

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100701

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120124

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120327

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20120327

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120328

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120703

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20120703

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120724

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150803

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees