TW200834365A - Closed-loop design for manufacturability process - Google Patents

Closed-loop design for manufacturability process Download PDF

Info

Publication number
TW200834365A
TW200834365A TW096137535A TW96137535A TW200834365A TW 200834365 A TW200834365 A TW 200834365A TW 096137535 A TW096137535 A TW 096137535A TW 96137535 A TW96137535 A TW 96137535A TW 200834365 A TW200834365 A TW 200834365A
Authority
TW
Taiwan
Prior art keywords
layout
image
model
process model
providing
Prior art date
Application number
TW096137535A
Other languages
English (en)
Inventor
Ioana C Graur
Geng Han
Scott M Mansfield
Lars W Liebmann
Original Assignee
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ibm filed Critical Ibm
Publication of TW200834365A publication Critical patent/TW200834365A/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions

Description

200834365 九、發明說明: 【發明所屬之技術領域】 本發明有關積體電路的製造及在晶圓上製造積體 電路的微影方法。尤其,本發明有關積體電路製造之 微影遮罩的設計及製造,以及針對可製造性最佳化遮 罩設計的方法。
【先前技術】 積體祕通常料學微影技術㈣造,其中能量 束私光罩(photomaskX等同於,遮罩(mask)或光罩 =cle))上的積體電路圖像或圖案傳送至半導體晶圓 感光性絲,形成(等同於,印刷或轉印)為 ί二木化材料覆盍於基板上。對於形成於基板上的 印:ί層,也許有一或多個遮罩用以於晶圓上形成 。圖案通常表示為遮罩上的多邊形。然而, t所皆知之習知技術,於遮罩圖案轉印至晶圓的 期間,餘各種光學效應,轉印至晶圓或成 ,在日曰圓上的遮罩多邊形將會變得平滑而失真。因 ㈣:路糾者纽§t魏佈騎,轉顧及微影製 私的锊性,且必須顧及功能與效能上的需求。 體電=A:1C可一般地瞭解使用微影製程製造積 200834365 ^圖ΙΑ,在電路設計程序流程一間,電路 没计者將會整合-組設計規則u 局15之多邊形,其對應於所* 卿Μ皁忡 11 、斤而电路佈局層級。此規則 也许可表不為例如二維準則的查詢表,並可包括有 關需求的準則,例如覆蓋容差、臨界 ㈣ dimension ; CD)、多邊形形狀間的最小及最大。
=性地’此規則可以環繞所需設計形狀的容差邊帶 來表示。料者將會結合電路邏輯12的需求斑設計規 則η,以達成初始電路佈局15,其通常包含多邊形形 狀的二維佈局。設計規ml騎包括容差與限制及其 他關於電路裝置之效能及電氣特性的準則,以及例如 ’微影製程及覆蓋容差的可製造性規則。初始遮罩 佈局通常指派有與電路佈局丨5所提供的相同多邊形 佈局。通常,初始遮罩佈局15寫出成為㈣組,其將 提供例如晶圓代工廠(foundry)或製造廠(FAB)的微影 者作為輸人。遮罩佈局資料組的寫出程序通常稱為佈 局輸出(taPeout)17,然後佈局輸出資料組可傳送至晶 圓代工廠作為輸入,以由晶圓代工廠微影工程師進一 步分析及修正20。 光罩上的電路圖像並無法精確地再現於基板上, 部分是因為通過光罩傳送及阻擋能量間的光學效應。 參考圖1B,在製造遮罩之前,針對這類光學效應通常 先修正初始設計佈局15。修正初始遮罩設計15以形 200834365 成實際、修正的遮罩佈局25之程序20可包含以光學 鄰近修正(optical proximity correction ; 〇PC)29 進行的 修正’且視情況包含解析度提高技術(resolution enhancement technique ; RET)27。修正遮罩佈局的程 序一般稱為資料準備(等同於,「資料預備 (Data_PreP)」)2〇。光學鄰近修正(OPQ29已被利用為 實行解析度提高技術之關鍵,其為符合最先進積體電 路產品程式所添加之圖像尺寸控制必要條件。OPC 29 貫質上是刻意及預先對光罩圖案所做出的失真形式, 以對系統性及穩定性誤差做補償。一般將〇PC分類成 規則基礎(rules-based)或模型基礎(m〇del-based)。規則 基礎OPC藉由以下方式來完成:決定可修正的成像誤 差、計算適當的光罩補償、及最後將所計算的修正直 接應用於光罩佈局。 模型基礎 OPC(Model_based OPC ; MBOPC)以捕 捉表示微影製程的數學模型21之成像特性的概念為 基礎,且計算於研究下被遮罩圖案所預測的預期晶圓 上電路圖像,比較模擬的圖像輪廓配置與原始遮罩圖 案的邊緣配置,且反覆調整遮罩圖案,直到模擬的圖 像與所需的晶圓上目標圖案23在指定容差及其他遮 罩佈局規則24内恰好匹配。遮罩佈局規則24包括關 於遮罩廠需求的可製造性規則,其通常不會應用於電 路佈局設計期間(如圖1A)。請注意,晶圓上目標圖案 200834365 23通常具有如初始設計佈局15的相同多邊形佈局, 其代表axa十者打算在晶圓上印刷的佈局。當MB〇pc 產生較真貫的印刷圖像時,使用MBOPC將比規則基 礎OPC明顯需要更多的計算資源。 現有的光學微影工具目前採用193mn波長的雷射 照明。對於特定照明能量的波長,微影製程的解析度, _ 或換句話說,能夠確實成像的最小尺寸p,通常以瑞 利刻度方程式(Rayleigh scaling equation)來表示··
kX P =—-ΝΑ 其中λ是光源的波長,να(數值孔徑)是透鏡所收 集光量的測量值,及所謂的k-因子k代表除了波長或 數值孔徑之外,微影製程的面向,如光阻特性或增強 _ 遮罩的使用。典型的k-因子值範圍由約0.7至0.4。然 而,藉由使用各種解析度提高技術(RET),例如次解析 度輔助特徵(sub_resolution assist features ; SRAFs)、交 替相移遮罩(alternating phase-shift masks ; altPSM),可 能會減小k-因子,以改善微影製程的解析度。當與 MBOPC —起使用RET,且除了 MBOPC外還使用RET 時,現有的光學微影工具將使用於印刷甚至更小的特 徵尺寸(從90nm至45nm或更小)。 200834365 的模型基礎0Pc與RET通常包含五個 主要的多邊形資料組: 、甬$勺i5 ’其係用作mb〇pc的輸入, 通节0括如&計者安排之電路設計15的多邊形; 料的其為目為解析度提高肋(RET)而 ^改的减輸人鮮佈局,例如辅助特徵或交替相形 :屬料23 ’其描述所需的晶圓上多邊形,即 ;=:所需上?需者。通常在佈局中,晶圓目 k 23相於初始遮罩或電路設計佈局υ . 罩上置於光 模型基礎OPC是句 序 匕3以下動作的反覆最佳化程 成爾Μ的顯赫,通常修正以形 化I模擬輪廓氨晶圓目標23 ·, 调整/切以補償赛麟獻 的偏移,因此產生料料25的第-估計^ 使用-個重複的臨時料料2 複的輸入來重複此程序。 ~卜《 -10- 200834365 是接舌、> m 1…m取a PV主複數量為 5 ο 谡的輪出成為傳送至遮罩廠的實際遮辜矽 移達到絲縣及⑽㈣3間的偏 ^文的值,或直到用盡最大的重 止° 一々i “ 局25 ° 身又簡化應用是假設办余·#A遽辜#局75等於 晶圓目標23。 哥 J後可將修正的遮罩佈局25傳送至遮罩廠及/或 曰曰圓代工廠或‘造廠進行製造。然而,參考圖ic,在 C罩建立%,修正遮罩佈局25通常經歷進一步的確認 過程30,其根據遮罩廠的需求及能力檢驗遮罩的可製 造性,及基於製造積體電路之特定製造廠的詳細微影 製程確認遮罩的可印刷性。根據可以各種形式提供的 可製造性及可印刷性準則檢查遮罩的誤差(方塊31), 這些準則如製造廠及/或遮罩廠提供的詳細製程模型 33及可製造性及/或可印刷性規則34。檢查31修改的 遮罩佈局25是否違反規則,或使用特定微影製程是否 可能增加良率失敗風險之可印刷性誤差。如果修正的 遮罩25通過可印刷性及可製造性準則(即在方塊37中 找不到誤差),則可建立遮罩(方塊35)。然而,如果有 發現遮罩誤差,則必須如圖1B的資料預備過程2〇, 進一步修改遮罩,或如圖1A的過程1〇,可重新設計 -11 - 200834365 遮罩。 近來,已提出在設計階段設計確保可製造性及可 印刷性的遮罩佈局。參考0 2,此過程(所謂的製造設 计(DfM)’為圖丨人基本設職料修正。提供設計 規則11及電路_ 12作騎人(如同基本設計流程 見圖1A》,但所得之遮罩佈局42(其可包括RET形 枯係利用权型基礎佈局最佳化過程14〇修正。模型基 ΐΐί正14(3採用如輸人—晶圓目標43和指定容差及遮 ^佈ί規則41,及使用-初始製程模型44,且包含利 43輪型44模擬一圖像47。如上述,晶圓目標 、真^Γ具有與⑻始電路或遮罩設計佈局15相同的多 :鈞二將模擬輪廓呈現給設計者,藉此讓設計者 ί葬Γ幻、佈局形狀,以得到更合適的晶圓形狀。這 局4曰2 U方式來完成··例如,顧手邊的RET於佈 程變化的然後使用製程窗模型44(即瞭解製 呈規仏二、)以產生輪廓邊帶(c〇ntour bands),以便 其確=;、製:模型44包含一精簡模型, 佈局轉變广田t輸人佈局、RET佈局、遮罩 的情況中,評個形狀轉。在大部分 類似於遮罩驗證尺寸失敗(即佈局驗證49) 计者’促使其進行佈局修正 ^見…又 (在方塊48中、、々古^呈、 如果/又有找到任何誤差 α有决幻’職行修正#局的佈局 -12- 200834365 (tapeout)45,然後將包含修正佈局的資料組送給晶圓 代工廠,且作為輸入(如初始遮罩佈局15(圖ib))進入 貝料預備循環20(圖1B)。因此,較佳地,送至晶圓代 工廠的REIVOPC分析2〇之模型基礎佈局最佳化14〇 二的輸出應會呈現較少或沒有任何可印刷性或可製造性 誤差。 然而,此方法有若干缺點。 百先’微影及晶圓钕刻製程的發展及晶片設計通 常由約最少6個月至5年或以上的週期期間同時發 生。此發展時間範圍實際上不可能在晶片設計期間, 提供設計者RET及QPC解蝴法的準销述以及準 嫁的製程S翻。當設計者叫本身對_ 具有準確洞悉的假t找作的同時,於不準確模^及 RET/OP C解決辦法的最佳化佈局將導致惨列的 且將使可製造性變得更糟而非更佳。 、 、 其次,模型基礎佈局最佳化的主要客戶為無制、告 設備之設計廠’其設計將於外面晶圓代n = 片。這些熙製造a又備之設計廠的關鍵需求在於^垆曰 圓代工廠的機動性(即,使其從一個晶圓代工廠胗 移至一競爭晶圓代工廠的能力),或甚至是妒其^心 時外包給多個晶圓代工廠。模型基礎饰局‘佳化:: -13- 200834365 =4寸疋阳圓代工廠之RET/OPC及成像解決辦法 白!ΐ::準確模型為基礎,因此最佳化佈局基本上與 特定曰β圓代工廠有關。因此,針對每—個別的晶圓代 H使_|胃的製_型來執行模型基祕局最佳化 將不可仃。使用描述多個晶圓代工廠之最糟情況下可 印刷.〖生失敗之「最小公分母(least common de^mmator)」模型的替代解決辦法將顯得過度保守, 且冒產出雜競爭力的佈局密度,這對於許多晶圓代工 廠合作或競爭無製造設備之晶圓廠生意尤其重要。 第二,當設計者基於模擬反饋來處理原始佈局 時,設計者可有效地採用新的多邊形組,即最佳化佈 局不再為原始設計者的用意,而是代表設計者必須於 原始計劃佈局做修正,以便使設計通過模型基礎最佳 化。如果採用這運用的佈局作為RET/〇pc流程的#入 厣局,凌駕設計者用意所加入的多邊形複雜性及不確 定性將造成可製造性風險,且可能會有確切
DfM想要 達成的反效果。 已提出OPC應用的修正,其試著藉由利用磊屬沒 ♦示邊▼取\\晶圓目標反知\%模擬輪廓邊帶取民模擬輪 廣’以解決一般及不可避免的製程變異。反覆的最佳 化程序保持一樣,但馮#尽#邊夢必須由設計者基於 所需電路良率之形狀容差的瞭解或藉由應用設計規則 -14- 200834365 ^冊中容差傳達於來自居之OPC工具產生。此 ;、子於〇PC的修正稱為製程窗〇pc(process window 0pC ; PWOPC),且PW0Pc已被提出作為可製造性 (DfM)解決辦法之策略設計的關鍵要素。 然而’履行含有DfM的PWOPC有以下幾個困 難:首先,設計者產生的晶圓目標邊帶完全未注意到 可用的製程能力,即設計者雖然知道自己想要的,但 沛热法分辨所有佈局情況中可以合理要求的是什麼。 其次,利用OPC應用產生的晶圓目標邊帶未注意到設 计者的需求,即此時,皆可知製程限制,但不知可接 文之容差。第三,在任一情況中產生晶圓目標邊帶均 為規則基礎,即執行一系列尺寸運算及布林值,以產 生所需晶圓目標邊帶的直線近似值。透過複雜規則組 在可靠地運用佈局之挑戰首先係驅使模型基礎〇pC 之執行,且重建一個此規則基礎操作的相依性實際上 在走倒退路,因而引起極大的良率風險。 鑑於上述,需要一種避免上述困難之製造解決辦 法的設計,且提供在多個晶圓代工廠的遮罩驗證期間 能減小或避免可印刷性及/或可製造性誤差的遮罩設 4,並&供適於幾製造設計業者一個有效設計程序。 【發明内容】 -15- 200834365 因先前技術的問題及缺點,士义 供毁計 ,,,本發明之目的在於提 t及衣u貝體甩路之微影遮罩的改良方法。 本發明之另一目的在於提供一種確保設 制在遮罩最佳化階段㈣得辦足的方法°。°、
在濟明之"^目的在於提供—種符合料規則且 遽罩驗證後*需要$新設計佈局的資料準備方法。 <本發明之又另-目的在於提供—種更有效的遮罩 =計程序,以箱可針對遮罩廠進行最佳彳t,而麵 自訂設計的可製造性。 …、 制本發明揭示一種設計積體電路的方法,其中使用 2裎模型來最佳化設計佈局,直到利用製程模型所模 擬的圖像輪廓滿足設計限制。設計階段中所用的製程 模型不必像在資料預備期間準備微影遮罩佈局中所用 2¼影模型一樣準確。接著將所得圖像輪廓與修正的 最佳化設計佈局一起納入資料預備程序,其中使用如 包括RETT及OPC的微影製程模型來最佳化遮罩佈 局。遮罩佈局最佳化使微影製程模型模擬的圖像與在 設計階段期間產生的圖像輪廓匹配,因而確保最佳的 遮罩佈局滿足設計者指定的設計及可製造性限制。 -16- 200834365 、根據本發明L,提供—種設計積體電 方法,該方法包含以下步驟: 提供一或多個設計容差; 提供一佈局;
提供一第一製程模型; 修正此佈局以形成一第一修正佈局,使得第 圖 =廓滿足-或多個設計容差,其中該等第 鱗應於細第-製賴型所決定的第-修正佈局輪 ^供一第二製程模型;以及 ° t正第一修正佈局以形成-第二修正佈局 圖像輪廓實質地匹配該等第-圖像輪廓,其中‘ 等第 正佈局 圖像輪鱗應於第二製鋪型所決定之 第二修 較佳地,使用製 邊帶較佳地用作逾篇 輪入。 、、、 長窗模型決定圖像輪廓,及輪、 饰局最佳化·(如MBOPC)的目钱 根據本發明之另 系統或電腦程式產=面向,此方法可具體化於電麟 根據本發明之 法提供設計者’可將根據本發明之方 …、衣造設備的設計廠)作為服務。 -17- 200834365 本發明之其他目的及優點從本說明書中將在某種 程度上明白及瞭解。 /、 【實施方式】 本發明現將藉由參考以下討論並參照本申請案隨 =圖式來更詳細說明。請注意,僅為解^目 i、本申請案圖式,因*各圖式並未按比例績製。 茶考圖3,本發明之一具體實施例包括 ==階段5_,設計者通錢助各種軟體工 ;為^晰而未顯示),提供及使用電路邏輯12及設 局最九二到達初始電路佈局52。根據本發明,佈 佈^ 係在设計階段期間執行於佈局52上,或 :局2的-部分或元件,且佈局最 $ 製程模型/工呈54,鲈社a… 從用U於 窗模型/工-罝二 為製程窗模型/工具。製程 廠之模型^用於0心晶圓代工廠或製造 者修改形狀的工具,、如^^4〇=意包括辅助設計 即,比用於模型54較佳地為精簡的(亦 但卻十分準確且能代更快速)模型’ 計規則的預期微影製程付s及較佳地超過設計者之設 -18- 200834365 更=ΐΐ*明窗模型54係用於產生圖像57, =產生晶圓上模擬印刷 像輪廓51。圖 51可以習知或未來研發的任何方法決定,例如 二„型與模擬圖像強度的交叉來決定。較 草二•可月“吏用的製程條件範圍内,決定預期可以 由比二::可信度(如±3σ)印刷之輪廓51的邊帶。藉 廓或輪廓邊扣和晶圓目標%並 廊、真、册Γ共谷差内匹配,以驗證所產生的圖像57及輪 :55) °如果有佈局可印刷性或可製造性 ==Γ二即如果違反容差,則由設計者修改佈 S =5或邊帶51及晶圓目標53間的偏差在 ^針佈月二寻同,則佈局或其有關部分通過驗證 55 〇對佈局52的每一邱八斗…从人 邛刀或兀件f重複此程序,直到 廠,以進行轉;;其提供至晶圓代工 施例之資料預備6(/n下步討論的具體實 模型54提供的輪廓心;據本:明’亦將製程窗 6〇。 W 51提供給本發明的資料預備 經土範例,將考慮圖 所 71。:^爛的間隔〇小於預定的最蝴:路: 果使用基於初始電路形狀71丄=二 製程模型/工具54的規則,則由設計者修⑶ 200834365 ^自動化工具(如〇PC工具)修改形狀。目5B所示之 ^正後的雜72現在㈣滿足輯朗及/或製程模 二ί具广在絲技術設計程序巾,修正後的形狀 沾接耆提供給晶圓代卫廠作為#料預備程序 =的輸入(即’在圖1Β的初始佈局15)。注意,在先
中’即使目標23包括不再代表設 =;期形狀71的形狀72,卿工具仍試圖匹配 曰曰=標23相特輸人佈局15之設定。比較 ㈣,藉由使用製程窗婦工具%,製程 ⑴滿妓計者規 據本發明,= 係產生模擬輪靡邊帶75。根 ==)供給本發明的資料― 歹芩圖4,根據本發明,眘 可製造佈局59作為製程窗〇pc:呈 收所得的 擇的作為RET佈私具具=輸入,及選 佈局最佳化350期間產生的幹廊別广^,將在设計 為製程窗〇PC 67的晶圓目邊帶51提供作 ^ U目^輪入51。預期本發明眘 =:門:所用的製程模型61將比在設計佈局最‘ 化350期間使用的模型54 製程模㈣較佳地是要且更準確。 將以土芥、廢罢i 松型。由於製程窗OPC 67 Ο佈局輕配設計最佳化挪期_ 3) -20- 200834365 滿足設計者谷至的,入輪靡目# 51(即輪廊或輪 帶si),因此在不迷反設計者的設計規則下 造性最佳化將完美做成所得的遮罩佈局65。= 輪廓作為目標輸入51,則可安裝製程窗〇pc67二 匹配由目前製程窗模型61在容差及遮罩佈局規則 64(其可包括在設計階段期間無法使用之遮罩 製造性規則)内產生的模擬輪廓。以另一個方式來說, • 模擬輪廓及目標輸入51(其為設計階段50中在佈局最 佳化35〇期間決^的輪廓或邊帶)間的差異必須滿足容 差。如果提供輪廓邊帶作為目標輸人51,則可裝設 程窗OPC 67以確保模擬輪廓落在(即實質匹配)提供= 目標,帶51 β。可隨意提供例如包括可製造性容差之 修正容差,以允許模擬輪廓與目標邊帶有所偏離,但 仍能滿足修正容差。 …本發供社钱狀,鮮佈局至少可滿足 设计者没計與可製造性規則,因而不必將佈局送回設 計者,與先前技術方法的做法相反。因此,本發明提 I、使DfM之e又计迴路結案的方法。另外,在資料預備 期間’、可根據個別遮罩廠規則最佳化遮罩佈局,而不 用制式的’且仍能滿足原始設計規則。 接著可使用所得最佳遮罩佈局65製造電路(方塊 90) 〇 -21 - 200834365 在本發明之—具體實施例中,參考目6 佳化方法350及資料預備方法⑼可執行於數 1700中,其包括下列組件但不限於此:中央声 (0>!;)、至少一輸入/輸出(1/〇)裝置i7〇S ‘ 盤、滑鼠、光碟(CD)機及其相似物)、顯示裝置⑽、 能夠讀取及/或寫人電腦可讀碼的儲存裂置⑽ 、 憶體1702,所有組件係利用例如匯 ^ ⑺。來可執行本發明如同储存二=: 體上的电1自私式產品,例如磁帶或CD 17〇6, 式產品,舉例來說可則1/0裝置m5來讀取 於儲存裝置17G9及/或記憶體17G2中。電腦程式產品 包含在數位電腦上執行根據本發明之方法的指令。: 發明可以採用完全硬體具體實施例的形式、完二 具體實施例的形式、或含有硬體與軟體元件二者之且 體實施例的形式。在較佳的具體實施例中,本發明係 以軟體實施,其包括但不限綠體、常駐軟體、X微碼 等等。此外’本發明可以採取由使用或連接電腦或任 何^令執行系統提供程式碼供使用的電腦可用或電腦 可項媒體存取之電腦程式產品的形式。為此說明之目 的,電腦可用或電腦可讀媒體可為任何設備、裝置、 或元件’其可藉由或結合電腦或指令執行系統而含 有、儲存、通、傳播、或傳送此程式以供使用。媒 體可以是電子、磁性、光學、電雜、紅外線、或半 •22- 200834365 =儲存舰、網路或_髓。儲存制 ,導體記憶體、固定式儲存磁碟、移動式 ^ …光碟。目前光碟的範例包括:唯,、: (CD-R0M)、可讀/寫光碟(CD_R/W)及數位視 (議)。本發明亦可執行於複數個此種電腦或指= :錢中,其中出現的項目可駐存於接近的實體:: ,分散於較大的地理區域中並以通信網路連接,'未k 藉由通k裝置(如網路配接器)之傳播媒體進=過 網路的範例包括:網際網路、内部 5。 傳播媒體的範例包括:有線、光纖及ΐ線:, :配接器的範例包括:數據機、有線電視數據::二 太網路卡及無線路由器。 ^ 乙 在本發明之另-具體實施例中,根據本發明 >可提供為DfM服務的-部分,以例如藉由提 衣程模型將佈局最佳化服務提供給設計者,=中、精間
=果包括,設計者料㈣及容絲麵邊H 固3)。所#輪廓邊帶可用作資料 入,其中触錢㈣祕败^==== 可製造的遮罩佈局(見圖4)。 提七、 應明白,上述步驟順序只是用於說明。 而言’一或多個步驟可平行執行、可以不同的: 仃、隔一段時間執行等。再者,可在本發明的不同Ϊ -23- 200834365 體實施例中執行一或多個步驟。 组人以硬體、軟體、傳播信號、或其任何 ^貝現本發明,且本發明可以除了·示的以 剎s。任何種類的電腦/伺服器系統或其他適合_ 文所述的方法都很合適。硬體及軟體的入 般目的電《統,其含有可執行本文所述的個=: =更體的特定用途電腦,以4:二 ㈣亦可欲入於電腦程式產品或傳播 ;盆載=有能夠履行本文所述方法的個別特 ,、載人於%腦祕中時能夠 文中的電腦程式、傳播信號、軟體程式、程:彳 =指二r任何語言'編碼丄=何= 直接執;二;個i=訊處理能力,而_ 行特定功处·彳絲或下列項目之任一種或二者執 戍b)以:二換成另—種語言、編碼或記號;及/ 訂閱或收費:ΓΐΓ造。此外’應瞭解,可以 法。舉例Μ心將本發狀教示提供作為生意方 能於客戶之ϋ可彻服務提供者提供本文所述的功 統及===、造、賴、支援及聰此系 性。 、疋"兄’服務提供者可提供上述功能 -24- 200834365 明,作本务明已藉由結合上述特定具體實施例來說 饮 、易見冰知本技術人士應明白有許多替代、 修改及、寧化。 ^ 。口此’上述本發明具體實施例係用於解 6美1限制。因此’只要不脫離以下申請專利範圍中 我之本發明的精神與範4,即可進行各種修改。 【圖式簡單說明】
4本!I明之特徵據信為新穎之發明,及將在隨附申 明專利fe®巾提出本發明元件特性的特別之處。圖式 ,用^兒明目的,因而未按比例緣製。然而,關於組 ^、及#作方法之本發明杨可藉由參考實施方式與所 附之圖式而更佳地了解,其中: 圖1A說明先前技術設計流程。 圖1B說明先前技術資料預備程序的流程圖。 圖1C說明先前技術遮罩驗證程序的流程圖。 圖2說明所提出的DfM流程。- 圖3說明根據本發明DfM程序之佈局最佳化方法 的較佳具體實施例。 資料預備方法的 圖4說明根據本發明DfM程序之 較佳具體實施例。 圖5A說明在DfM 狀0 圖5B說明在DfM 局形狀。 程序的設計階段期間的佈局形 程序的設計階段_的修改佈 -25- 200834365 圖5C根據本發明之具體實施例,說明在佈局最 佳化階段期間產生的輪廓邊帶。 圖6說明安裝電腦糸統及電腦程式產品以執行本 發明DfM程序之具體實施例。
【主要元件符號說明】 D 間隔 71 初始電路形狀 72 修正後電路形狀 75 輪廓邊帶 1700 數位電腦 1701 中央處理器(CPU) 1702 記憶體 1705 輸入/輸出(I/O)裝置 1706 CD 1708 顯示裝置 1709 儲存裝置 1710 匯流排或通信網路 -26-

Claims (1)

  1. 200834365 十、申請專利範圍: 1. 一種設計一積體電路的方法,該方法包含以下步 驟:‘ 提供一或多個設計容差; 提供一佈局; 提供一第一製程模型; 修正該佈局以形成一第一修正佈局,使得第一 圖像輪廓滿足該一或多個設計容差,其中該等第一 圖像輪廓對應於使用該第一製程模型所決定的該 第一修正佈局; 提供一第二製程模型;以及 修正該第一修正佈局以形成一第二修正佈 局,使得第二圖像輪廓實質地匹配該等第一圖像輪 廓,其中該等第二圖像輪廓對應於該第二製程模型 所決定之該第二修正佈局。 2·如請求項1所述之方法,其中該第一製程模型包含 一製程窗模型,且該等第一圖像輪廓包含輪廓之一 邊帶,係對應於製程條件之一範圍。 3.如請求項1所述之方法,更包含提供一或多個可製 造性容差,且其中該等第二圖像輪廓於該一或多個 可製造性容差内實質匹配該等第一圖像輪廓。 -27- 200834365 4. 如請求項3所述之方法,其中該第一製程模型包含 一製程窗模型,且該等第一圖像輪廓包含輪廓之一 邊帶,係對應於製程條件之一範圍。 5. 如請求項1所述之方法,其中修正該第一修正佈局 之該步驟包含執行光學鄰近修正。 6. 如請求項1所述之方法,其中修正該第一修正佈局 之該步驟包含解析度提高技術。 7. 如請求項1所述之方法,其中該第二製程模型包含 一製程窗模型。 8.如請求項1所述之方法,其中該第二製程模型係較 該第一製程模型準確。 • 9.如請求項1所述之方法,其中該第一製程模型為一 精簡製程模型。 10.如請求項1所述之方法,更包含執行一佈局輸出 (tapeout),其包含該等第一圖像輪廓及該第一修正 佈局’且提供該佈局輸出作為修正該第一修正佈局 之該步驟的輸入。 -28- 200834365 11. 如請求項1所述之方法,更包含根據該第二修正佈 局建立一遮罩。 12. —種電腦程式產品,包含一電腦可用媒體,係具有 實施於該媒體中用以設計一積體電路之電腦可讀 程式,其中該電腦可讀程式當執行於一電腦上時, 致使該電腦· 提供一或多個設計容差; 0 ! 是供-佈局; 提供一第一製程模型; 修正該佈局以形成一第一修正佈局,使得第一 圖像輪廓滿足該一或多個設計容差,其中該等第一 圖像輪廓對應於使用該第一製程模型所決定的該 第一修正佈局; 提供一第二製程模型;以及 修正該第一修正佈局以形成二第二修正佈局, • 使得第二圖像輪廓實質地匹配該等第一圖像輪 廓,其中該等第二圖像輪廓對應於該第二製程模型 所決定之該第二修正佈局。 13. 如請求項12所述之電腦程式產品,其中該第一製 程模型包含一製程窗模型,且該等第一圖像輪廓包 含輪廓之一邊帶,係對應於製程條件之一範圍。 -29- 200834365 14.如請求項12所述之電腦程式產品,更包含提供一 或多個可製造性容差,且其中該等第二圖像輪廓於 該一或多個可製造性容差内實質匹配該等第一圖 像輪廓。 15.如請求項14所述之電腦程式產品,其中該第一製 程模型包含一製程窗模型,且該第一圖像輪廓包含 I 輪廓之一邊帶,係對應於製程條件之一範圍。 16·如請求項12所述之電腦程式產品,其中修正該第 一修正佈局之該步驟包含執行光學鄰近修正。 17·如請求項12所述之電腦程式產品,其中修正該第 一修正佈局之該步驟包含解析度提高技術。 18·如請求項Γ2所述之電腦程式產品,其中該第二製 • 程模型包含一製程窗模型。 19·如請求項12所述之電腦程式產品,其中該第二製 程模型係較該第一製程模型準確。 20.如請求項12所述之電腦程式產品,其中該第一製 程模型為一精簡製程模型。 -30- 200834365 21. —種提供設計一積體電路之服務的方法,該服務包 含: 提供一或多個設計容差; 提供一佈局; 提供一第一製程模型; 修正該佈局以形成一第一修正佈局,使得第一 圖像輪廓滿足該一或多個設計容差,其中該等第一 圖像輪廓對應於使用該第一製程模型所決定的該 第一修正佈局; 提供一第二製程模型;以及 修正該第一修正佈局以形成一第二修正佈局, 使得第二圖像輪廓實質地匹配該等第一圖像輪 廓,其中該等第二圖像輪廓對應於該第二製程模型 所決定之該第二修正佈局。 22. 如請求項21所述之方法,其中該第一製程模型包 含一製程窗模型,且該等第一圖像輪廓包含輪廓之 一邊帶,係對應於製程條件之一範圍。 23. 如請求項21所述之方法,更包含提供一或多個可 製造性容差,且其中該等第二圖像輪廓於該一或多 個可製造性容差内實質匹配該等第一圖像輪廓。 24. 如請求項23所述之方法,其中該第一製程模型包 -31 - 200834365 含一製程窗模型,且該等第一圖像輪廓包含輪廓之 一邊帶,係對應於製程條件之一範圍。 25. 如請求項21所述之方法,其中修正該第一修正佈 局之該步驟包含執行光學鄰近修正。 26. 如請求項21所述之方法,其中修正該第一修正佈 局之該步驟包含解析度提高技術。 27. 如請求項21所述之方法,其中該第二製程模型包 含一製程窗模型。 28. 如請求項21所述之方法,其中該第二製程模型係 較該第一製程模型準確。 29. 如請求項21所述之方法,其中該第一製程模型為 一精簡製程模型。 30. 如請求項21所述之方法,更包含執行一佈局輸 出,係包含該等第一圖像輪廓及該第一修正佈局, 且提供該佈局輸出作為修正該第一修正佈局之該 步驟的輸入。 -32-
TW096137535A 2006-10-31 2007-10-05 Closed-loop design for manufacturability process TW200834365A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/554,904 US7624369B2 (en) 2006-10-31 2006-10-31 Closed-loop design for manufacturability process

Publications (1)

Publication Number Publication Date
TW200834365A true TW200834365A (en) 2008-08-16

Family

ID=39345068

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096137535A TW200834365A (en) 2006-10-31 2007-10-05 Closed-loop design for manufacturability process

Country Status (5)

Country Link
US (1) US7624369B2 (zh)
JP (1) JP5052620B2 (zh)
KR (1) KR20090077789A (zh)
TW (1) TW200834365A (zh)
WO (1) WO2008055195A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111627799A (zh) * 2019-02-28 2020-09-04 台湾积体电路制造股份有限公司 制造半导体元件的方法

Families Citing this family (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7149999B2 (en) * 2003-02-25 2006-12-12 The Regents Of The University Of California Method for correcting a mask design layout
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
US7730432B1 (en) 2005-03-30 2010-06-01 Tela Innovations, Inc. Method and system for reshaping a transistor gate in an integrated circuit to achieve a target objective
US8490043B2 (en) 2005-05-06 2013-07-16 Tela Innovations, Inc. Standard cells having transistors annotated for gate-length biasing
US7441211B1 (en) * 2005-05-06 2008-10-21 Blaze Dfm, Inc. Gate-length biasing for digital circuit optimization
US7921383B1 (en) * 2006-01-11 2011-04-05 Olambda, Inc Photolithographic process simulation including efficient result computation for multiple process variation values
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US7917879B2 (en) 2007-08-02 2011-03-29 Tela Innovations, Inc. Semiconductor device with dynamic array section
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US7943967B2 (en) 2006-03-09 2011-05-17 Tela Innovations, Inc. Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US7932545B2 (en) 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US8286107B2 (en) 2007-02-20 2012-10-09 Tela Innovations, Inc. Methods and systems for process compensation technique acceleration
US7979829B2 (en) 2007-02-20 2011-07-12 Tela Innovations, Inc. Integrated circuit cell library with cell-level process compensation technique (PCT) application and associated methods
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US8112724B2 (en) * 2007-03-20 2012-02-07 Sony Corporation Method of designing semiconductor integrated circuit, apparatus for designing semiconductor integrated circuit, recording medium, and mask manufacturing method
US8020120B2 (en) * 2007-10-01 2011-09-13 International Business Machines Corporation Layout quality gauge for integrated circuit design
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US20110173577A1 (en) * 2008-02-01 2011-07-14 International Business Machines Corporation Techniques for Pattern Process Tuning and Design Optimization for Maximizing Process-Sensitive Circuit Yields
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
MY167970A (en) 2008-07-16 2018-10-09 Tela Innovations Inc Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US8627264B1 (en) * 2009-05-29 2014-01-07 Altera Corporation Automated verification of transformational operations on a photomask representation
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US8619236B2 (en) 2010-11-24 2013-12-31 International Business Machines Corporation Determining lithographic set point using optical proximity correction verification simulation
US8577489B2 (en) 2011-01-26 2013-11-05 International Business Machines Corporation Diagnosing in-line critical dimension control adjustments using optical proximity correction verification
US8499260B2 (en) 2011-01-26 2013-07-30 International Business Machines Corporation Optical proximity correction verification accounting for mask deviations
US8423923B2 (en) 2011-07-20 2013-04-16 United Microelectronics Corp. Optical proximity correction method
US8601407B2 (en) * 2011-08-25 2013-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Geometric pattern data quality verification for maskless lithography
US8810785B2 (en) 2011-08-26 2014-08-19 United Microelectronics Corp. Mask inspecting method
US8486587B2 (en) 2011-12-20 2013-07-16 United Microelectronics Corp. Method for correcting layout pattern and method for manufacturing photomask
US8962221B2 (en) 2012-05-14 2015-02-24 United Microelectronics Corp. Mask and method of forming pattern by using the same
US8829610B2 (en) 2012-05-15 2014-09-09 United Microelectronics Corp. Method for forming semiconductor layout patterns, semiconductor layout patterns, and semiconductor structure
US8806391B2 (en) 2012-07-31 2014-08-12 United Microelectronics Corp. Method of optical proximity correction according to complexity of mask pattern
US8741507B1 (en) 2013-01-16 2014-06-03 United Microelectronics Corp. Method for separating photomask pattern
US8701052B1 (en) 2013-01-23 2014-04-15 United Microelectronics Corp. Method of optical proximity correction in combination with double patterning technique
US8627242B1 (en) 2013-01-30 2014-01-07 United Microelectronics Corp. Method for making photomask layout
US8661372B1 (en) 2013-02-04 2014-02-25 United Microelectronics Corp. Optical proximity correction method
US8977988B2 (en) 2013-04-09 2015-03-10 United Microelectronics Corp. Method of optical proximity correction for modifying line patterns and integrated circuits with line patterns modified by the same
US9009633B2 (en) 2013-05-06 2015-04-14 United Microelectronics Corp. Method of correcting assist feature
US9230812B2 (en) 2013-05-22 2016-01-05 United Microelectronics Corp. Method for forming semiconductor structure having opening
CN103345550B (zh) * 2013-06-28 2016-07-06 上海和达汽车配件有限公司 一种汽车仪表板横梁的减重优化方法
US8745547B1 (en) 2013-07-11 2014-06-03 United Microelectronics Corp. Method for making photomask layout
US8930858B1 (en) 2013-11-27 2015-01-06 United Microelectronics Corp. Method for optical proximity correction
KR102227127B1 (ko) * 2014-02-12 2021-03-12 삼성전자주식회사 리소그래피 시뮬레이션을 이용한 디자인룰 생성 장치 및 방법
CN105574293B (zh) * 2016-02-01 2019-12-03 中国科学院微电子研究所 Euv设计规则、光源和掩模的联合优化和成像建模方法
KR102434991B1 (ko) * 2016-04-26 2022-08-22 삼성전자주식회사 집적 회로 및 집적 회로의 설계 방법
US10083272B2 (en) 2016-08-12 2018-09-25 International Business Machines Corporation Integrated circuit design layout optimizer based on process variation and failure mechanism
US10394116B2 (en) 2017-09-06 2019-08-27 International Business Machines Corporation Semiconductor fabrication design rule loophole checking for design for manufacturability optimization
US10621295B2 (en) 2018-04-10 2020-04-14 International Business Machines Corporation Incorporation of process variation contours in design rule and risk estimation aspects of design for manufacturability to increase fabrication yield
US11574103B2 (en) 2020-01-31 2023-02-07 International Business Machines Corporation Addressing layout retargeting shortfalls

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6578190B2 (en) * 2001-01-11 2003-06-10 International Business Machines Corporation Process window based optical proximity correction of lithographic images
EP1329771B1 (en) * 2001-10-09 2006-09-06 ASML MaskTools B.V. Method of two dimensional feature model calibration and optimization
US7363099B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US20050114822A1 (en) * 2003-03-03 2005-05-26 Valery Axelrad Integrated scheme for yield improvement by self-consistent minimization of IC design and process interactions
US7100134B2 (en) * 2003-08-18 2006-08-29 Aprio Technologies, Inc. Method and platform for integrated physical verifications and manufacturing enhancements
US7043712B2 (en) * 2003-09-09 2006-05-09 International Business Machines Corporation Method for adaptive segment refinement in optical proximity correction
US7342646B2 (en) * 2004-01-30 2008-03-11 Asml Masktools B.V. Method of manufacturing reliability checking and verification for lithography process using a calibrated eigen decomposition model
US7487490B2 (en) 2004-03-30 2009-02-03 Youping Zhang System for simplifying layout processing
US20050229130A1 (en) 2004-04-07 2005-10-13 Aprio Technologies, Inc. Method and apparatus for selective, incremental, reconfigurable and reusable semiconductor manufacturing resolution-enhancements
KR20060010431A (ko) * 2004-07-28 2006-02-02 삼성전자주식회사 정보 저장 매체, 기록/재생 장치 및 기록/재생 방법
US7882456B2 (en) 2005-04-09 2011-02-01 Cadence Design Systems, Inc. Optical lithography correction process

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111627799A (zh) * 2019-02-28 2020-09-04 台湾积体电路制造股份有限公司 制造半导体元件的方法
CN111627799B (zh) * 2019-02-28 2023-05-02 台湾积体电路制造股份有限公司 制造半导体元件的方法

Also Published As

Publication number Publication date
WO2008055195A3 (en) 2008-08-07
US20080127029A1 (en) 2008-05-29
JP2010508549A (ja) 2010-03-18
US7624369B2 (en) 2009-11-24
WO2008055195A2 (en) 2008-05-08
JP5052620B2 (ja) 2012-10-17
KR20090077789A (ko) 2009-07-15

Similar Documents

Publication Publication Date Title
TW200834365A (en) Closed-loop design for manufacturability process
CN109582995B (zh) 集成电路制造方法及其制造系统
TWI806863B (zh) 為了目標特徵而用於產生包含子解析度輔助特徵的一光罩的方法
US9418195B2 (en) Layout content analysis for source mask optimization acceleration
TWI334962B (en) A method, program product and apparatus for performing double exposure lithography
JP4104574B2 (ja) エッジ・フラグメントのタグ付けを使用してエッジ配置歪みを補正するサブミクロンic設計のための改善された方法および装置
US8365108B2 (en) Generating cut mask for double-patterning process
CN108828896B (zh) 添加亚分辨率辅助图形的方法及该方法的应用
CN106469234A (zh) 基于模型的规则表产生
CN101427254B (zh) Ic布局的电性能计算
US20080046846A1 (en) System and method of maximizing integrated circuit manufacturing yield with fabrication process simulation driven layout optimization
US20060110837A1 (en) Method and system for topography-aware reticle enhancement
JP4647095B2 (ja) データ階層レイアウトの補正と照合のための方法及び装置
US11360383B2 (en) Mask optimization process
JP2003526110A (ja) 設計ルールの照合システム及び方法
TWI448824B (zh) 執行分解用於雙面圖案化技術的圖案之方法、程式產品與裝置
US11790151B2 (en) System for generating layout diagram including wiring arrangement
TWI240307B (en) Correction method and verification method for pattern size using OPC, mask and semiconductor device made by using the correction method, and system and recording medium executing the correction method
CN110298055A (zh) 集成电路布局产生方法和系统
WO2004104699A1 (ja) パターン寸法補正
JP2004163472A (ja) フォトマスクの設計方法、フォトマスク、及び半導体装置
KR100864934B1 (ko) 마스크 레이아웃 보정 방법 및 마스크 레이아웃 보정 장치
US20100064274A1 (en) Proximity correction method and system
US20220284166A1 (en) Machine learning based model builder and its applications for pattern transferring in semiconductor manufacturing
CN107783369A (zh) 光学邻近校正的修复方法