JP5039698B2 - 複製されたロジックを使用するデバッグ及びテスト方法並びにシステム - Google Patents

複製されたロジックを使用するデバッグ及びテスト方法並びにシステム Download PDF

Info

Publication number
JP5039698B2
JP5039698B2 JP2008525222A JP2008525222A JP5039698B2 JP 5039698 B2 JP5039698 B2 JP 5039698B2 JP 2008525222 A JP2008525222 A JP 2008525222A JP 2008525222 A JP2008525222 A JP 2008525222A JP 5039698 B2 JP5039698 B2 JP 5039698B2
Authority
JP
Japan
Prior art keywords
circuit
logic
trigger condition
recorded data
replicated
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2008525222A
Other languages
English (en)
Other versions
JP2009503749A5 (ja
JP2009503749A (ja
Inventor
チュン キット ング
マリオ ラルーシュ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Synopsys Inc
Original Assignee
Synopsys Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Synopsys Inc filed Critical Synopsys Inc
Publication of JP2009503749A publication Critical patent/JP2009503749A/ja
Publication of JP2009503749A5 publication Critical patent/JP2009503749A5/ja
Application granted granted Critical
Publication of JP5039698B2 publication Critical patent/JP5039698B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/30Marginal testing, e.g. by varying supply voltage
    • G01R31/3016Delay or race condition test, e.g. race hazard test
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31705Debugging aspects, e.g. using test circuits for debugging, using dedicated debugging test circuits
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • G01R31/318342Generation of test inputs, e.g. test vectors, patterns or sequences by preliminary fault modelling, e.g. analysis, simulation
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • G01R31/318364Generation of test inputs, e.g. test vectors, patterns or sequences as a result of hardware simulation, e.g. in an HDL environment
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Hardware Redundancy (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

(優先権情報)
本出願は、2005年4月22日に提出された出願番号11/112,092の一部継続出願(CIP)である。
(技術分野)
本発明の実施形態は、集積回路のデバッグ及びテストの分野に関し、より詳細には複製されたロジックを使用するデバッグ及びテスト集積回路に関する。
デジタル回路の設計において、しばしば設計者はコンピュータ補助技術を用いる。ハードウェア記述言語(HDL)のような標準言語は、デジタル回路を記述するために発達してきており、複雑なデジタル回路の設計及びシミュレーションの手助けとなっている。デバイス技術は進歩し続けているので、新しいデバイス及び設計スタイルの使用に関して多くのプロダクトデザインツールがHDLに適応するために発達してきたのである。
HDLコードが記述されコンパイルされた後は、集積回路(IC)又は複数のICを含むシステムの設計が正しいことを検証する必要がある。継続的なプロセス技術の進歩、並びに設計サイズ及び複雑性に対応する急増により、従来のシミュレーションツール及び技術の使用では解決困難な、特定用途向け集積回路(ASIC)のような複雑な回路に対する検証問題が発生することとなった。
結果として、設計者の中には、フィールドプログラマブル・ゲートアレイ(FPGA)といった複数のICを使用するプロトタイプボードを構築する者もいる。しかしながら、ハードウェアデザインのデバッギングには依然として課題が存在する。デバッグ中にエラーが検出されると、設計者は回路からの対象信号のタップを試み、ロジックアナライザを使用してそのエラーの原因を決定する。しかし、これは難しいプロセスであり、特に間欠エラー(intermittent error)の場合には、すでに生じたエラーはしばしば反復及び復元が困難であるので、時として効率的とはいえない。
本発明は、同じ要素には同様の参照番号を付した添付図面の図において、限定する方法ではなく例示の方法によって示される。
複製されたロジックを使用するデバック及びテストのためのシステム及び方法の実施形態が記載されている。以下の記述において、非常に多くの具体的詳細が記載されている。しかしながら、本発明の実施形態はこれらの具体的詳細なしに実施可能であると理解されるべきである。他の事例においては、本記載の理解を不明瞭なものにしないために、既知の回路、構造、及び技術について詳細には示していない。
「一実施形態」あるいは「実施形態」という記載に対する明細書を通した参照は、実施形態について記載された特定の機能、構造、又は特徴が、本発明の少なくとも1つの実施形態において含まれるということを意味するものである。つまり、本明細書を通して随所に見られる「一実施形態において」、又は「実施形態において」という文言は、同一の実施形態に対する全て参照である必要はない。さらに、特定の機能、構造、又は特徴は、1又は複数の実施形態において適切な何れかの方法で組み合わせが可能である。
図1は、例示された本発明の特定の側面を実施可能である適切なコンピューティング環境を例示するブロック構成図である。一実施形態において、上記の方法は、プロセッサ102と、メモリ104と、入/出力(I/O)デバイス106と、データ記憶装置112と、互いにバス108を介して結合されたネットワークインタフェース110とを含むコンポーネントを有するコンピュータシステム100上で実施することができる。コンポーネントは先行技術において既知の従来関数を実行し、本発明にかかるシステムを実現するための手段を提供する。集合的に、これらのコンポーネントはハードウェアシステムの広範なカテゴリを表わし、これらに限定されるものではないが、汎用目的コンピュータシステム、モバイル又は無線コンピューティングシステム、及び専用パケット転送デバイスを含む。コンピュータシステム100の多様なコンポーネントは再配置可能であり、本発明の特定の実施は上記コンポーネントの全てを要求するものでもなければその全てを含むものでもないことを認識すべきである。加えて、追加のプロセッサ(例えば、デジタル信号プロセッサ)、記憶装置、メモリ(例えば、RAM,ROM,又はフラッシュメモリ)、及びネットワーク又は通信インタフェースといった追加のコンポーネントをシステム100に含めることができる。
当業者にとって認識されるように、本発明にかかる方法の実施形態を実現するためのコンテンツ(例えば、コンピュータプログラム命令)は、これらに限定されるものではないが、カートリッジ、磁気カセット、フラッシュメモリカード、デジタルビデオディスク、ランダムアクセスメモリ(RAM)、リードオンリーメモリ(ROM)等を含むメモリに対する一部又は追加されるものとして、システム100によってアクセス可能なデータを格納する何れかの機械読み取り可能媒体によって与えられる。この点に関してシステム100は、先行技術における既知の方法でかかる機械読み取り可能媒体と通信可能となるよう装備される。
さらに、当業者にとっては、本発明にかかる方法の実施形態を実現するためのコンテンツは、そのコンテンツを格納し、かつコンテンツをシステム100に伝達する能力を有する何れかの外部装置からシステム100に与えられるものと認識されるべきである。例えば、一実施形態においては、システム100はネットワークに接続され、コンテンツはそのネットワーク上の何れかのデバイスに格納される。
図2は、本発明にかかる方法の実施形態を例示するフローチャートである。段階200において、回路の表現がコンパイルされる。一実施形態においては、コンパイルによって第1レジスタ伝達(RTL)ネットリストが生成される。一実施形態においては、この回路は、該回路要素のハードウェア記述言語(HDL)ソースコード記述を記載することによるテキスト表現によって記載されている。一実施形態においては、この回路はネットリスト表現によって記述されている。
そして、この回路の表現はコンパイラへの入力となる。コンパイラの一例はロジック統合コンパイラであり、いくつかの実施形態においては特別目的のコンピュータシステムに専用化することができるが、典型的には汎用目的コンピュータシステム上で作動するコンピュータプログラムである。コンパイルの後、RTLネットリストが生成される。通常、RTLネットリストは、レジスタ及び相互接続された他のロジックを示しており、その回路を通るデータの流れを示す。
本発明の一実施形態において、RTLネットリストはターゲットアーキテクチャにマップされる。このターゲットアーキテクチャは、典型的には集積回路(IC)の供給によって決定される。ターゲットアーキテクチャの例としては、アルテラ、ルーセント・テクノロジーズ、アドヴァンスト・マイクロ・デバイス(AMD)、ラティス・セミコンダクターなどのベンダーから供給されるフィールドプログラマブル・ゲートアレイ(FPGA)、及び複雑プログラマブル・ロジックデバイスが含まれる。マッピングオペレーションによって、設計された回路のRTLレベル記述は、ターゲットアーキテクチャのビルディングブロックを使用して、実装された等価回路の中に変換される。テクノロジ特有のネットリストが生成される。そして、従来の配置及びルートソフトウェアは、ターゲットアーキテクチャにおける回路設計を生成するために使用される。
デバッグ目的のために、IC設計者は、FPGAなどの複数のICを使用するプロトタイプボードを構築してその設計を検証することができる。例えば、コンパイル、マッピング、配置及びルートオペレーションの後、回路は、FPGAの中にプログラムされてその設計のプロトタイプが生成される。そして、FPGAは、その設計において何れが問題領域かを決定するためにテストされる。
設計において問題領域が発見されると、設計者は、その回路部分を選択して複製することによって、かつトリガロジックに挿入することによって、その問題をさらに解析する。1又は複数の信号がトリガリングのために選択される。これらの選択された信号は、トリガ信号として後に使用されてトリガ状態を可能(enable)にする。そして、トリガリングロジックは、回路の中に挿入される。このトリガリングロジックに対する1又は複数のコントローラもこの回路の中に挿入することができる。そして、回路の部分は複製のために選択され、該選択された回路部分が複製される。この複製には、回路の選択された部分のロジック要素、入力信号、及び出力信号の複製が含まれる。一実施形態においては、回路の複製された部分におけるレジスタの各々は、JTAGチェインなどのスキャンチェインにおいて互いに接続される。このスキャンチェインによって、レジスタからの情報(例えば、ステータス)の中をデバック中に走査することができる。
本発明の一実施形態において、クロック信号もまた複製される。クロック制御ロジックはクロック信号を制御するために挿入される。このクロック制御ロジックにより、ある条件が表れたときに、複製されたロジックブロックに対するクロックを一時停止して複製されたロジックの実行を停止することができ、複製されたロジックの中をシングルステッピングによってエラー分析することが可能となる。設計者は、特定の条件が表れたとき、複製された回路部分に対するクロックを一時停止するためのブレイクポイントを選択する。例えば、設計者は、クロックを一時停止させるであろう出力又は入力に対する値を選択する。これにより、設計者は、特定の問題状態が表れると、選択されたロジックの分析をより注意深く行うことができる。
遅延ロジックは、複製された回路部分の中に遅延入力を挿入することができる。遅延の長さは、回路設計者によって選択できる。この遅延ロジックにより、エラーは複製された回路部分において後ほど再度出現するので、選択された回路部分において観察されたエラーを、このエラーが生じたことを発見した後に解析することができる。
そして、回路の表現は再コンパイルされる。一実施形態において、コンパイルによって第2RTLネットリストが生成される。次に、マッピング、配置、及びルートオペレーションは、第2RTLネットリストを使用して実行され、FPGAなどのターゲットアーキテクチャにおける回路を実現する。本発明の一実施形態においては、統合オペレーションが実施されて第2RTLネットリストから特定用途向け集積回路(ASIC)を生成する。複製されたロジックを伴った回路が生成され、これにより回路設計者は設計における問題領域を解析することができる。設計者は回路のデバッギングを補助するためのデバッガを起動することができる。
段階202では、1又は複数のトリガリング信号が選択される。これらの信号は、上述したような事前に選択された信号セットから選択することができる。段階204では、各選択されたトリガリング信号の1又は複数の状態がセットされてトリガリング条件をセットアップする。段階206では、トリガ条件が発生したとき、データが記録される。このデータは、1又は複数のレジスタの1又は複数の状態と、トリガ条件へと導く入力のシーケンスとを含む。複製されたロジックは、各クロックにおいて記録された入力の値と共にクロック毎にステップを進めることができる。この入力ストリームは、解析されているトリガ条件へ導く入力のシーケンスを表わしている。また、複製されたロジックにおけるレジスタの状態は、上記の通り実施されたスキャンチェインを使用することによって記録することもできる。
一実施形態において、記録されたデータは、ソフトウェアシミュレータと互換性のあるフォーマットに変換される。例えば、そのソフトウェアシミュレータがVHDL若しくはVerilogであるならば、記録された情報は、VHDL若しくはVerilogにそれぞれ変換される。一実施形態において、フォーマットされた記録データは、次に、回路設計におけるロジック問題をデバッグするためにソフトウェアシミュレータの中に入力される。一実施形態において、ロジックに対する変化が生じたときに、記録されたデータはソフトウェアシミュレータの中に入力され、回路設計の機能性が保たれていることを検証する。
段階208において、段階206で記録されたデータを使用してテストが生成される。このテストは、トリガ条件及び記録されたレジスタの内部状態へと導く記録された入力シーケンスに基づく、入力シーケンス及びレジスタの内部状態を含む。次に、テストは、回路が修正されて回路が機能性を維持することを検証したとき、ソフトウェアシミュレータの中に入力され実行される。このテストは、何れかの修正後にも回路が期待通りに作動することを確認するために回路が修正されるごとに実行される。
図3に、本発明の実施形態を実施する回路300のセクション例を示す。ロジックブロック302は、オリジナルIC設計における回路部分である。オリジナルIC設計のデバッグは、ロジックブロック302によって問題を明らかにする。それゆえ、オリジナルロジックブロック302は、選択及び複製されてその問題のさらなる分析を可能とする。オリジナルロジックブロック302は、複製されて複製ロジックブロック304が生成される。オリジナルブロック302からの出力308は、複製されて複製出力310が生成される。また、入力306も複製される。
遅延ロジック312は、入力306を遅延させるために複製されたロジックブロック304の中に挿入される。遅延ロジックは、インバータなどの一般的な回路ロジック及び要素を含み、入力306がオリジナルロジックブロック302に到達する時間よりも遅い時間に複製ロジックブロック304に到達するようにさせる。このように、エラーは、後の時間に複製されたロジックブロックにおいて現れることとなるので、該エラーがオリジナルロジックブロックにおいて生じたことを発見した後に、分析することが可能となる。
トリガロジック330は、回路の中に挿入されて、回路の複製部分を一時停止するトリガ条件のセットアップを可能とする。また、1又は複数のコントローラーもトリガロジックを制御するために挿入することができる。トリガロジック330は、ブレイクポイント318及び遅延ポーズ328の2つの出力を有している。ブレイクポイント318により、クロック制御ロジック314の進行を停止することができる。遅延ポーズ328により、遅延ロジック312の進行を停止することができる。
クロック制御ロジック314は、複製されたロジックブロック304に対するクロック信号322を制御するために挿入される。クロック制御ロジック314は、特定の条件が現れたときに、複製ロジックの実行を停止するために複製されたロジックブロック304に対するクロック322を一時停止する、特有のロジック及び回路要素を含む。また、クロック制御ロジック314は、クロックごとの基準によって複製ロジックにおける単一ステップを行ってエラーを分析することも可能となっている。ブレイクポイント318は、例えばトリガ条件が発生したときなど特定の条件が現れたときに、クロックを一時停止するようセットすることができる。
図4に、本発明の実施形態に基づくクロック制御ロジック314の例を示す。通常作動の間、回路を計時するクロック316がラッチ400を通って流れ、複製されたロジックブロック304に対するクロック322と同様に動作する。ブレイクポイント318は、このクロック322によってサイクルごとの基準で一時停止及び単一ステッピングを可能にするために、クロック制御信号320によって制御可能になる、システムクロック316のラッチバージョンに対するクロック322をスイッチ操作する。
このように、複製されたロジックを使用するデバッグ及びテストのための方法及び装置の実施形態が記載された。要約に記載されたものを含み例示された本発明の実施形態の上記記述は、網羅することを意図したものでもなければ、厳密な形態の開示を意図するものでもない。本発明の特定の実施形態(及びその具体例)は、ここに例示目的で記載されたものであり、当業者であれば認識できるように、本発明の範囲内で多くの等価な修正が可能である。これらの修正は、上記詳細な説明を考慮して本発明に対してなし得るものである。以下の特許請求の範囲において使用される用語は、本明細書及び特許請求の範囲に開示された特定の実施形態に本発明を限定するものと解すべきではない。むしろ、本発明の範囲は、特許請求の範囲の解釈ついて確立された教義に基づいて解釈される、以下の特許請求の範囲によって決定されるべきものである。
本発明の特定の側面が実施可能である適切なコンピューティング環境を説明するブロック図である。 本発明にかかる方法の実施形態を例示するフローチャートである。 本発明の実施形態を実施する回路区分の例を説明する説明図である。 本発明に基づいたクロック制御ロジックの例を説明する説明図である。

Claims (18)

  1. データ処理システムによって実行される方法であって、
    前記データ処理システムは、1又は複数の信号をトリガリングのために選択し、ハードウェア記述言語(HDL)で記述された回路の表現をコンパイルし、前記回路表現の複製された部分と前記複製された部分への入力を遅延させるための遅延ロジックとを有するものであり
    前記選択された信号から1又は複数のトリガリング信号を選択し、
    選択されたトリガリング信号の各々に対して1又は複数の状態を設定してトリガ条件をセットアップし、
    前記トリガ条件が発生したときに、前記回路の複製された部分における1又は複数のレジスタの1又は複数の状態と、前記トリガ条件へ導く入力シーケンスとを含むデータを記録し、かつ、
    前記記録されたデータに基づいてテストを生成し、前記テストは前記レジスタの前記記録された状態と前記トリガ条件へ導く入力シーケンスとを含む
    ことを特徴とする方法。
  2. 機械によってアクセスされたとき前記機械に作動を実行させるコンテンツを含む機械アクセス可能な媒体であって、
    ハードウェア記述言語(HDL)で記述された回路の表現をコンパイルする段階であって、1又は複数の信号をトリガリングのために選択し、前記回路表現の複製された部分と前記複製された部分への入力を遅延させるための遅延ロジックとを有する段階と、
    前記選択された信号から1又は複数のトリガリング信号を選択する段階と、
    選択されたトリガリング信号の各々に対して1又は複数の状態を設定してトリガ条件をセットアップする段階と、
    前記トリガ条件が発生したときに、前記回路の複製された部分における1又は複数のレジスタの1又は複数の状態と、前記トリガ条件へ導く入力シーケンスとを含むデータを記録する段階と、かつ、
    前記記録されたデータに基づいてテストを生成する段階であって、前記テストは前記記録された前記レジスタの状態と前記トリガ条件へ導く入力シーケンスとを含む段階と
    を含むことを特徴とする媒体。
  3. 前記記録されたデータに基づいてテストを生成する段階は、前記記録されたデータをソフトウェアシミュレータと互換性のあるフォーマットに変換する段階を含むことを特徴とする請求項1に記載の方法。
  4. 前記記録されたデータをソフトウェアシミュレータと互換性のあるフォーマットに変換する段階は、前記記録されたデータをVHDLシミュレータと互換性のあるVHDLフォーマットに変換することを特徴とする請求項3に記載の方法。
  5. 前記記録されたデータをソフトウェアシミュレータと互換性のあるフォーマットに変換する段階は、前記記録されたデータをVerilogシミュレータと互換性のあるVerilogフォーマットに変換することを特徴とする請求項3に記載の方法。
  6. 前記回路が修正されたときに、前記ソフトウェアシミュレータ上で前記テストを実行することを特徴とする請求項3に記載の方法。
  7. 前記回路の表現をコンパイルすることは、前記回路の表現をコンパイルしてレジスタトランスファーレベルのネットリストを生成することを含む請求項1に記載の方法。
  8. 前記レジスタトランスファーレベルのネットリストを、選択されたテクノロジアーキテクチャにマッピングすることを更に含む請求項7に記載の方法。
  9. 場所及び経路オペレーションを実行して前記選択されたテクノロジアーキテクチャにおける回路を実装することを更に含む請求項8に記載の方法。
  10. 前記レジスタトランスファーレベルのネットリストをプログラマブルハードウェアデバイス内にプログラミングすることを更に含む請求項9に記載の方法。
  11. 前記回路は、前記回路の複製された部分に接続されたトリガロジック及びクロック制御ロジックを更に含み、前記トリガ条件が発生したときに前記回路の複製された部分の実行を停止させることを特徴とする請求項10に記載の方法。
  12. 前記トリガ条件が発生したとき、前記プログラマブルハードウェアデバイス上の回路を実行し、前記回路の複製された部分を停止させることを更に含む請求項11に記載の方法。
  13. 前記記録されたデータに基づいてテストを生成する段階は、前記記録されたデータをソフトウェアシミュレータと互換性のあるフォーマットに変換する段階を含むことを特徴とする請求項2に記載の媒体。
  14. 前記回路が修正されたときに、前記ソフトウェアシミュレータ上で前記テストを実行させることを含む動作を前記機械にさせるコンテンツを更に含む請求項13に記載の媒体。
  15. 前記回路の表現をコンパイルすることは、前記回路の表現をコンパイルしてレジスタトランスファーレベルのネットリストを生成することを含む請求項13に記載の媒体。
  16. 前記レジスタトランスファーレベルのネットリストを、選択されたテクノロジアーキテクチャにマッピングすることを含む動作を前記機械にさせるコンテンツを更に含む請求項15に記載の媒体。
  17. 場所及び経路オペレーションを実行して前記選択されたテクノロジアーキテクチャにおける回路を実装することを含む動作を前記機械にさせるコンテンツを更に含む請求項16に記載の媒体。
  18. 前記レジスタトランスファーレベルのネットリストをプログラマブルハードウェアデバイス内にプログラミングすることを含む動作を前記機械にさせるコンテンツを更に含む請求項17に記載の媒体。
JP2008525222A 2005-08-02 2006-08-02 複製されたロジックを使用するデバッグ及びテスト方法並びにシステム Active JP5039698B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/195,180 US7398445B2 (en) 2002-08-09 2005-08-02 Method and system for debug and test using replicated logic
US11/195,180 2005-08-02
PCT/US2006/030417 WO2007016699A2 (en) 2005-08-02 2006-08-02 Method and system for debug and test using replicated logic

Publications (3)

Publication Number Publication Date
JP2009503749A JP2009503749A (ja) 2009-01-29
JP2009503749A5 JP2009503749A5 (ja) 2009-08-27
JP5039698B2 true JP5039698B2 (ja) 2012-10-03

Family

ID=37488031

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008525222A Active JP5039698B2 (ja) 2005-08-02 2006-08-02 複製されたロジックを使用するデバッグ及びテスト方法並びにシステム

Country Status (6)

Country Link
US (1) US7398445B2 (ja)
EP (1) EP1913410B1 (ja)
JP (1) JP5039698B2 (ja)
AT (1) ATE469359T1 (ja)
DE (1) DE602006014549D1 (ja)
WO (1) WO2007016699A2 (ja)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7222315B2 (en) * 2000-11-28 2007-05-22 Synplicity, Inc. Hardware-based HDL code coverage and design analysis
US6904576B2 (en) * 2002-08-09 2005-06-07 Synplicity, Inc. Method and system for debugging using replicated logic
US7213216B2 (en) * 2002-08-09 2007-05-01 Synplicity, Inc. Method and system for debugging using replicated logic and trigger logic
US8756557B2 (en) 2007-05-09 2014-06-17 Synopsys, Inc. Techniques for use with automated circuit design and simulations
US7904859B2 (en) * 2007-05-09 2011-03-08 Synopsys, Inc. Method and apparatus for determining a phase relationship between asynchronous clock signals
US7908574B2 (en) * 2007-05-09 2011-03-15 Synopsys, Inc. Techniques for use with automated circuit design and simulations
US7984400B2 (en) * 2007-05-09 2011-07-19 Synopsys, Inc. Techniques for use with automated circuit design and simulations
US8638792B2 (en) * 2010-01-22 2014-01-28 Synopsys, Inc. Packet switch based logic replication
US8397195B2 (en) * 2010-01-22 2013-03-12 Synopsys, Inc. Method and system for packet switch based logic replication
WO2011162858A1 (en) * 2010-06-23 2011-12-29 Tabula, Inc. Rescaling
US9495492B1 (en) * 2015-01-05 2016-11-15 Cadence Design Systems, Inc. Implementing synchronous triggers for waveform capture in an FPGA prototyping system

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE68928837T2 (de) * 1988-09-07 1999-05-12 Texas Instruments Inc Prüf-Puffer/Register
US5056094A (en) * 1989-06-09 1991-10-08 Texas Instruments Incorporated Delay fault testing method and apparatus
US5272390A (en) 1991-09-23 1993-12-21 Digital Equipment Corporation Method and apparatus for clock skew reduction through absolute delay regulation
US5452239A (en) * 1993-01-29 1995-09-19 Quickturn Design Systems, Inc. Method of removing gated clocks from the clock nets of a netlist for timing sensitive implementation of the netlist in a hardware emulation system
US5706473A (en) 1995-03-31 1998-01-06 Synopsys, Inc. Computer model of a finite state machine having inputs, outputs, delayed inputs and delayed outputs
US5761488A (en) * 1996-06-13 1998-06-02 International Business Machines Corporation Logic translation method for increasing simulation emulation efficiency
JPH10177590A (ja) * 1996-12-18 1998-06-30 Toshiba Corp 論理回路モデルのデバッグ装置およびデバッグ方法
US5923676A (en) 1996-12-20 1999-07-13 Logic Vision, Inc. Bist architecture for measurement of integrated circuit delays
US6286114B1 (en) * 1997-10-27 2001-09-04 Altera Corporation Enhanced embedded logic analyzer
US6286128B1 (en) * 1998-02-11 2001-09-04 Monterey Design Systems, Inc. Method for design optimization using logical and physical information
WO2000019343A2 (en) * 1998-09-30 2000-04-06 Cadence Design Systems, Inc. Block based design methodology
US6438735B1 (en) * 1999-05-17 2002-08-20 Synplicity, Inc. Methods and apparatuses for designing integrated circuits
US6519754B1 (en) * 1999-05-17 2003-02-11 Synplicity, Inc. Methods and apparatuses for designing integrated circuits
US7065481B2 (en) 1999-11-30 2006-06-20 Synplicity, Inc. Method and system for debugging an electronic system using instrumentation circuitry and a logic analyzer
US6551227B1 (en) * 1999-12-08 2003-04-22 Heidelberger Druckmaschinen Ag Device for seizing of flat material on a transporting surface
DE10030349A1 (de) 2000-06-20 2002-01-10 Kuratorium Offis E V Verfahren zum Analysieren der Verlustleistung bzw. der Energieaufnahme einer elektrischen Schaltung bzw. eines elektrischen Bauelementes
US6516449B2 (en) * 2001-04-02 2003-02-04 Sun Microsystems, Inc. Methodology to create integrated circuit designs by replication maintaining isomorphic input output and fault behavior
US6580299B2 (en) * 2001-04-05 2003-06-17 Parthus Ireland Limited Digital circuit for, and a method of, synthesizing an input signal
JP2003099495A (ja) * 2001-09-25 2003-04-04 Fujitsu Ltd 集積回路の設計システム、集積回路の設計方法およびプログラム
US6687882B1 (en) * 2002-01-31 2004-02-03 Synplicity, Inc. Methods and apparatuses for non-equivalence checking of circuits with subspace
US6904576B2 (en) 2002-08-09 2005-06-07 Synplicity, Inc. Method and system for debugging using replicated logic
US7266489B2 (en) * 2003-04-28 2007-09-04 International Business Machines Corporation Method, system and program product for determining a configuration of a digital design by reference to an invertible configuration database
US7055117B2 (en) * 2003-12-29 2006-05-30 Agere Systems, Inc. System and method for debugging system-on-chips using single or n-cycle stepping

Also Published As

Publication number Publication date
WO2007016699A3 (en) 2007-03-29
EP1913410A2 (en) 2008-04-23
DE602006014549D1 (de) 2010-07-08
US7398445B2 (en) 2008-07-08
ATE469359T1 (de) 2010-06-15
WO2007016699A2 (en) 2007-02-08
JP2009503749A (ja) 2009-01-29
EP1913410B1 (en) 2010-05-26
US20060259834A1 (en) 2006-11-16

Similar Documents

Publication Publication Date Title
JP5039698B2 (ja) 複製されたロジックを使用するデバッグ及びテスト方法並びにシステム
US7962869B2 (en) Method and system for debug and test using replicated logic
JP4989629B2 (ja) 複製ロジック及びトリガロジックを用いたデバッグのための方法及びシステム
JP5410414B2 (ja) 回路エミュレーションの入力及び遅延入力のマルチプレクシング
JP5432127B2 (ja) 自動回路設計及びシミュレーションに使用するための技術
EP2165280B1 (en) Recording of emulation states using replicated memory elements
JP5432126B2 (ja) 自動回路設計及びシミュレーションに使用するための技術
US8341570B2 (en) Method and apparatus for executing a hardware simulation and verification solution
US7490307B2 (en) Automatic generating of timing constraints for the validation/signoff of test structures
US20070174805A1 (en) Debugging system for gate level IC designs
US7415684B2 (en) Facilitating structural coverage of a design during design verification
Sohofi et al. Assertion-based verification for system-level designs
US9183329B2 (en) Debugging simulation with partial design replay
Gong et al. Modeling dynamically reconfigurable systems for simulation-based functional verification
LAHTINEN et al. Verification of an IP interface prototype design through simulation and emulation

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090710

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090710

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20100805

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111003

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111228

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120111

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120305

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120312

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120403

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120618

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120709

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150713

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5039698

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250