JP5030535B2 - Method for manufacturing semiconductor device - Google Patents

Method for manufacturing semiconductor device Download PDF

Info

Publication number
JP5030535B2
JP5030535B2 JP2006299363A JP2006299363A JP5030535B2 JP 5030535 B2 JP5030535 B2 JP 5030535B2 JP 2006299363 A JP2006299363 A JP 2006299363A JP 2006299363 A JP2006299363 A JP 2006299363A JP 5030535 B2 JP5030535 B2 JP 5030535B2
Authority
JP
Japan
Prior art keywords
laser beam
layer
laser
irradiated
material layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006299363A
Other languages
Japanese (ja)
Other versions
JP2008114250A (en
Inventor
幸一郎 田中
洋正 大石
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Priority to JP2006299363A priority Critical patent/JP5030535B2/en
Publication of JP2008114250A publication Critical patent/JP2008114250A/en
Application granted granted Critical
Publication of JP5030535B2 publication Critical patent/JP5030535B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Description

本発明は、導電層、絶縁層等の薄膜をレーザ加工する方法に関する。特に、導電層、絶縁層等の薄膜に開口を形成するレーザ加工方法に関する。また、薄膜を加工することができるレーザ加工装置に関する。   The present invention relates to a method of laser processing thin films such as a conductive layer and an insulating layer. In particular, the present invention relates to a laser processing method for forming an opening in a thin film such as a conductive layer or an insulating layer. The present invention also relates to a laser processing apparatus that can process a thin film.

従来、MOSトランジスタや薄膜トランジスタ及びそれらを有する半導体装置は、基板上に絶縁層、導電層などの薄膜を形成し、適宜リソグラフィー技術により所望のパターンを形成して製造されている。リソグラフィー技術とは、フォトマスクと呼ばれる透明な平板面上に遮光性の材料で形成された回路等のパターンを、光を利用して目的とする対象物に転写する技術であり、半導体集積回路等の製造工程において、広く用いられている。   2. Description of the Related Art Conventionally, MOS transistors, thin film transistors, and semiconductor devices including them are manufactured by forming a thin film such as an insulating layer and a conductive layer on a substrate, and forming a desired pattern appropriately by lithography. Lithography technology is a technology that uses light to transfer a circuit pattern, etc., formed of a light-shielding material on a transparent flat plate called a photomask to a target object, such as a semiconductor integrated circuit. It is widely used in the manufacturing process.

しかし、リソグラフィー技術を用いた製造工程では、フォトレジストと呼ばれる感光性樹脂を用いたレジスト塗布、パターン露光、現像、レジストをマスクとしたエッチング、レジスト剥離といった多段階の工程が必要になる。従って、リソグラフィー工程の回数が増える程、コストが増加し、スループットが低下してしまう。   However, a manufacturing process using a lithography technique requires a multi-step process such as resist coating using a photosensitive resin called a photoresist, pattern exposure, development, etching using a resist as a mask, and resist stripping. Therefore, as the number of lithography processes increases, the cost increases and the throughput decreases.

例えば、特許文献1には、絶縁膜に開口を形成する際のエッチング工程を不要にして、リソグラフィー工程を簡略化する半導体装置の製造方法が記載されている。具体的には、開口を形成したい領域に予めレジスト膜を形成し、当該レジスト膜以外の領域に絶縁膜を形成し、レジスト膜を除去することで、絶縁膜に開口を形成している。
特開2001−77194号公報
For example, Patent Document 1 describes a method for manufacturing a semiconductor device that eliminates an etching process when forming an opening in an insulating film and simplifies the lithography process. Specifically, a resist film is formed in advance in a region where an opening is to be formed, an insulating film is formed in a region other than the resist film, and the resist film is removed to form an opening in the insulating film.
JP 2001-77194 A

本発明は、フォトレジストを用いることなく薄膜を加工することができる加工方法及び加工装置を提供することを課題とする。特に、レーザを利用する薄膜の加工方法及び加工装置を提供することを課題とする。   An object of the present invention is to provide a processing method and a processing apparatus capable of processing a thin film without using a photoresist. In particular, an object of the present invention is to provide a thin film processing method and a processing apparatus using a laser.

本発明は、フォトレジストを用いることなく、レーザビームの照射によるアブレーションを利用して、薄膜等で構成される被照射体を加工することを特徴とする。本発明のように、レーザアブレーションを利用してパターンを形成するプロセスを、本明細書ではレーザ・アブレーション・パターニング・プロセス(LAPP;Laser Ablation Patterning Process)と呼ぶ。本発明は、レーザアブレーションを利用して開口パターンを形成することを特徴の1つとする。   The present invention is characterized in that an object to be irradiated composed of a thin film or the like is processed using ablation by laser beam irradiation without using a photoresist. A process of forming a pattern using laser ablation as in the present invention is referred to herein as a laser ablation patterning process (LAPP). One feature of the present invention is that an opening pattern is formed using laser ablation.

なお、本明細書において、アブレーション(又はレーザアブレーションともいう)とは、レーザビームを照射して被照射体の照射領域の一部が除去される現象のことをいう。また、アブレーションとは、レーザビームの照射により被照射体の照射領域の一部が固体状態から気体状態に変化する昇華と、当該照射領域の一部が固体状態から液体状態を経て気体状態に変化する蒸発との両方を含む。   Note that in this specification, ablation (also referred to as laser ablation) refers to a phenomenon in which part of an irradiation region of an irradiated object is removed by irradiation with a laser beam. Ablation refers to sublimation in which part of the irradiated area of the irradiated object changes from a solid state to a gaseous state by laser beam irradiation, and a part of the irradiated area changes from a solid state to a liquid state through a liquid state. Including both evaporation.

被照射体は、基板上に少なくとも第1材料層、第2材料層が順次形成された積層体である。基板と第1材料層との間には、他の材料層(例えば、導電層、絶縁層、半導体層等)が形成されていてもよい。   The irradiated body is a stacked body in which at least a first material layer and a second material layer are sequentially formed on a substrate. Another material layer (for example, a conductive layer, an insulating layer, a semiconductor layer, or the like) may be formed between the substrate and the first material layer.

被照射体に対して、第1レーザビーム及び第2レーザビームを重畳するように照射する。被照射体において、第1レーザビーム及び第2レーザビームが重畳して照射された領域の一部がアブレーションされて除去され、加工される。   Irradiation is performed so that the first laser beam and the second laser beam are superimposed on the object to be irradiated. In the object to be irradiated, a part of the region irradiated with the first laser beam and the second laser beam superimposed is ablated, removed, and processed.

第1レーザビームは、第1材料層のアブレーション閾値未満のエネルギーを有する。また、第1レーザビームは第2レーザビームよりもエネルギー密度が高いことが好ましい。第1レーザビームは、好ましくは1W以上のエネルギーを有する。なお、第1レーザビームはパルス発振のレーザビーム又は連続発振のレーザビームのどちらでもよい。   The first laser beam has an energy less than the ablation threshold of the first material layer. The first laser beam preferably has a higher energy density than the second laser beam. The first laser beam preferably has an energy of 1 W or more. Note that the first laser beam may be either a pulsed laser beam or a continuous wave laser beam.

第2レーザビームは、パルス発振のレーザビームである。また、第2レーザビームは第1レーザビームよりもパルス幅が短い。好ましくは、パルス幅が1ナノ秒(10−9秒)以下である。 The second laser beam is a pulsed laser beam. The second laser beam has a shorter pulse width than the first laser beam. Preferably, the pulse width is 1 nanosecond (10 −9 seconds) or less.

第1材料層は、第1レーザビーム及び第2レーザビームを吸収する層を形成する。第2材料層は、第1レーザビーム及び第2レーザビームを透過する層を形成する。なお、第2材料層は、第1レーザビーム及び第2レーザビームを一部吸収してもよい。   The first material layer forms a layer that absorbs the first laser beam and the second laser beam. The second material layer forms a layer that transmits the first laser beam and the second laser beam. Note that the second material layer may partially absorb the first laser beam and the second laser beam.

被照射体に照射された第1レーザビーム及び第2レーザビームは、第2材料層を透過して第1材料層で吸収される。第1レーザビーム及び第2レーザビームが重畳して照射された領域では、2種類のレーザビームのエネルギーが合成される。第1材料層は、第1レーザビーム及び第2レーザビームが重畳して照射された領域で2種類のレーザビームが合成されたエネルギーを吸収して加熱される。その結果、第1レーザビーム及び第2レーザビームが重畳して照射された領域の第2材料層、又は第2材料層及び第1材料層が破壊されて除去される。   The first laser beam and the second laser beam irradiated to the irradiation object are transmitted through the second material layer and absorbed by the first material layer. In the region irradiated with the first laser beam and the second laser beam superimposed, the energy of the two types of laser beams is synthesized. The first material layer is heated by absorbing energy obtained by combining two types of laser beams in a region irradiated with the first laser beam and the second laser beam superimposed. As a result, the second material layer or the second material layer and the first material layer in the region irradiated with the first laser beam and the second laser beam are destroyed and removed.

本発明は、レーザアブレーションを利用することにより、フォトレジストを用いたリソグラフィー工程を用いることなく、被照射体を加工することができる。   In the present invention, an object to be irradiated can be processed by using laser ablation without using a lithography process using a photoresist.

本発明は、基板上に第1材料層及び第2材料層を順次積層した被照射体を形成し、第1材料層のアブレーション閾値未満のエネルギーを有する第1レーザビーム及びパルス発振の第2レーザビームを重畳して照射することにより被照射体の一部を除去して加工する方法である。このとき、被照射体において、第1レーザビームは第2レーザビームよりもエネルギー密度が高く、第2レーザビームは第1レーザビームよりもパルス幅が短いことを特徴とする。   According to the present invention, an irradiated body is formed by sequentially laminating a first material layer and a second material layer on a substrate, and the first laser beam and the pulsed second laser having energy less than the ablation threshold of the first material layer are formed. This is a method of removing and processing a part of the irradiated object by superimposing and irradiating the beam. At this time, in the irradiated object, the first laser beam has a higher energy density than the second laser beam, and the second laser beam has a pulse width shorter than that of the first laser beam.

本発明の他の構成は、基板上に第1材料層及び第2材料層を順次積層した被照射体を形成し、第1材料層のアブレーション閾値未満のエネルギーを有する第1レーザビーム及びパルス発振の第2レーザビームを重畳して照射することにより、少なくとも第2材料層を除去して加工する方法である。このとき、第1レーザビームは第2レーザビームよりもエネルギー密度が高く、第2レーザビームは第1レーザビームよりもパルス幅が短いことを特徴とする。   In another configuration of the present invention, an irradiated body is formed by sequentially laminating a first material layer and a second material layer on a substrate, and a first laser beam and pulse oscillation having energy less than the ablation threshold of the first material layer are formed. In this method, at least the second material layer is removed and processed by superimposing the second laser beam. At this time, the first laser beam has a higher energy density than the second laser beam, and the second laser beam has a shorter pulse width than the first laser beam.

第1レーザビームは、連続発振のレーザビーム又はパルス発振のレーザビームのいずれを用いてもよい。また、第1レーザビームは、YAGレーザ、YVOレーザ、YLFレーザ、又はエキシマレーザから射出されることが好ましい。第2レーザビームは、フェムト秒レーザ、又はピコ秒レーザから射出されることが好ましい。 As the first laser beam, either a continuous wave laser beam or a pulsed laser beam may be used. The first laser beam is preferably emitted from a YAG laser, a YVO 4 laser, a YLF laser, or an excimer laser. The second laser beam is preferably emitted from a femtosecond laser or a picosecond laser.

第1材料層としては、第1レーザビーム及び第2レーザビームを吸収する層を形成することが好ましい。第2材料層としては、第1レーザビーム及び第2レーザビームを透過する層を形成することが好ましい。   As the first material layer, a layer that absorbs the first laser beam and the second laser beam is preferably formed. As the second material layer, a layer that transmits the first laser beam and the second laser beam is preferably formed.

本発明の他の構成は、第1材料層は、クロム(Cr)、モリブデン(Mo)、ニッケル(Ni)、チタン(Ti)、コバルト(Co)、銅(Cu)、アルミニウム(Al)のうち、少なくとも1つの元素を含む層を形成することが好ましい。   In another configuration of the present invention, the first material layer is made of chromium (Cr), molybdenum (Mo), nickel (Ni), titanium (Ti), cobalt (Co), copper (Cu), or aluminum (Al). It is preferable to form a layer containing at least one element.

また、本発明の他の構成は、第1材料層は、シリコン、ゲルマニウム、シリコンゲルマニウム、酸化モリブデン、酸化スズ、酸化ビスマス、酸化バナジウム、酸化ニッケル、酸化亜鉛、ヒ化ガリウム、窒化ガリウム、酸化インジウム、リン化インジウム、窒化インジウム、硫化カドミウム、テルル化カドミウム、チタン酸ストロンチウムのうち、少なくとも1つを含む層を形成することが好ましい。   According to another configuration of the present invention, the first material layer includes silicon, germanium, silicon germanium, molybdenum oxide, tin oxide, bismuth oxide, vanadium oxide, nickel oxide, zinc oxide, gallium arsenide, gallium nitride, and indium oxide. It is preferable to form a layer containing at least one of indium phosphide, indium nitride, cadmium sulfide, cadmium telluride, and strontium titanate.

第2材料層は、無機絶縁材料又は有機絶縁材料を含む層を形成することが好ましい。   The second material layer preferably forms a layer containing an inorganic insulating material or an organic insulating material.

また、本発明の他の構成は、第1レーザビームのビームスポット面積S1と第2レーザビームのビームスポット面積S2が、S1>S2を満たすように第1レーザビーム及び第2レーザビームを照射することを特徴とする。   In another configuration of the present invention, the first laser beam and the second laser beam are irradiated so that the beam spot area S1 of the first laser beam and the beam spot area S2 of the second laser beam satisfy S1> S2. It is characterized by that.

また、本発明は、被照射体を直接加工することができるレーザ加工装置を提供する。   Moreover, this invention provides the laser processing apparatus which can process an to-be-irradiated body directly.

本発明のレーザ加工装置は、第1レーザビームを射出する第1のレーザ発振装置と、第1レーザビームよりもエネルギー密度が低く、パルス幅が短いパルス発振の第2レーザビームを射出する第2のレーザ発振装置と、第1レーザビームを成形し、被照射体に照射する第1の光学系と、第2レーザビームを成形する第2の光学系と、第2の光学系を通過した第2レーザビームを複数に分割し、複数に分割した第2レーザビームを、被照射体において第1レーザビームと重畳するように照射する光学素子と、被照射体を保持するステージと、第1レーザビーム及び第2レーザビームを所望の場所に照射させる機構と、を具備し、被照射体に対して、第1レーザビーム及び第2レーザビームを重畳して照射することにより、被照射体の一部を除去することを特徴とする。   The laser processing apparatus of the present invention includes a first laser oscillation apparatus that emits a first laser beam, and a second laser beam that emits a pulsed second laser beam having an energy density lower than that of the first laser beam and a shorter pulse width. The first laser system that shapes the first laser beam and irradiates the irradiated object, the second optical system that shapes the second laser beam, and the second optical system that has passed through the second optical system. An optical element that divides the two laser beams into a plurality of beams and irradiates the second laser beam divided into a plurality of beams on the irradiated body so as to overlap the first laser beam, a stage that holds the irradiated body, and a first laser A mechanism for irradiating a desired location with the beam and the second laser beam, and irradiating the irradiated object with the first laser beam and the second laser beam in a superimposed manner, Remove part And wherein the Rukoto.

また、本発明の他の構成のレーザ加工装置は、第1レーザビームを射出する第1のレーザ発振装置と、第1レーザビームよりもエネルギー密度が低く、パルス幅が短いパルス発振の第2レーザビームを射出する第2のレーザ発振装置と、第1レーザビームを成形し、被照射体に照射する第1の光学系と、第2レーザビームを成形する第2の光学系と、第2の光学系を通過した第2レーザビームを複数に分割し、複数に分割した第2レーザビームを、被照射体において第1レーザビームと重畳するように照射する偏向器と、被照射体を保持するステージと、第1レーザビーム及び第2レーザビームを所望の場所に照射させる機構と、を具備し、被照射体に対して、第1レーザビーム及び第2レーザビームを重畳して照射することにより、被照射体の一部を除去することを特徴とする。   Further, a laser processing apparatus of another configuration of the present invention includes a first laser oscillation apparatus that emits a first laser beam, and a pulsed second laser that has a lower energy density and a shorter pulse width than the first laser beam. A second laser oscillation device for emitting a beam, a first optical system for shaping the first laser beam and irradiating the irradiated object, a second optical system for shaping the second laser beam, and a second A second laser beam that has passed through the optical system is divided into a plurality of parts, and a deflector that irradiates the second laser beam that has been divided into a plurality of parts so as to overlap the first laser beam on the object to be irradiated, and the object to be irradiated are held. A stage and a mechanism for irradiating a desired location with the first laser beam and the second laser beam, and by irradiating the irradiated body with the first laser beam and the second laser beam superimposed on each other; , Irradiated And removing a portion of the.

第1のレーザ発振装置は、YAGレーザ、YVOレーザ、YLFレーザ、又はエキシマレーザを具備することが好ましい。また、第2のレーザ発振装置は、ピコ秒レーザ又はフェムト秒レーザを具備することが好ましい。 The first laser oscillation device preferably includes a YAG laser, a YVO 4 laser, a YLF laser, or an excimer laser. The second laser oscillation device preferably includes a picosecond laser or a femtosecond laser.

また、本発明の他の構成は被照射体にも特徴があり、被照射体は、基板上に第1材料層、第2材料層が順に積層された積層体であり、第1レーザビーム及び第2レーザビームを重畳して照射することにより、第2材料層の一部、又は第2材料層及び第1材料層の一部を除去することを特徴とする。   In addition, another structure of the present invention is also characterized in an irradiated body, which is a stacked body in which a first material layer and a second material layer are stacked in this order on a substrate, and the first laser beam and A part of the second material layer or part of the second material layer and the first material layer is removed by superimposing the second laser beam.

本発明を適用することで、フォトレジストを用いることなく薄膜を加工することができる。また、レーザビームを吸収しない薄膜をレーザ加工することが可能になる。よって、本発明は、薄膜等で構成される半導体装置の製造工程を容易にし、スループットを向上させることができる。   By applying the present invention, a thin film can be processed without using a photoresist. In addition, a thin film that does not absorb the laser beam can be laser processed. Therefore, the present invention can facilitate the manufacturing process of a semiconductor device including a thin film and improve the throughput.

本発明の実施の形態について、図面を用いて以下に説明する。ただし、本発明は以下の説明に限定されず、本発明の趣旨及びその範囲から逸脱することなく、その形態及び詳細をさまざまに変更しうることは以下に示す実施の形態の記載内容に限定して解釈されるものではない。なお、以下に説明する本発明の構成において、同じものを指す符号は異なる図面間で共通して用いる場合がある。   Embodiments of the present invention will be described below with reference to the drawings. However, the present invention is not limited to the following description, and various modifications can be made to the embodiments and details without departing from the spirit and scope of the present invention. Are not to be interpreted. Note that in the structures of the present invention described below, the same reference numerals may be used in common in different drawings.

(実施の形態1)
本発明はフォトレジストを用いたリソグラフィー工程を行うことなく、所望の領域を加工することを特徴とする。本実施の形態では、導電層同士を電気的に接続するための開口を被照射体に形成する。以下、本発明を適用し、被照射体を加工して開口を形成する方法の一形態について、図1、図2を用いて具体的に説明する。
(Embodiment 1)
The present invention is characterized in that a desired region is processed without performing a lithography process using a photoresist. In this embodiment mode, an opening for electrically connecting the conductive layers is formed in the irradiation object. Hereinafter, one mode of a method for forming an opening by processing an irradiation object by applying the present invention will be specifically described with reference to FIGS.

図1(A)に本発明を適用して開口を形成する被照射体の構造の一例を示す。被照射体は、基板10上に導電層12、第1材料層14、第2材料層16が順次積層された構造である。被照射体に対して、第1レーザビーム20及び第2レーザビーム18を重畳するように照射する。ここでは、第2材料層16側から2種類のレーザビームを照射する。被照射体において、第1レーザビーム20及び第2レーザビーム18が重畳して照射された領域を重畳照射領域22とする(図1(A)参照)。   FIG. 1A illustrates an example of a structure of an irradiation object in which an opening is formed by applying the present invention. The irradiated body has a structure in which a conductive layer 12, a first material layer 14, and a second material layer 16 are sequentially stacked on a substrate 10. Irradiation is performed so that the first laser beam 20 and the second laser beam 18 are superimposed on the object to be irradiated. Here, two types of laser beams are irradiated from the second material layer 16 side. In the irradiated object, a region irradiated with the first laser beam 20 and the second laser beam 18 is referred to as a superimposed irradiation region 22 (see FIG. 1A).

基板10は、ガラス基板、石英基板、サファイア基板、セラミック基板、半導体基板等を用いる。また、基板10上に下地絶縁層を形成してもよい。下地絶縁層は、酸化シリコン(SiOx)、窒化シリコン(SiNx)、酸化窒化シリコン(SiOxNy)(x>y)、窒化酸化シリコン(SiNxOy)(x>y)等の絶縁材料を用いて形成する。   As the substrate 10, a glass substrate, a quartz substrate, a sapphire substrate, a ceramic substrate, a semiconductor substrate, or the like is used. Further, a base insulating layer may be formed over the substrate 10. The base insulating layer is formed using an insulating material such as silicon oxide (SiOx), silicon nitride (SiNx), silicon oxynitride (SiOxNy) (x> y), or silicon nitride oxide (SiNxOy) (x> y).

導電層12は、導電材料を用いて形成する。例えば、銀(Ag)、金(Au)、ニッケル(Ni)、白金(Pt)、パラジウム(Pd)、イリジウム(Ir)、ロジウム(Rh)、タンタル(Ta)、タングステン(W)、チタン(Ti)、モリブデン(Mo)、アルミニウム(Al)、銅(Cu)等の金属元素、又は当該金属元素を主成分とする合金材料若しくは化合物材料を用いることができる。化合物材料としては、窒素化合物、酸素化合物、炭素化合物、ハロゲン化合物などを用いることができ、具体的には窒化アルミニウム、窒化タングステン、窒化タンタル等が挙げられる。導電層12は、これらの導電材料のうち1つ又は複数の材料を用いて、スパッタリング法、CVD法等により、単層構造又は積層構造で形成することができる。   The conductive layer 12 is formed using a conductive material. For example, silver (Ag), gold (Au), nickel (Ni), platinum (Pt), palladium (Pd), iridium (Ir), rhodium (Rh), tantalum (Ta), tungsten (W), titanium (Ti) ), Molybdenum (Mo), aluminum (Al), copper (Cu), or the like, or an alloy material or a compound material containing the metal element as a main component can be used. As the compound material, a nitrogen compound, an oxygen compound, a carbon compound, a halogen compound, or the like can be used. Specific examples include aluminum nitride, tungsten nitride, and tantalum nitride. The conductive layer 12 can be formed using a single layer structure or a stacked layer structure using one or a plurality of these conductive materials by a sputtering method, a CVD method, or the like.

第1材料層14は、第1レーザビーム20及び第2レーザビーム18を吸収することができる材料を用いて形成する。例えば、クロム(Cr)、モリブデン(Mo)、ニッケル(Ni)、チタン(Ti)、コバルト(Co)、銅(Cu)、アルミニウム(Al)等の金属元素、又は当該金属元素を主成分とする合金材料若しくは化合物材料を用いることができる。化合物材料としては、窒素化合物、酸素化合物、炭素化合物、ハロゲン化合物などを用いることができる。その他、シリコン、ゲルマニウム、シリコンゲルマニウム、酸化モリブデン、酸化スズ、酸化ビスマス、酸化バナジウム、酸化ニッケル、酸化亜鉛、ヒ化ガリウム、窒化ガリウム、酸化インジウム、リン化インジウム、窒化インジウム、硫化カドミウム、テルル化カドミウム、チタン酸ストロンチウム等の半導体材料を用いることができる。また、硫化亜鉛、窒化シリコン、硫化水銀、塩化アルミニウム等を用いることができる。第1材料層14は、これらの材料のうち1つ又は複数を用いて、蒸着法、スパッタリング法、又はCVD法等により、単層構造又は積層構造で形成する。また、第1材料層14に、水素や不活性気体(ヘリウム(He)、アルゴン(Ar)、クリプトン(Kr)、ネオン(Ne)、キセノン(Xe)等の希ガス)を添加することもできる。第1材料層14に水素や不活性気体を添加することで、後にレーザビームを照射する際、第1材料層14内での気体の放出や蒸発を起こしやすくすることができる。なお、第1材料層14は、下層に設けられた導電層12の融点の温度よりも、沸点又は昇華点の温度が低い材料を用いて形成するのが好ましい。このような材料を用いて第1材料層14を形成することで、レーザアブレーションの際に下層の導電層12に与えるダメージを防止できる。   The first material layer 14 is formed using a material that can absorb the first laser beam 20 and the second laser beam 18. For example, a metal element such as chromium (Cr), molybdenum (Mo), nickel (Ni), titanium (Ti), cobalt (Co), copper (Cu), aluminum (Al) or the like, or the metal element as a main component. Alloy materials or compound materials can be used. As the compound material, a nitrogen compound, an oxygen compound, a carbon compound, a halogen compound, or the like can be used. In addition, silicon, germanium, silicon germanium, molybdenum oxide, tin oxide, bismuth oxide, vanadium oxide, nickel oxide, zinc oxide, gallium arsenide, gallium nitride, indium oxide, indium phosphide, indium nitride, cadmium sulfide, cadmium telluride A semiconductor material such as strontium titanate can be used. Further, zinc sulfide, silicon nitride, mercury sulfide, aluminum chloride, or the like can be used. The first material layer 14 is formed in a single layer structure or a stacked structure by using one or more of these materials by an evaporation method, a sputtering method, a CVD method, or the like. Further, hydrogen or an inert gas (a rare gas such as helium (He), argon (Ar), krypton (Kr), neon (Ne), or xenon (Xe)) can be added to the first material layer 14. . By adding hydrogen or an inert gas to the first material layer 14, it is possible to easily cause gas emission or evaporation in the first material layer 14 when the laser beam is irradiated later. The first material layer 14 is preferably formed using a material having a boiling point or a sublimation temperature lower than the melting point of the conductive layer 12 provided in the lower layer. By forming the first material layer 14 using such a material, damage to the lower conductive layer 12 during laser ablation can be prevented.

第2材料層16は、第1レーザビーム20及び第2レーザビーム18の2種類のレーザビームを透過することができる材料を用いて形成する。なお、第2材料層16は2種類のレーザビームの一部を吸収してもよい。例えば、酸化シリコン、窒化シリコン、酸化窒化シリコン、窒化酸化シリコン等の無機絶縁材料、ポリイミド、アクリル、ポリアミド、ポリイミドアミド、ベンゾシクロブテン、エポキシ樹脂等の有機絶縁材料等を用いることができる。第2材料層16は、これらの材料のうち1つ又は複数を用いて、スパッタリング法、CVD法、塗布法等により、単層構造又は積層構造で形成する。   The second material layer 16 is formed using a material that can transmit two types of laser beams, the first laser beam 20 and the second laser beam 18. Note that the second material layer 16 may absorb part of two types of laser beams. For example, inorganic insulating materials such as silicon oxide, silicon nitride, silicon oxynitride, and silicon nitride oxide, and organic insulating materials such as polyimide, acrylic, polyamide, polyimide amide, benzocyclobutene, and epoxy resin can be used. The second material layer 16 is formed with a single layer structure or a stacked structure by using one or more of these materials by a sputtering method, a CVD method, a coating method, or the like.

第1レーザビーム20は、第1材料層14のアブレーション閾値未満のエネルギーを有する。また、被照射体において、第1レーザビーム20は第2レーザビームよりもエネルギー密度が高いことが好ましい。さらに、1W以上の高いエネルギーを有することが好ましい。このようなレーザビームは、KrF、ArF、KrF、XeCl、XeF等のエキシマレーザ、He、He−Cd、Ar、He−Ne等の気体レーザ、単結晶のYAG、YVO、YLF、フォルステライト(MgSiO)、YAlO、GdVO若しくは多結晶(セラミック)のYAG、Y、YVO、YAlO、GdVOに、ドーパントとしてNd、Yb、Cr、Ti、Ho、Er、Tm、Taのうち1種または複数種添加されているものを媒質とする固体レーザ、GaN、GaAs、GaAlAs、InGaAsP等の半導体レーザ等を用いて得ることができる。固体レーザを用いる場合は、基本波から第5高調波まで適宜選択して用いることができる。好ましくは、YAGレーザ、YVOレーザ、、YLFレーザ、エキシマレーザを用いると数W以上の高いエネルギーを有するレーザビームが得られやすい。また、第1レーザビーム20をマルチモード発振とすると、より高いエネルギーを有するレーザビームを得られやすい。なお、第1レーザビーム20は、連続発振(CW)のレーザビームとパルス発振のレーザビームのどちらを用いても構わない。 The first laser beam 20 has an energy that is less than the ablation threshold of the first material layer 14. In the irradiated object, the first laser beam 20 preferably has a higher energy density than the second laser beam. Furthermore, it is preferable to have high energy of 1 W or more. Such laser beams include excimer lasers such as KrF, ArF, KrF, XeCl, and XeF, gas lasers such as He, He—Cd, Ar, and He—Ne, single crystal YAG, YVO 4 , YLF, forsterite ( Mg 2 SiO 4 ), YAlO 3 , GdVO 4 or polycrystalline (ceramic) YAG, Y 2 O 3 , YVO 4 , YAlO 3 , GdVO 4 , dopants Nd, Yb, Cr, Ti, Ho, Er, Tm , Ta, or a semiconductor laser such as GaN, GaAs, GaAlAs, InGaAsP, or the like that uses one or more of Ta added as a medium. When a solid-state laser is used, it can be appropriately selected from the fundamental wave to the fifth harmonic. Preferably, when a YAG laser, YVO 4 laser, YLF laser, or excimer laser is used, a laser beam having a high energy of several W or more can be easily obtained. Further, when the first laser beam 20 is set to multimode oscillation, it is easy to obtain a laser beam having higher energy. Note that the first laser beam 20 may be either a continuous wave (CW) laser beam or a pulsed laser beam.

第2レーザビーム18は、パルス発振のレーザビームである。また、第2レーザビームは第1レーザビーム20よりもパルス幅が短い。例えば、パルス幅が1ナノ秒(10−9秒)以下であることが好ましい。このようなレーザビームは、パルス幅がピコ秒(10−12秒乃至10−10秒)台、又はフェムト秒(10−15秒乃至10−13秒)台のレーザビームが得られるパルス発振のレーザを用いて得ることができる。好ましくは、ピコ秒レーザ、又はフェムト秒レーザ等の超短パルスレーザを用いればよい。 The second laser beam 18 is a pulsed laser beam. The second laser beam has a shorter pulse width than the first laser beam 20. For example, the pulse width is preferably 1 nanosecond (10 −9 seconds) or less. Such a laser beam is a pulse oscillation laser that can obtain a laser beam having a pulse width on the order of picoseconds (10 −12 to 10 −10 seconds) or femtoseconds (10 −15 to 10 −13 seconds). Can be used. Preferably, an ultrashort pulse laser such as a picosecond laser or a femtosecond laser may be used.

被照射体に照射された第1レーザビーム20及び第2レーザビーム18は、第2材料層16を透過して第1材料層14で吸収される。第1レーザビーム20及び第2レーザビーム18は、被照射体にて重畳するように照射されている。被照射体は、2種類のレーザビームの重畳照射領域22において、当該重畳照射領域22の一部がアブレーションされ、除去される。その結果、開口24が形成される。開口24の底面では導電層12が露出する。(図1(B)参照)。本実施の形態では、第1レーザビーム20及び第2レーザビーム18の照射により第1材料層14及び第2材料層16をアブレーションさせ、開口24を形成する例を示している。   The first laser beam 20 and the second laser beam 18 irradiated on the irradiated object are transmitted through the second material layer 16 and absorbed by the first material layer 14. The first laser beam 20 and the second laser beam 18 are irradiated so as to overlap with each other on the irradiated object. In the superimposed irradiation region 22 of two types of laser beams, a part of the overlapping irradiation region 22 is ablated and removed from the irradiated object. As a result, the opening 24 is formed. The conductive layer 12 is exposed at the bottom surface of the opening 24. (See FIG. 1B). In this embodiment, an example in which the first material layer 14 and the second material layer 16 are ablated by irradiation with the first laser beam 20 and the second laser beam 18 to form the opening 24 is shown.

アブレーションは、ある閾値以上のエネルギーが与えられた場合に起きる。以下、本明細書では、アブレーションが起きるエネルギーの閾値をアブレーション閾値ともいう。また、アブレーションは任意の材料層で起こるわけではなく、レーザビームを吸収することができる材料層、又はレーザビームを吸収することができる材料層に接する層で起きる。   Ablation occurs when energy above a certain threshold is applied. Hereinafter, in this specification, the threshold value of energy at which ablation occurs is also referred to as an ablation threshold value. Ablation does not occur in any material layer, but occurs in a material layer that can absorb the laser beam or a layer that is in contact with the material layer that can absorb the laser beam.

第1材料層14は第1レーザビーム20及び第2レーザビーム18の2種類のレーザビームを吸収する層である。第2材料層16は2種類のレーザビームを吸収しない、又は一部しか吸収しない層である。アブレーションは、第1材料層14に吸収されるレーザビームのエネルギーがアブレーション閾値以上の場合に起きる。したがって、第1材料層14に吸収されるレーザビーム、つまり照射されるレーザビームのエネルギーがアブレーション閾値未満の場合は、レーザビームが照射されてもアブレーションは起きず、第1材料層14及び第2材料層16は全く変質しない。   The first material layer 14 is a layer that absorbs two types of laser beams, the first laser beam 20 and the second laser beam 18. The second material layer 16 is a layer that does not absorb two types of laser beams or only partially absorbs them. Ablation occurs when the energy of the laser beam absorbed by the first material layer 14 is greater than or equal to the ablation threshold. Therefore, when the energy of the laser beam absorbed by the first material layer 14, that is, the energy of the irradiated laser beam is less than the ablation threshold, ablation does not occur even when the laser beam is irradiated, and the first material layer 14 and the second material layer 14 The material layer 16 is not altered at all.

本実施の形態では、第1レーザビーム20のエネルギーは、第1材料層14のアブレーション閾値未満とする。第2レーザビーム18のエネルギーは、第1レーザビーム20のエネルギーと合成した場合に、第1材料層14のアブレーション閾値以上となるものとする。   In the present embodiment, the energy of the first laser beam 20 is less than the ablation threshold of the first material layer 14. The energy of the second laser beam 18 is not less than the ablation threshold of the first material layer 14 when combined with the energy of the first laser beam 20.

例えば、第1レーザビームのエネルギーをA、第2レーザビームのエネルギーをB、アブレーション閾値のエネルギーをCとする。本発明は、以下の数式(1)、数式(2)を満たす。   For example, the energy of the first laser beam is A, the energy of the second laser beam is B, and the energy of the ablation threshold is C. The present invention satisfies the following formulas (1) and (2).

Figure 0005030535
Figure 0005030535

Figure 0005030535
Figure 0005030535

被照射体において、第1レーザビーム20のみが照射される領域では、第1レーザビーム20は第2材料層16を透過し、第1材料層14で吸収される。しかし、第1材料層14に吸収されるレーザビームのエネルギーがアブレーション閾値未満のために、被照射体はアブレーションされない。   In a region to be irradiated with only the first laser beam 20, the first laser beam 20 passes through the second material layer 16 and is absorbed by the first material layer 14. However, the irradiated object is not ablated because the energy of the laser beam absorbed by the first material layer 14 is less than the ablation threshold.

第1レーザビーム20及び第2レーザビーム18が重畳して照射される領域では、これら2種類のレーザビームのエネルギーが合成される。その結果、第1材料層14に吸収されるレーザビームのエネルギーがアブレーション閾値以上となり、被照射体(第2材料層16、又は第1材料層14及び第2材料層16)はアブレーションされる。アブレーションにより、被照射体に形成される開口24の形状は、第2レーザビーム18に依る。第2レーザビーム18は、パルス時間幅が短いため、短時間でエネルギーが被照射体に集中する。そのため、加工部周辺への熱伝導が起きる前に加工が進行する断熱過程により、局所的にエネルギーを与えることができる。よって、被照射体に形成される開口の端面にダメージが発生することを防止できるため、精度良く開口を形成できる。また、微細な開口を形成することが可能である。   In the region where the first laser beam 20 and the second laser beam 18 are superimposed and irradiated, the energy of these two types of laser beams is synthesized. As a result, the energy of the laser beam absorbed by the first material layer 14 becomes equal to or higher than the ablation threshold, and the irradiated object (the second material layer 16, or the first material layer 14 and the second material layer 16) is ablated. The shape of the opening 24 formed in the irradiated object by the ablation depends on the second laser beam 18. Since the second laser beam 18 has a short pulse time width, energy is concentrated on the irradiated object in a short time. Therefore, energy can be locally given by the heat insulation process in which the processing proceeds before heat conduction to the periphery of the processing portion occurs. Therefore, damage can be prevented from occurring on the end face of the opening formed in the irradiated body, so that the opening can be formed with high accuracy. In addition, a fine opening can be formed.

一般に、第1レーザビーム20として適用するレーザビームをマルチモード発振とすると.より高いエネルギーが得られるが、集光性が悪くなり、直径数百μm程度までしか集光できなかった。一方、第2レーザビーム18として適用できる1ナノ秒以下のパルス幅のレーザビームは、局所的にエネルギーを与えることができるため、直径数μm程度の加工ができる利点を有するが、全体のエネルギーは低く、高い出力を得ることは難しかった。   In general, when the laser beam applied as the first laser beam 20 is multimode oscillation. Higher energy can be obtained, but the light condensing property is deteriorated, and the light can be condensed only to a diameter of about several hundred μm. On the other hand, a laser beam having a pulse width of 1 nanosecond or less that can be applied as the second laser beam 18 has the advantage that it can be processed to a diameter of several μm because it can locally give energy, but the overall energy is It was difficult to get low and high output.

本発明者らは、アブレーション閾値未満のエネルギーを有するレーザビームを被照射体に照射しても、被照射体は全く変質せず、アブレーション閾値以上のエネルギーを有するレーザビームを照射した場合にのみ、初めて被照射体に変化が起こり、アブレーションされることを見出した。以下、図2を用いて詳しく説明する。   Even if the present inventors irradiate the irradiated object with a laser beam having energy lower than the ablation threshold, the irradiated object is not altered at all, and only when irradiated with a laser beam having energy higher than the ablation threshold. It was discovered for the first time that the irradiated object changed and was ablated. Hereinafter, this will be described in detail with reference to FIG.

図2(A)乃至(D)は、アブレーションとエネルギーの関係を表す模式図であり、縦軸はエネルギー(W)、横軸は時間(sec)とする。また、図2(A)乃至(D)には、第1レーザビーム20のエネルギー波形及び第2レーザビーム18のエネルギー波形を示しており、該エネルギー波形の高さはレーザビームのエネルギー強度を示し、エネルギー波形の幅はパルス幅を示す。   2A to 2D are schematic diagrams showing the relationship between ablation and energy, where the vertical axis represents energy (W) and the horizontal axis represents time (sec). 2A to 2D show the energy waveform of the first laser beam 20 and the energy waveform of the second laser beam 18, and the height of the energy waveform indicates the energy intensity of the laser beam. The width of the energy waveform indicates the pulse width.

図2(A)は、パルス発振の第1レーザビーム20のエネルギー波形3242及びパルス発振の第2レーザビーム18のエネルギー波形3244を示している。また、エネルギー波形のピーク出力は一般に尖頭出力を表す。ここでは、第1レーザビーム20は尖頭出力3243を有し、第2レーザビームは尖頭出力3245を有する。   FIG. 2A shows an energy waveform 3242 of the pulsed first laser beam 20 and an energy waveform 3244 of the pulsed second laser beam 18. The peak output of the energy waveform generally represents a peak output. Here, the first laser beam 20 has a peak output 3243 and the second laser beam has a peak output 3245.

図2(A)において、被照射体は、アブレーション閾値3200未満のエネルギー、すなわちエネルギー範囲3202内のエネルギーを有するレーザビームを照射されても全く変質しない。エネルギー波形3242はエネルギー範囲3202内、つまりアブレーション閾値3200未満である。したがって、被照射体は、エネルギー波形3242を示す第1レーザビーム20のみを照射されても、全く変質しない。同様に、エネルギー波形3244を示す第2レーザビーム18のみを照射されても、全く変質しない。   In FIG. 2A, the irradiated object is not altered at all even when irradiated with a laser beam having energy lower than the ablation threshold 3200, that is, energy within the energy range 3202. The energy waveform 3242 is within the energy range 3202, that is, less than the ablation threshold 3200. Therefore, even if the irradiated object is irradiated with only the first laser beam 20 showing the energy waveform 3242, it is not altered at all. Similarly, even if only the second laser beam 18 showing the energy waveform 3244 is irradiated, no alteration occurs.

図2(B)は、パルス発振の第1レーザビーム20及びパルス発振の第2レーザビーム18を重畳するように照射した例を示す。重畳して照射された領域において、第1レーザビーム20及び第2レーザビーム18は合成される。図2(B)は2種類のレーザビームが合成されたエネルギー波形3246を示している。エネルギー波形3246は、第1レーザビーム20の尖頭出力3243(ピーク出力)及び第2レーザビーム18の尖頭出力3245(ピーク出力)が合成された尖頭出力3247を有する。エネルギー波形3246は、尖頭出力3247を示す部分がアブレーション閾値3200以上となる。被照射体は、2種類のレーザビームが重畳して照射され、該2種類のレーザビームが合成されたエネルギー波形3246がアブレーション閾値3200以上となる場合にアブレーションされる。つまり、被照射体は、尖頭出力3247が与えられた場合にアブレーションされる。このとき、被照射体のアブレーションは第2レーザビームに依るため、被照射体がアブレーションされて形成される開口の形状や大きさも第2レーザビームに依る。   FIG. 2B shows an example in which the pulsed first laser beam 20 and the pulsed second laser beam 18 are irradiated so as to overlap each other. The first laser beam 20 and the second laser beam 18 are combined in the region irradiated with the overlap. FIG. 2B shows an energy waveform 3246 in which two types of laser beams are combined. The energy waveform 3246 has a peak output 3247 obtained by combining the peak output 3243 (peak output) of the first laser beam 20 and the peak output 3245 (peak output) of the second laser beam 18. In the energy waveform 3246, the portion indicating the peak output 3247 is equal to or higher than the ablation threshold 3200. The irradiated object is irradiated with two types of laser beams superimposed, and ablation is performed when an energy waveform 3246, which is a combination of the two types of laser beams, exceeds the ablation threshold 3200. That is, the irradiated object is ablated when the peak output 3247 is given. At this time, since the ablation of the irradiated object depends on the second laser beam, the shape and size of the opening formed by ablating the irradiated object also depends on the second laser beam.

ここで、図1(A)を斜めから見た模式図を図34(A)に示す。また、図34(A)において、2種類のレーザビームのビームスポットの拡大模式図を図34(B)に示す。   Here, FIG. 34A shows a schematic view of FIG. 1A viewed from an oblique direction. Further, in FIG. 34A, an enlarged schematic diagram of beam spots of two types of laser beams is shown in FIG.

図34(A)、(B)において、第1レーザビーム20による第1ビームスポット21、第2レーザビーム18による第2ビームスポット19が被照射体に形成されている。ここでは、第1ビームスポット21の面積S1は、第2ビームスポット19の面積S2よりも大きく形成されている。被照射体は、第1レーザビーム20及び第2レーザビーム18が重畳して照射された領域(重畳照射領域22)でアブレーションされる。重畳照射領域22は、図2(B)における尖頭出力3247を有する領域となる。   34A and 34B, a first beam spot 21 formed by the first laser beam 20 and a second beam spot 19 formed by the second laser beam 18 are formed on the irradiated object. Here, the area S1 of the first beam spot 21 is formed larger than the area S2 of the second beam spot 19. The irradiated object is ablated in a region (superimposed irradiation region 22) irradiated with the first laser beam 20 and the second laser beam 18 superimposed. The superimposed irradiation region 22 is a region having a peak output 3247 in FIG.

また、図34(A)、(B)において、図2における尖頭出力3243が示すエネルギー(W)は第1ビームスポット21のエネルギー(W)である。同様に、尖頭出力3245が示すエネルギー(W)は第2ビームスポット19のエネルギー(W)である。第1レーザビーム20及び第2レーザビーム18は、それぞれが有する尖頭出力を単位面積当たりに換算したエネルギー密度(W/cm)において、第1レーザビーム20のエネルギー密度W1は第2レーザビーム18のエネルギー密度W2よりも高いことが好ましい。W1>W2を満たす第1レーザビーム20及び第2レーザビーム18を照射することで、被照射体のアブレーションの際に第1レーザビーム20により高いアシスト効果を得ることができ、第2レーザビーム18のエネルギーを小さくできる。 34A and 34B, the energy (W) indicated by the peak output 3243 in FIG. 2 is the energy (W) of the first beam spot 21. Similarly, the energy (W) indicated by the peak output 3245 is the energy (W) of the second beam spot 19. The first laser beam 20 and the second laser beam 18 have an energy density (W / cm 2 ) obtained by converting the peak output of each of the first laser beam 20 and the second laser beam 18 per unit area, and the energy density W1 of the first laser beam 20 is the second laser beam. It is preferably higher than 18 energy density W2. By irradiating the first laser beam 20 and the second laser beam 18 satisfying W1> W2, a higher assist effect can be obtained by the first laser beam 20 at the time of ablation of the irradiated object. Can reduce energy.

また、第1ビームスポット21の面積S1、第2ビームスポット19の面積S2は、第1レーザビーム20及び第2レーザビーム18を適宜調整して照射することで、所望の面積を選択することができる。例えば、第1レーザビーム20のビーム径D1及び第2レーザビーム18のビーム径D2を調整することで、所望の面積を有するビームスポットを形成することができる。本明細書におけるビーム径は、レーザビームの進行方向(光軸)に対して垂直方向の断面のエネルギー強度分布を見た場合、ピーク値の1/eレベルのビーム強度の幅で定義されるものとする。 In addition, the area S1 of the first beam spot 21 and the area S2 of the second beam spot 19 can be selected by appropriately adjusting and irradiating the first laser beam 20 and the second laser beam 18. it can. For example, a beam spot having a desired area can be formed by adjusting the beam diameter D1 of the first laser beam 20 and the beam diameter D2 of the second laser beam 18. The beam diameter in this specification is defined by the width of the beam intensity at the 1 / e 2 level of the peak value when the energy intensity distribution in the cross section perpendicular to the traveling direction (optical axis) of the laser beam is viewed. Shall.

なお、第1レーザビーム20を高出力(例えば、1W以上)とする場合は集光性があまり良くない。また、第2レーザビーム18を短パルス(例えば1ナノ秒以下)とする場合は局所的に集光できる。したがって、S1>S2となるように2種類のレーザビームを照射すると、当該2種類のレーザビームの調整が容易になり好ましい。また、図34(A)に示すようにS1>S2とする場合は、D1>D2とすると、レーザビームの調整がより容易になり好ましい。   In addition, when the 1st laser beam 20 is made into high output (for example, 1 W or more), condensing property is not so good. Further, when the second laser beam 18 is a short pulse (for example, 1 nanosecond or less), it can be focused locally. Therefore, it is preferable to irradiate two types of laser beams so that S1> S2, because the two types of laser beams can be easily adjusted. As shown in FIG. 34A, when S1> S2, it is preferable that D1> D2 because the adjustment of the laser beam becomes easier.

図2(C)は、連続発振の第1レーザビーム20のエネルギー波形3252及びパルス発振の第2レーザビーム18のエネルギー波形3254を示している。第2レーザビーム18は尖頭出力3255を有する。また、第1レーザビーム20は連続発振であり、一定の尖頭出力3253を有する。   FIG. 2C shows an energy waveform 3252 of the first laser beam 20 of continuous oscillation and an energy waveform 3254 of the second laser beam 18 of pulse oscillation. The second laser beam 18 has a peak output 3255. The first laser beam 20 is continuous oscillation and has a constant peak output 3253.

図2(C)において、被照射体は、アブレーション閾値3210未満のエネルギー、すなわちエネルギー範囲3212内のエネルギーを有するレーザビームを照射されても全く変質しない。エネルギー波形3252はエネルギー範囲3212内、つまりアブレーション閾値未満である。したがって、被照射体は、エネルギー波形3252を示す第1レーザビーム20のみを照射されても全く変質しない。同様に、エネルギー波形3254を示す第2レーザビーム18のみを照射されても全く変質しない。   In FIG. 2C, the irradiated object is not altered at all even when irradiated with a laser beam having energy lower than the ablation threshold 3210, that is, energy in the energy range 3212. The energy waveform 3252 is within the energy range 3212, that is, less than the ablation threshold. Therefore, even if the irradiated object is irradiated with only the first laser beam 20 having the energy waveform 3252, it is not altered at all. Similarly, even if only the second laser beam 18 showing the energy waveform 3254 is irradiated, no alteration occurs.

図2(D)は、連続発振の第1レーザビーム20及びパルス発振の第2レーザビーム18を重畳するように照射した例を示す。重畳して照射された領域において、第1レーザビーム20及び第2レーザビーム18は合成される。図2(D)は2種類のレーザビームが合成されたエネルギー波形3256を示している。エネルギー波形3256は、第1レーザビーム20の尖頭出力3253及び第2レーザビーム18の尖頭出力3255が合成された尖頭出力3257を有する。エネルギー波形3256は、尖頭出力3257を示す部分がアブレーション閾値3210以上となる。被照射体は、2種類のレーザビームが重畳して照射され、該2種類のレーザビームが合成されたエネルギー波形3256がアブレーション閾値3210以上となる場合にアブレーションされる。つまり、被照射体は、尖頭出力3257が与えられた場合にアブレーションされる。このとき、被照射体のアブレーションは第2レーザビーム18に依るため、被照射体がアブレーションされて形成される開口の形状や大きさも第2レーザビーム18に依る。   FIG. 2D shows an example in which irradiation is performed so as to superimpose the continuous oscillation first laser beam 20 and the pulse oscillation second laser beam 18. The first laser beam 20 and the second laser beam 18 are combined in the region irradiated with the overlap. FIG. 2D shows an energy waveform 3256 in which two types of laser beams are combined. The energy waveform 3256 has a peak output 3257 obtained by combining the peak output 3253 of the first laser beam 20 and the peak output 3255 of the second laser beam 18. In the energy waveform 3256, the portion indicating the peak output 3257 is equal to or greater than the ablation threshold 3210. The irradiated object is irradiated by superimposing two types of laser beams, and ablation is performed when an energy waveform 3256 obtained by combining the two types of laser beams is greater than or equal to the ablation threshold 3210. That is, the irradiated object is ablated when the peak output 3257 is given. At this time, since the ablation of the irradiated object depends on the second laser beam 18, the shape and size of the opening formed by ablating the irradiated object also depends on the second laser beam 18.

本発明は、被照射体に対して第1レーザビーム20及び第2レーザビーム18を重畳して照射することにより、アブレーション閾値以上のエネルギーを与えている。図2(A)、(C)より、第1レーザビーム20は、アブレーション閾値未満であれば極限まで高いエネルギーにすることが可能であることがわかる。本発明は、第1レーザビームにより高いアシスト効果を得ることができるため、第2レーザビームはエネルギーを小さくできるという効果を奏する。すなわち、以下の数式(3)を可能にする。   In the present invention, the first laser beam 20 and the second laser beam 18 are superimposed and irradiated on the irradiated object, thereby giving energy equal to or higher than the ablation threshold. 2A and 2C, it can be seen that the first laser beam 20 can be made high energy to the limit as long as it is less than the ablation threshold. Since the present invention can obtain a high assist effect by the first laser beam, the second laser beam has an effect that the energy can be reduced. That is, the following formula (3) is made possible.

Figure 0005030535
Figure 0005030535

よって、第1レーザビーム20でエネルギーを稼ぐとともに、第2レーザビーム18で微細な開口を精度良く形成できるという、2種類のレーザビームの長所を用いることが可能である。詳しくは、第1レーザビーム20を照射することで、ある程度高いエネルギーを有するレーザビームを広範囲に照射することができる。一般に、第1レーザビーム20として適用するレーザビームをマルチモード発振とするとより大きなエネルギーが得られるため、均一なエネルギーを広範囲に照射することができる。アブレーションにより開口を形成したい領域には、第2レーザビーム18を重畳するように照射する。第2レーザビーム18として適用できる1ナノ秒以下のパルス幅のレーザビームは、断熱過程により局所的にエネルギーを与えることができる。よって、微細な領域でアブレーションさせ、精度良く開口を形成することができる。   Therefore, it is possible to use the advantages of the two types of laser beams, in which energy is obtained by the first laser beam 20 and a fine opening can be formed with high accuracy by the second laser beam 18. Specifically, by irradiating the first laser beam 20, it is possible to irradiate a laser beam having a certain amount of energy over a wide range. In general, when the laser beam applied as the first laser beam 20 is set to multimode oscillation, larger energy can be obtained, so that uniform energy can be irradiated over a wide range. Irradiation is performed so that the second laser beam 18 is superimposed on a region where an opening is to be formed by ablation. A laser beam having a pulse width of 1 nanosecond or less that can be applied as the second laser beam 18 can be locally given energy by an adiabatic process. Therefore, ablation can be performed in a fine region, and the opening can be formed with high accuracy.

また、第2レーザビーム18は照射エネルギーを小さくすることができるため、複数のレーザビームに分割することが可能になる。その結果、同時に複数の微細な開口を精度良く形成することも可能になる。   Further, since the irradiation energy of the second laser beam 18 can be reduced, the second laser beam 18 can be divided into a plurality of laser beams. As a result, a plurality of fine openings can be formed with high accuracy at the same time.

例えば、第1レーザビーム20は、YAGレーザ、YVOレーザ、、YLFレーザ、又はエキシマレーザを用いると高い出力が得られやすい。よって、これらのレーザを用いると、容易に広範囲に高いエネルギーを与えることができ、アシスト効果も高くなるため好ましい。 For example, when the first laser beam 20 uses a YAG laser, a YVO 4 laser, a YLF laser, or an excimer laser, a high output is easily obtained. Therefore, it is preferable to use these lasers because high energy can be easily given over a wide range and the assist effect is enhanced.

第2レーザビーム18は、フェムト秒レーザ又はピコ秒レーザを用いると、加工領域(開口24)の端面のダメージを防止することができる。よって、これらのレーザを用いると、容易に局所的にエネルギーを与えることができ、精度良く微細加工することが可能になるため好ましい。   When the second laser beam 18 is a femtosecond laser or a picosecond laser, damage to the end surface of the processing region (opening 24) can be prevented. Therefore, it is preferable to use these lasers because energy can be easily applied locally and fine processing can be performed with high accuracy.

また、本発明は、レーザビームを吸収せず、単層ではアブレーションが起きない第2材料層16に接して、レーザビームを吸収することができる第1材料層14を形成することで、第2材料層16をレーザ加工することを可能にしていることも特徴の1つである。第1材料層14は、第2材料層16を加工するための補助層として機能することができる。   Further, the present invention forms the second material layer 14 by forming the first material layer 14 that can absorb the laser beam in contact with the second material layer 16 that does not absorb the laser beam and does not ablate in a single layer. One of the features is that the material layer 16 can be laser processed. The first material layer 14 can function as an auxiliary layer for processing the second material layer 16.

次に、開口24に導電層26を形成する。導電層26及び導電層12は、電気的に接続される(図1(C)参照)。以上の工程で、フォトレジストを用いたリソグラフィー技術を用いることなく、導電層同士を電気的に接続させる開口を被照射体(本実施の形態では第2材料層16及び第1材料層14)に形成することができる。   Next, a conductive layer 26 is formed in the opening 24. The conductive layer 26 and the conductive layer 12 are electrically connected (see FIG. 1C). Through the above steps, an opening for electrically connecting the conductive layers to the object to be irradiated (in this embodiment, the second material layer 16 and the first material layer 14) without using a lithography technique using a photoresist. Can be formed.

本発明を適用することで、フォトレジストを用いたリソグラフィー工程を用いることなく、所望の領域を加工して開口を形成することができる。また、被照射体を、レーザビームを吸収する層、及びレーザビームを吸収しない層又は吸収しにくい層の積層構造とすることで、単層ではレーザアブレーションが起きない層を、レーザアブレーションを利用して加工することが可能になる。よって、リソグラフィー工程を削減・簡略化することが可能になり、レジスト材料、現像液等の材料のロスを防ぎ、必要なフォトマスクの枚数を削減することができる。   By applying the present invention, an opening can be formed by processing a desired region without using a lithography process using a photoresist. In addition, the layer to be irradiated has a laminated structure of a layer that absorbs a laser beam and a layer that does not absorb a laser beam or a layer that does not absorb a laser beam. Can be processed. Therefore, the lithography process can be reduced and simplified, loss of materials such as a resist material and a developer can be prevented, and the number of necessary photomasks can be reduced.

また、被照射体に、よりエネルギー密度の高い第1レーザビーム及びパルス幅の短い第2レーザビームの2種類のレーザビームを重畳するように照射することで、微細な開口を精度良く形成することができる。さらに、同時に複数の微細な開口を形成することも可能である。   In addition, a fine opening can be formed with high accuracy by irradiating the irradiated object with two types of laser beams, a first laser beam having a higher energy density and a second laser beam having a shorter pulse width. Can do. It is also possible to form a plurality of fine openings at the same time.

よって、半導体装置の製造において、コストの削減、スループットの向上を図ることができる。また、量産性、歩留まりを向上させることも可能になる。   Thus, cost reduction and throughput improvement can be achieved in the manufacture of semiconductor devices. It is also possible to improve mass productivity and yield.

(実施の形態2)
本実施の形態では、本発明に係るレーザ加工装置の一形態について説明する。
(Embodiment 2)
In this embodiment, one embodiment of a laser processing apparatus according to the present invention will be described.

図3に、本発明のレーザ加工装置の一形態を示す模式図を示す。図3において、レーザ加工装置200は、第1のレーザ発振装置202と、レーザビームを成形する第1の光学系206と、第2のレーザ発振装置208と、レーザビームを成形する第2の光学系210と、第2の光学系210を通過したレーザビームを複数に分割する回折光学素子214と、ステージ215と、を具備している。   FIG. 3 is a schematic diagram showing an embodiment of the laser processing apparatus of the present invention. In FIG. 3, a laser processing apparatus 200 includes a first laser oscillation apparatus 202, a first optical system 206 that shapes a laser beam, a second laser oscillation apparatus 208, and a second optical that shapes a laser beam. A system 210, a diffractive optical element 214 that divides the laser beam that has passed through the second optical system 210 into a plurality of parts, and a stage 215 are provided.

第1のレーザ発振装置202は、高いエネルギー(例えば1W以上)を有するレーザビームを射出するレーザを備える。例えば、KrF、ArF、KrF、XeCl、XeF等のエキシマレーザ、He、He−Cd、Ar、He−Ne等の気体レーザ、単結晶のYAG、YVO、YLF、フォルステライト(MgSiO)、YAlO、GdVO、若しくは多結晶(セラミック)のYAG、Y、YVO、YAlO、GdVOに、ドーパントとしてNd、Yb、Cr、Ti、Ho、Er、Tm、Taのうち1種または複数種添加されているものを媒質とする固体レーザ、GaN、GaAs、GaAlAs、InGaAsP等の半導体レーザ等を備えることができる。固体レーザにおいては、基本波から第5高調波を適宜選択して用いることができる。また、第1のレーザ発振装置202は、第2のレーザ発振装置208から射出されるレーザビームよりも、被照射体においてエネルギー密度が高いレーザビームを射出することが好ましい。第1のレーザ発振装置202は、連続発振のレーザビーム又はパルス発振のレーザビームのどちらを得られるレーザを用いてもよい。 The first laser oscillation device 202 includes a laser that emits a laser beam having high energy (for example, 1 W or more). For example, excimer lasers such as KrF, ArF, KrF, XeCl, and XeF, gas lasers such as He, He—Cd, Ar, and He—Ne, single crystal YAG, YVO 4 , YLF, forsterite (Mg 2 SiO 4 ) , YAlO 3 , GdVO 4 , or polycrystalline (ceramic) YAG, Y 2 O 3 , YVO 4 , YAlO 3 , GdVO 4 , and Nd, Yb, Cr, Ti, Ho, Er, Tm, Ta as dopants A solid-state laser using one or more kinds added as a medium, a semiconductor laser such as GaN, GaAs, GaAlAs, InGaAsP, or the like can be provided. In the solid-state laser, the fifth harmonic can be appropriately selected from the fundamental wave and used. In addition, the first laser oscillation device 202 preferably emits a laser beam having a higher energy density in the irradiated object than the laser beam emitted from the second laser oscillation device 208. The first laser oscillation device 202 may use a laser capable of obtaining either a continuous wave laser beam or a pulsed laser beam.

第1の光学系206は、第1のレーザ発振装置202から射出されたレーザビームを所望の形状に成形するための光学系である。具体的には、レーザビームの断面形状を、円形、楕円形、矩形等の面状又は線状(厳密には、細長い矩形状)等に成形する。第1の光学系206は、レーザビームの拡がり角を抑え、レーザビームを照射面に集光させるように、複数のレンズで構成すればよい。例えば、第1の光学系206は、回折光学素子、ホモジナイザ等を組み合わせて用いることができる。第1の光学系206にホモジナイザ等を組み込むことで、レーザビームのエネルギー分布を均一化することもできる。   The first optical system 206 is an optical system for shaping the laser beam emitted from the first laser oscillation device 202 into a desired shape. Specifically, the cross-sectional shape of the laser beam is formed into a planar shape such as a circle, an ellipse, or a rectangle, or a linear shape (strictly, an elongated rectangular shape). The first optical system 206 may be composed of a plurality of lenses so as to suppress the divergence angle of the laser beam and to focus the laser beam on the irradiation surface. For example, the first optical system 206 can be used in combination with a diffractive optical element, a homogenizer, or the like. By incorporating a homogenizer or the like into the first optical system 206, the energy distribution of the laser beam can be made uniform.

第2のレーザ発振装置208は、パルス発振のレーザを備える。また、上述した第1のレーザ発振装置202から射出されるレーザビームがパルス発振のレーザビームの場合、第1のレーザ発振装置202よりもパルス幅が短いレーザビームを射出するレーザを備える。好ましくは、パルス幅が1ナノ秒(10−9秒)以下のレーザビームを射出するレーザを備えるとよい。例えば、パルス幅がピコ秒(10−12秒乃至10−10秒)台、或いはフェムト秒(10−15秒乃至10−13秒)台のレーザビームが得られるパルス発振のレーザを用いることができる。 The second laser oscillation device 208 includes a pulsed laser. In addition, when the laser beam emitted from the first laser oscillation device 202 described above is a pulsed laser beam, a laser that emits a laser beam having a shorter pulse width than the first laser oscillation device 202 is provided. Preferably, a laser that emits a laser beam having a pulse width of 1 nanosecond (10 −9 seconds) or less is provided. For example, a pulsed laser capable of obtaining a laser beam having a pulse width on the order of picoseconds (10 −12 to 10 −10 seconds) or femtoseconds (10 −15 to 10 −13 seconds) can be used. .

第2の光学系210は、第2のレーザ発振装置208から射出されたレーザビームを成形するための光学系である。具体的には、レーザビームの断面形状を、円形、楕円形、矩形等の面状、又は線状(厳密には、細長い矩形状)等に成形する。例えば、第2の光学系210は、レーザビームのビーム径を調整するエキスパンダ等を用いることができる。   The second optical system 210 is an optical system for shaping the laser beam emitted from the second laser oscillation device 208. Specifically, the cross-sectional shape of the laser beam is formed into a surface shape such as a circle, an ellipse, or a rectangle, or a linear shape (strictly, an elongated rectangular shape). For example, the second optical system 210 can use an expander or the like that adjusts the beam diameter of the laser beam.

回折光学素子214は、第2の光学系210を通過したレーザビームを複数に分割する光学素子である。回折光学素子214は、回折光学素子214の面構造の回折現象により、レーザビームの挙動を制御することが可能である。詳しくは、回折光学素子214は、当該回折光学素子214の面構造の回折現象により、レーザビームの照射によって被照射面に形成されるビームスポットのエネルギー分布を制御することが可能である。例えば、回折光学素子214によって、被照射面に形成されるビームスポットのエネルギー分布を、多数のピークを有するエネルギー分布にできる。その結果、被照射面において、レーザビームを複数に分割することができる。回折光学素子214の面構造を適宜設計することで、レーザビームを複数に分割することができ、被照射体230の所望の場所にレーザビームを照射することも可能になる。   The diffractive optical element 214 is an optical element that divides the laser beam that has passed through the second optical system 210 into a plurality of parts. The diffractive optical element 214 can control the behavior of the laser beam by the diffraction phenomenon of the surface structure of the diffractive optical element 214. Specifically, the diffractive optical element 214 can control the energy distribution of the beam spot formed on the irradiated surface by the laser beam irradiation by the diffraction phenomenon of the surface structure of the diffractive optical element 214. For example, the diffractive optical element 214 can change the energy distribution of the beam spot formed on the irradiated surface into an energy distribution having a number of peaks. As a result, the laser beam can be divided into a plurality on the irradiated surface. By appropriately designing the surface structure of the diffractive optical element 214, it is possible to divide the laser beam into a plurality of parts, and to irradiate the laser beam to a desired place of the irradiated object 230.

例えば、回折光学素子214は、ORA(Optimal Rotation Angle)法などにより、位相分布を最適化して設計し、作製することができる。また、回折光学素子214は、波動光学的解析を行うことのできる光学設計ソフトで自動設計して作製することもできる。   For example, the diffractive optical element 214 can be designed and manufactured by optimizing the phase distribution by an ORA (Optical Rotation Angle) method or the like. The diffractive optical element 214 can also be manufactured by automatically designing with optical design software capable of performing wave optical analysis.

回折光学素子214の物理的形状としては、2値位相格子、多値位相格子、連続位相格子などを適用することができる。また、回折光学素子214としては、透過型の回折光学素子を用いてもよいし、反射型の回折光学素子を用いてもよい。本実施の形態で示す回折光学素子214は、透過型を用いることとする。   As a physical shape of the diffractive optical element 214, a binary phase grating, a multilevel phase grating, a continuous phase grating, or the like can be applied. Further, as the diffractive optical element 214, a transmissive diffractive optical element or a reflective diffractive optical element may be used. The diffractive optical element 214 described in this embodiment is a transmissive type.

なお、レーザビームの進行方向、偏向方向等を制御するため、適宜ミラー等を設けることが好ましい。ミラーとして、ガルバノミラーやポリゴンミラーを設けてもよい。本実施の形態では、第2の光学系210と回折光学素子214との間にミラー212を設ける。第2の光学系210を通過したレーザビームは、ミラー212により偏向される。   Note that a mirror or the like is preferably provided as appropriate in order to control the traveling direction, deflection direction, and the like of the laser beam. As the mirror, a galvanometer mirror or a polygon mirror may be provided. In this embodiment, a mirror 212 is provided between the second optical system 210 and the diffractive optical element 214. The laser beam that has passed through the second optical system 210 is deflected by the mirror 212.

また、第1のレーザ発振装置202から射出されるレーザビーム及び第2のレーザ発振装置208から射出されるレーザビームを、所望の場所に照射させる機構を設けてもよい。本実施の形態では、第1のレーザ発振装置202と第1の光学系206との間に光ファイバ204を設ける。光ファイバ204は、第1のレーザ発振装置202からのレーザビームを第1の光学系206に伝送することができる。また、光ファイバ204は柔軟性を有しており、自在に移動させることが可能である。したがって、光ファイバ204を移動させることにより、被照射体230の所望の場所に第1のレーザ発振装置202からのレーザビームを照射することが可能になる。なお、本発明は特に限定されず、第1のレーザ発振装置202と第1の光学系206との間は、レーザビームを伝送できるものを設ければよい。また、本実施の形態では回折光学素子214を設けており、当該回折光学素子214の面構造を適宜設計することにより、被照射体230の所望の場所に第2のレーザ発振装置208からのレーザビームを照射することが可能である。   In addition, a mechanism for irradiating a desired place with the laser beam emitted from the first laser oscillation device 202 and the laser beam emitted from the second laser oscillation device 208 may be provided. In this embodiment mode, an optical fiber 204 is provided between the first laser oscillation device 202 and the first optical system 206. The optical fiber 204 can transmit the laser beam from the first laser oscillation device 202 to the first optical system 206. The optical fiber 204 has flexibility and can be moved freely. Therefore, by moving the optical fiber 204, it is possible to irradiate the laser beam from the first laser oscillation device 202 to a desired place of the irradiated object 230. Note that the present invention is not particularly limited, and a device capable of transmitting a laser beam may be provided between the first laser oscillation device 202 and the first optical system 206. Further, in this embodiment mode, the diffractive optical element 214 is provided. By appropriately designing the surface structure of the diffractive optical element 214, the laser from the second laser oscillation device 208 can be placed in a desired place of the irradiated object 230. It is possible to irradiate a beam.

ステージ215は被照射体230を保持する。また、ステージ215は、所望の方向に移動できる機構としてもよい。本実施の形態では、ステージ215は、吸着ステージ220と、X軸方向に動作する搬送ステージ216と、y軸方向に動作する搬送ステージ218と、で構成される。被照射体230は、吸着ステージ220によって吸着され、固定されている。また、被照射体230は、搬送ステージ216、218によって移動される。したがって、被照射体230の被照射面において、ある領域にレーザビームを照射して被照射体の加工が終わったところで、搬送ステージ216、218を動作させることで被照射体230を移動し、新たな領域に対してレーザビームを照射して被照射体を加工することができる。   The stage 215 holds the irradiated object 230. Further, the stage 215 may be a mechanism that can move in a desired direction. In the present embodiment, the stage 215 includes a suction stage 220, a transport stage 216 that operates in the X-axis direction, and a transport stage 218 that operates in the y-axis direction. The irradiated object 230 is sucked and fixed by the suction stage 220. The irradiated object 230 is moved by the transfer stages 216 and 218. Accordingly, on the irradiated surface of the irradiated object 230, when the irradiation object is processed by irradiating a certain region with the laser beam, the irradiated object 230 is moved by operating the transfer stages 216 and 218, and the new irradiation object 230 is moved. An object to be irradiated can be processed by irradiating a laser beam to a certain region.

なお、図3に示すレーザ加工装置の構成は一例であり、レーザビームの光路に配置する光学系や回折光学素子の位置関係は特に限定されない。また、レーザビームの進行方向、偏向方向等を制御するためのミラーや、レーザビームのエネルギー分布を均一化するホモジナイザ等を適宜設けてもよい。   Note that the configuration of the laser processing apparatus shown in FIG. 3 is an example, and the positional relationship between the optical system and the diffractive optical element disposed in the optical path of the laser beam is not particularly limited. In addition, a mirror for controlling the traveling direction, the deflection direction, and the like of the laser beam, a homogenizer that uniformizes the energy distribution of the laser beam, and the like may be provided as appropriate.

また、本実施の形態では、レーザビームを所望の場所に照射させる機構及び被照射体を所望の場所に移動させる機構を具備する構成としたが、本発明は特に限定されず、被照射体の所望の領域を加工できる手段を有していればよい。例えば、被照射体は固定し、レーザビームを所望の場所に照射させる機構を具備する構成としてもよいし、レーザビームの照射位置は固定し、被照射体を所望の場所に移動させる機構を具備する構成としてもよい。レーザビームの照射位置を制御する機構としては、光ファイバ、ガルバノミラー、回折光学素子等を用いればよい。また、光学系を物理的に移動させてもよい。   In this embodiment mode, a mechanism for irradiating a laser beam to a desired place and a mechanism for moving the irradiated object to a desired place are provided. However, the present invention is not particularly limited, and the structure of the irradiated object is not limited. What is necessary is just to have a means which can process a desired area | region. For example, the irradiation object may be fixed and a mechanism for irradiating the laser beam to a desired place may be provided, or a laser beam irradiation position may be fixed and the irradiation object may be moved to a desired place. It is good also as composition to do. As a mechanism for controlling the irradiation position of the laser beam, an optical fiber, a galvanometer mirror, a diffractive optical element, or the like may be used. Further, the optical system may be physically moved.

被照射体230は、基板上に、レーザビームを吸収する第1材料層、レーザビームを透過する第2材料層が積層形成されている。第1材料層の下層に導電層、絶縁層等が形成されていてもよい。また、第2材料層は、レーザビームの一部を吸収してもよい。第1材料層及び第2材料層の材料は、上記実施の形態1に準じる。被照射体230において、第2材料層が形成された面側が、レーザビームが照射される被照射面となる。   In the irradiation object 230, a first material layer that absorbs a laser beam and a second material layer that transmits the laser beam are stacked on a substrate. A conductive layer, an insulating layer, or the like may be formed below the first material layer. The second material layer may absorb a part of the laser beam. The materials of the first material layer and the second material layer are the same as those in the first embodiment. In the irradiated object 230, the surface side on which the second material layer is formed becomes an irradiated surface irradiated with the laser beam.

第1のレーザ発振装置202から射出されたレーザビームは、光ファイバ204を伝送され、第1の光学系206を通過し成形される。第1の光学系206を通過し、成形されたレーザビームは、ステージ215上に保持された被照射体230の被照射面に照射される。このとき、被照射体230の被照射面には、第1のレーザ発振装置202によるビームスポット232が照射される。   The laser beam emitted from the first laser oscillation device 202 is transmitted through the optical fiber 204, passes through the first optical system 206, and is shaped. The shaped laser beam that has passed through the first optical system 206 is irradiated onto the irradiated surface of the irradiated object 230 held on the stage 215. At this time, the irradiated surface of the irradiated object 230 is irradiated with the beam spot 232 by the first laser oscillation device 202.

一方、第2のレーザ発振装置208から射出されたレーザビームは、第2の光学系210を通過し成形される。第2の光学系210を通過し、成形されたレーザビームは、ミラー212で偏向され、回折光学素子214を通り複数のレーザビームに分割される。回折光学素子214を通過した複数のレーザビームは、ステージ215上に保持された被照射体230の被照射面に照射される。回折光学素子214を通過して照射される複数のレーザビームは、被照射体230の被照射面において、第1のレーザ発振装置202によるビームスポット232の範囲内に全て照射される。すなわち、被照射体230の被照射面において、第2のレーザ発振装置208による複数のレーザビームは、第1のレーザ発振装置202によるレーザビームと重畳して照射される。   On the other hand, the laser beam emitted from the second laser oscillation device 208 passes through the second optical system 210 and is shaped. The shaped laser beam that has passed through the second optical system 210 is deflected by the mirror 212, passes through the diffractive optical element 214, and is divided into a plurality of laser beams. The plurality of laser beams that have passed through the diffractive optical element 214 are irradiated onto the irradiated surface of the irradiated object 230 held on the stage 215. The plurality of laser beams irradiated through the diffractive optical element 214 are all irradiated within the range of the beam spot 232 by the first laser oscillation device 202 on the irradiated surface of the irradiated object 230. That is, a plurality of laser beams from the second laser oscillation device 208 are irradiated on the surface to be irradiated of the irradiation object 230 so as to overlap with the laser beams from the first laser oscillation device 202.

上記のように、第2のレーザ発振装置208によるレーザビーム及び第1のレーザ発振装置202によるレーザビームの2種類のレーザビームが重畳して照射されると、重畳して照射された領域では、2種類のレーザビームのエネルギーが合成される。本実施の形態では、第2のレーザ発振装置208によるレーザビームは回折光学素子214により複数に分割されており、複数に分割されたレーザビームがそれぞれ第1のレーザ発振装置202によるレーザビームと重畳するように照射される。   As described above, when two types of laser beams of the laser beam from the second laser oscillation device 208 and the laser beam from the first laser oscillation device 202 are superimposed and irradiated, The energy of two types of laser beams is combined. In this embodiment mode, the laser beam from the second laser oscillation device 208 is divided into a plurality of parts by the diffractive optical element 214, and each of the divided laser beams is superimposed on the laser beam from the first laser oscillation device 202. Irradiate as you do.

本発明では、第1のレーザ発振装置202から射出されるレーザビームのエネルギーは、第1材料層のアブレーション閾値未満とする。第2のレーザ発振装置208から射出され、複数に分割されたレーザビームのエネルギーは、第1のレーザ発振装置202から射出されるレーザビームのエネルギーと合成した場合に、アブレーション閾値以上となるものとする。また、第1のレーザ発振装置202から射出されるレーザビームのエネルギー密度は、第2のレーザ発振装置208から射出され、複数に分割されたレーザビームよりも高いものとする。第2のレーザ発振装置208から射出され、複数に分割されたレーザビームは、第1のレーザ発振装置202から射出されるレーザビームよりもパルス幅が短いものとする。   In the present invention, the energy of the laser beam emitted from the first laser oscillation device 202 is less than the ablation threshold of the first material layer. The energy of the laser beam emitted from the second laser oscillator 208 and divided into a plurality of parts is equal to or greater than the ablation threshold when combined with the energy of the laser beam emitted from the first laser oscillator 202. To do. The energy density of the laser beam emitted from the first laser oscillation device 202 is higher than that of the laser beam emitted from the second laser oscillation device 208 and divided into a plurality of parts. It is assumed that the laser beam emitted from the second laser oscillation device 208 and divided into a plurality has a shorter pulse width than the laser beam emitted from the first laser oscillation device 202.

被照射体230において、第1のレーザ発振装置202によるレーザビームのみが照射される領域では、アブレーション閾値未満のエネルギーが吸収される。そのため、被照射体230は全く変質しない。   In the irradiated object 230, energy less than the ablation threshold is absorbed in a region where only the laser beam from the first laser oscillation device 202 is irradiated. Therefore, the irradiated object 230 is not altered at all.

一方、第1のレーザ発振装置202によるレーザビーム及び第2のレーザ発振装置208によるレーザビームの2種類のレーザビームが重畳して照射された領域では、2種類のレーザビームのエネルギーが合成されたエネルギーが被照射体230に吸収される。その結果、被照射体230はアブレーションされ、開口が形成される。詳しくは、被照射体230において、第1のレーザ発振装置202によるレーザビームと第2のレーザ発振装置208によるレーザビームとが重畳して照射される領域の第2材料層、又は第2材料層及び第1材料層がアブレーションされ、除去される。そして、第2材料層、又は第2材料層及び第1材料層に所望の開口パターンが形成される。   On the other hand, the energy of the two types of laser beams is synthesized in the region irradiated with the two types of laser beams of the laser beam emitted from the first laser oscillation device 202 and the laser beam emitted from the second laser oscillation device 208. Energy is absorbed by the irradiated object 230. As a result, the irradiated object 230 is ablated and an opening is formed. Specifically, in the irradiation object 230, the second material layer or the second material layer in a region where the laser beam from the first laser oscillation device 202 and the laser beam from the second laser oscillation device 208 are superimposed and irradiated. And the first material layer is ablated and removed. Then, a desired opening pattern is formed in the second material layer, or the second material layer and the first material layer.

また、本実施の形態では、第2のレーザ発振装置208によるレーザビームは複数に分割され、当該複数に分割されたレーザビームがそれぞれ第1のレーザ発振装置202によるレーザビームと重畳するように照射される。つまり、被照射体230において、第1のレーザ発振装置202によるレーザビームと第2のレーザ発振装置208によるレーザビームとが重畳して照射される領域が、複数になる。したがって、被照射体230において、複数の領域をアブレーションさせ、複数の開口を同時に形成することが可能になる。   In this embodiment mode, the laser beam emitted from the second laser oscillation device 208 is divided into a plurality of pieces, and the divided laser beams are irradiated so as to overlap with the laser beam emitted from the first laser oscillation device 202, respectively. Is done. That is, in the irradiated object 230, there are a plurality of regions where the laser beam from the first laser oscillation device 202 and the laser beam from the second laser oscillation device 208 are superimposed and irradiated. Therefore, in the irradiated object 230, a plurality of regions can be ablated and a plurality of openings can be formed simultaneously.

また、本発明は、アブレーションにより、被照射体230に形成される開口の形状や大きさは、第2のレーザ発振装置208によるレーザビームに依る。本実施の形態において、第2のレーザ発振装置208からは、断熱過程により局所的な加工を行うことが可能なレーザビームが射出されている。したがって、被照射体230に微細な開口を、精度良く形成することが可能になる。   In the present invention, the shape and size of the opening formed in the irradiated object 230 by ablation depends on the laser beam from the second laser oscillation device 208. In the present embodiment, the second laser oscillation device 208 emits a laser beam that can be locally processed by an adiabatic process. Therefore, a fine opening can be formed in the irradiated object 230 with high accuracy.

本実施の形態では、前述したように、第1のレーザ発振装置202によるレーザビームと第2のレーザ発振装置208によるレーザビームとが重畳して照射される領域の第2材料層、又は第2材料層及び第1材料層がアブレーションされるように、第1のレーザ発振装置202によるレーザビームのエネルギーと、第2のレーザ発振装置208によるレーザビームのエネルギーを適宜決定すればよい。   In this embodiment, as described above, the second material layer in the region irradiated with the laser beam emitted from the first laser oscillation device 202 and the laser beam emitted from the second laser oscillation device 208 overlapped with each other, or the second The energy of the laser beam from the first laser oscillation device 202 and the energy of the laser beam from the second laser oscillation device 208 may be determined as appropriate so that the material layer and the first material layer are ablated.

第1のレーザ発振装置202によるレーザビームで、被照射体230にアブレーション閾値のエネルギーを上限とする高いエネルギーを与えることができるため、第2のレーザ発振装置208によるレーザビームのエネルギーを小さくすることができる。その結果、第2のレーザ発振装置208によるレーザビームを多数に分割することができる。   Since the laser beam from the first laser oscillation device 202 can give the irradiated object 230 high energy up to the energy of the ablation threshold, the energy of the laser beam from the second laser oscillation device 208 can be reduced. Can do. As a result, the laser beam by the second laser oscillation device 208 can be divided into a large number.

また、第2のレーザ発振装置208によるレーザビームを多数に分割し、当該分割したレーザビームを第1のレーザ発振装置202によるレーザビームと重畳するように照射することで、第1のレーザ発振装置202、第2のレーザ発振装置208による一度のレーザビームの照射で、被照射体230に多数の開口を形成することも可能になる。したがって、半導体装置の製造工程において、量産性を容易に向上させることができる。   Further, the first laser oscillation device is divided by dividing the laser beam by the second laser oscillation device 208 into a large number and irradiating the divided laser beam so as to overlap the laser beam by the first laser oscillation device 202. It is possible to form a large number of openings in the irradiated object 230 by one-time laser beam irradiation by the second laser oscillation device 202. Accordingly, mass productivity can be easily improved in the manufacturing process of the semiconductor device.

本発明のレーザ加工装置は、フォトレジストを用いることなく、被照射体の所望の領域を加工して開口を形成することができる。したがって、リソグラフィー工程の回数を削減・簡略化することができる。よって、半導体装置の製造工程において、製造コストを低減、スループットを向上させることができる。   The laser processing apparatus of the present invention can form an opening by processing a desired region of an irradiated body without using a photoresist. Therefore, the number of lithography processes can be reduced and simplified. Therefore, in the manufacturing process of the semiconductor device, the manufacturing cost can be reduced and the throughput can be improved.

また、本発明のレーザ加工装置は、一度の処理で多数の開口を被照射体に形成することができる。また、被照射体に2種類のレーザビームを重畳するように照射することで、微細な開口を精度良く形成することができる。したがって、半導体装置の製造工程において、開口を形成する工程時間を短縮することができ、また加工精度も良いため、量産性、歩留まりを向上させることができる。   In addition, the laser processing apparatus of the present invention can form a large number of openings in the irradiated object in a single process. In addition, by irradiating the irradiated object with two types of laser beams so as to overlap, a fine opening can be formed with high accuracy. Therefore, in the manufacturing process of the semiconductor device, the process time for forming the opening can be shortened and the processing accuracy is good, so that the mass productivity and the yield can be improved.

なお、本実施の形態は、上記実施の形態1と適宜自由に組み合わせることができる。   Note that this embodiment mode can be freely combined with Embodiment Mode 1 as appropriate.

(実施の形態3)
本実施の形態では、実施の形態2と異なるレーザ加工装置の一形態について説明する。
(Embodiment 3)
In this embodiment, an embodiment of a laser processing apparatus different from that in Embodiment 2 is described.

図11に、レーザ加工装置の模式図を示す。図11において、レーザ加工装置300は、第1のレーザ発振装置302と、レーザビームを成形する第1の光学系306と、第2のレーザ発振装置308と、レーザビームを複数に分割する第2の光学系310と、レーザビームの進行方向を制御する第1の偏向器312と、第2の偏向器314と、ステージ315、とを具備している。   FIG. 11 shows a schematic diagram of a laser processing apparatus. In FIG. 11, a laser processing apparatus 300 includes a first laser oscillation apparatus 302, a first optical system 306 that shapes a laser beam, a second laser oscillation apparatus 308, and a second that divides the laser beam into a plurality of parts. Optical system 310, a first deflector 312 for controlling the traveling direction of the laser beam, a second deflector 314, and a stage 315.

第1のレーザ発振装置302は、高いエネルギー(例えば1W以上)を有するレーザビームを射出するレーザを備える。また、第1のレーザ発振装置302は、第2のレーザ発振装置308よりも射出されるレーザビームのエネルギー密度が高いことが好ましい。第1のレーザ発振装置302は、具体的には、上記実施の形態2に示した第1のレーザ発振装置202と同様なものを用いることができる。   The first laser oscillation device 302 includes a laser that emits a laser beam having high energy (for example, 1 W or more). The first laser oscillation device 302 preferably has a higher energy density of the emitted laser beam than the second laser oscillation device 308. Specifically, the first laser oscillation device 302 can be the same as the first laser oscillation device 202 described in the second embodiment.

第1の光学系306は、第1のレーザ発振装置302から射出されたレーザビームを所望の形状に成形するための光学系である。具体的には、レーザビームの断面形状を、円形、楕円形、矩形等の面上又は線状(厳密には、細長い矩形状)等に成形する。第1の光学系306は、レーザビームの拡がり角を抑え、レーザビームを照射面に集光させるように、複数のレンズで構成すればよい。また、第1の光学系306に、レーザビームのエネルギー分布を均一化するホモジナイザ等を組み込んでもよい。   The first optical system 306 is an optical system for shaping the laser beam emitted from the first laser oscillation device 302 into a desired shape. Specifically, the cross-sectional shape of the laser beam is formed on a surface such as a circle, an ellipse, or a rectangle, or linear (strictly, an elongated rectangle). The first optical system 306 may be composed of a plurality of lenses so as to suppress the divergence angle of the laser beam and focus the laser beam on the irradiation surface. Further, the first optical system 306 may incorporate a homogenizer or the like that makes the energy distribution of the laser beam uniform.

第2のレーザ発振装置308は、パルス発振のレーザを備える。好ましくは、パルス幅が1ナノ秒(10−9秒)以下のレーザビームを射出するレーザを備えるとよい。例えば、パルス幅がピコ秒(10−12秒〜10−10秒)台、或いはフェムト秒(10−15秒〜10−13秒)台のレーザビームが得られるパルス発振のレーザ発振器を用いることができる。 The second laser oscillation device 308 includes a pulsed laser. Preferably, a laser that emits a laser beam having a pulse width of 1 nanosecond (10 −9 seconds) or less is provided. For example, a pulsed laser oscillator that can obtain a laser beam with a pulse width in the picosecond (10 −12 to 10 −10 seconds) or femtosecond (10 −15 to 10 −13 seconds) range is used. it can.

第2の光学系310は、第2のレーザ発振装置308から射出されたレーザビームを複数に分割するための光学系である。第2の光学系310は、偏光板や偏光子を適宜組み合わせて構成すればよい。第2の光学系310は、偏光板や偏光子を組み合わせて構成することで、レーザビームを分岐する機能を有することができる。また、第2の光学系310に、レーザビームを分岐することができるハーフミラー等を組み込んでもよい。   The second optical system 310 is an optical system for dividing the laser beam emitted from the second laser oscillation device 308 into a plurality of parts. The second optical system 310 may be configured by appropriately combining a polarizing plate and a polarizer. The second optical system 310 can have a function of branching a laser beam by being configured by combining a polarizing plate and a polarizer. Further, the second optical system 310 may incorporate a half mirror or the like that can branch the laser beam.

第1の偏向器312は、第2の光学系310を通過して分割されたレーザビームを偏向し、当該レーザビームの進行方向を制御する。例えば、第1の偏向器312として、AOD(Acousto−Optical Deflector:音響光学偏向器)を用いることができる。AODとは、光学媒体内での音響光学変化により、レーザビームの偏向を行う偏向器である。AODに用いる光学媒体は、偏向するレーザビームの波長、偏向方向、エネルギー等に応じて適宜選択すればよい。例えば、AODを用いて可視領域のレーザビームを偏向する場合には、ガリウムリン、二酸化テルル、インジウムリンなどを光学媒体として用いることができる。第1の偏向器312としてAODを用いてレーザビームを偏向し、当該レーザビームの進行方向を制御することにより、レーザビームの照射のオン、オフを切り替えることが可能になる。ここで、レーザビームの照射のオンとは、レーザビームが被照射面に照射されるように偏向されることを意味する。これに対し、レーザビームの照射のオフとは、レーザビームが被照射面には照射されない、又はレーザビームが被照射面外に照射されることを意味する。   The first deflector 312 deflects the laser beam divided through the second optical system 310 and controls the traveling direction of the laser beam. For example, an AOD (Acousto-Optical Deflector) can be used as the first deflector 312. An AOD is a deflector that deflects a laser beam by an acousto-optic change in an optical medium. The optical medium used for AOD may be appropriately selected according to the wavelength of the laser beam to be deflected, the deflection direction, energy, and the like. For example, when a laser beam in the visible region is deflected using AOD, gallium phosphorus, tellurium dioxide, indium phosphorus, or the like can be used as an optical medium. By deflecting the laser beam using the AOD as the first deflector 312 and controlling the traveling direction of the laser beam, the irradiation of the laser beam can be switched on and off. Here, turning on the laser beam irradiation means that the laser beam is deflected so as to irradiate the irradiated surface. On the other hand, turning off the laser beam irradiation means that the irradiated surface is not irradiated with the laser beam or the irradiated surface is irradiated with the laser beam.

第2の偏向器314は、第1の偏向器312を通過したレーザビームを偏向し、当該レーザビームの進行方向を制御する。例えば、第2の偏向器314として、ガルバノミラーを用いることができる。ガルバノミラーは、ミラーの設置角度を任意に設定することが可能である。そのため、第2の偏向器314としてガルバノミラーを用いることで、レーザビームを被照射体330の所望の位置に照射させることが可能になる。   The second deflector 314 deflects the laser beam that has passed through the first deflector 312 and controls the traveling direction of the laser beam. For example, a galvanometer mirror can be used as the second deflector 314. The galvanometer mirror can arbitrarily set the mirror installation angle. Therefore, by using a galvano mirror as the second deflector 314, it is possible to irradiate a desired position of the irradiated object 330 with a laser beam.

また、第1のレーザ発振装置302から射出されるレーザビーム及び第2のレーザ発振装置308から射出されるレーザビームを、所望の場所に照射させる機構を設けてもよい。本実施の形態では、第1のレーザ発振装置302と第1の光学系306との間に光ファイバ304を設ける。光ファイバ304は、第1のレーザ発振装置302からのレーザビームを第1の光学系306に伝送することができる。また、光ファイバ304は柔軟性を有しており、自在に移動させることが可能である。したがって、光ファイバ304を移動させることにより、被照射体330の所望の場所に第1のレーザ発振装置302からのレーザビームを照射することが可能になる。なお、本発明は特に限定されず、第1のレーザ発振装置302と第1の光学系306との間は、レーザビームを伝送できるものを設ければよい。また、本実施の形態では、偏向器(第1の偏向器312、第2の偏向器314)を設けており、当該偏向器によりレーザビームの進行方向を制御することで、被照射体330の所望の場所に第2のレーザ発振装置308からのレーザビームを照射することが可能である。   Further, a mechanism for irradiating a desired place with the laser beam emitted from the first laser oscillation device 302 and the laser beam emitted from the second laser oscillation device 308 may be provided. In this embodiment mode, an optical fiber 304 is provided between the first laser oscillation device 302 and the first optical system 306. The optical fiber 304 can transmit the laser beam from the first laser oscillation device 302 to the first optical system 306. The optical fiber 304 has flexibility and can be moved freely. Therefore, by moving the optical fiber 304, it is possible to irradiate the laser beam from the first laser oscillation device 302 to a desired place of the irradiated object 330. Note that the present invention is not particularly limited, and a device capable of transmitting a laser beam may be provided between the first laser oscillation device 302 and the first optical system 306. In this embodiment mode, deflectors (first deflector 312 and second deflector 314) are provided, and the traveling direction of the laser beam is controlled by the deflector, so The laser beam from the second laser oscillation device 308 can be irradiated to a desired place.

ステージ315は、被照射体330を保持する。また、ステージ315は、所望の場所に移動できる機構としてもよい。本実施の形態では、ステージ315は、吸着ステージ320と、X軸方向に動作する搬送ステージ316と、y軸方向に動作する搬送ステージ318と、で構成される。被照射体330は、吸着ステージ320によって吸着され、固定されている。また、被照射体330は、搬送ステージ316、318によって移動される。したがって、被照射体330の被照射面において、ある領域にレーザビームを照射して加工が終わったところで、搬送ステージ316、318を動作させることで被照射体を移動させ、新たな領域に対してレーザビームを照射して加工することができる。   The stage 315 holds the irradiated object 330. Further, the stage 315 may be a mechanism that can move to a desired location. In the present embodiment, the stage 315 includes a suction stage 320, a transfer stage 316 that operates in the X-axis direction, and a transfer stage 318 that operates in the y-axis direction. The irradiated object 330 is sucked and fixed by the suction stage 320. The irradiated object 330 is moved by the transfer stages 316 and 318. Therefore, on the irradiated surface of the irradiated object 330, when a certain region is irradiated with the laser beam and the processing is completed, the irradiated object is moved by operating the transport stages 316 and 318, and the new region is moved. Processing can be performed by irradiation with a laser beam.

なお、図11に示すレーザ加工装置の構成は一例であり、レーザビームの光路に配置する光学系や偏向器等の位置関係は特に限定されない。また、レーザビームの進行方向、偏向方向等を制御するためのミラーや、レーザビームのエネルギー分布を均一化するホモジナイザ等を、適宜設けてもよい。また、本実施の形態では、第1の偏向器312、第2の偏向器314の複数の偏向器を設ける構成を説明したが、特に限定されない。したがって、偏向器を1つだけ設ける構成としてもよいし、3以上の複数の偏向器を設ける構成としてもよい。   Note that the configuration of the laser processing apparatus shown in FIG. 11 is merely an example, and the positional relationship between the optical system and the deflector disposed in the optical path of the laser beam is not particularly limited. In addition, a mirror for controlling the traveling direction and the deflection direction of the laser beam, a homogenizer for uniformizing the energy distribution of the laser beam, and the like may be provided as appropriate. In this embodiment mode, a configuration in which a plurality of deflectors of the first deflector 312 and the second deflector 314 are provided has been described, but the present invention is not particularly limited. Therefore, a configuration in which only one deflector is provided, or a configuration in which three or more deflectors are provided may be employed.

また、本実施の形態では、レーザビームを所望の場所に照射させる機構及び被照射体を所望の場所に移動させる機構を具備する構成としたが、本発明は特に限定されず、被照射体の所望の領域を加工できる手段を有していればよい。例えば、被照射体は固定し、レーザビームを所望の場所に照射させる機構を具備する構成としてもよいし、レーザビームの照射位置は固定し、被照射体を所望の場所に移動させる機構を具備する構成としてもよい。レーザビームの照射位置を制御する機構としては、光ファイバ、ガルバノミラー、回折光学素子等を用いればよい。また、光学系を物理的に移動させてもよい。   In this embodiment mode, a mechanism for irradiating a laser beam to a desired place and a mechanism for moving the irradiated object to a desired place are provided. However, the present invention is not particularly limited, and the structure of the irradiated object is not limited. What is necessary is just to have a means which can process a desired area | region. For example, the irradiation object may be fixed and a mechanism for irradiating the laser beam to a desired place may be provided, or a laser beam irradiation position may be fixed and the irradiation object may be moved to a desired place. It is good also as composition to do. As a mechanism for controlling the irradiation position of the laser beam, an optical fiber, a galvanometer mirror, a diffractive optical element, or the like may be used. Further, the optical system may be physically moved.

被照射体330は、基板上にレーザビームを吸収する第1材料層、レーザビームを透過する第2材料層が積層形成されている。第1材料層の下層には、導電層、絶縁層等が形成されていてもよい。また、第2材料層は、レーザビームの一部を吸収してもよい。第1材料層及び第2材料層の材料は、上記実施の形態1に準じる。被照射体330において、第2材料層層が形成された面側が、レーザビームが照射される被照射面となる。   The irradiated object 330 is formed by stacking a first material layer that absorbs a laser beam and a second material layer that transmits the laser beam on a substrate. A conductive layer, an insulating layer, or the like may be formed below the first material layer. The second material layer may absorb a part of the laser beam. The materials of the first material layer and the second material layer are the same as those in the first embodiment. In the irradiated object 330, the surface side on which the second material layer is formed is an irradiated surface irradiated with the laser beam.

第1のレーザ発振装置302から射出されたレーザビームは、光ファイバ304を伝送され、第1の光学系306を通過し成形される。第1の光学系306を通過し、成形されたレーザビームは、ステージ315上に保持された被照射体330の被照射面に照射される。このとき、被照射体330の被照射面には、第1のレーザ発振装置302によるレーザビームのビームスポット332が照射される。   The laser beam emitted from the first laser oscillation device 302 is transmitted through the optical fiber 304, passes through the first optical system 306, and is shaped. The shaped laser beam that has passed through the first optical system 306 is irradiated onto the irradiated surface of the irradiated object 330 held on the stage 315. At this time, the irradiated surface of the irradiated object 330 is irradiated with the beam spot 332 of the laser beam from the first laser oscillation device 302.

一方、第2のレーザ発振装置308から射出されたレーザビームは、第2の光学系310を通過し複数に分割される。本実施の形態では、第2のレーザ発振装置308によるレーザビームは、第2の光学系310を通過して4本のレーザビームに分割されるものとする。もちろん、本発明は特に限定されず、レーザビームは4本以下、又は4本以上に分割できる。   On the other hand, the laser beam emitted from the second laser oscillation device 308 passes through the second optical system 310 and is divided into a plurality of parts. In this embodiment mode, the laser beam from the second laser oscillation device 308 passes through the second optical system 310 and is divided into four laser beams. Of course, the present invention is not particularly limited, and the laser beam can be divided into four or less or four or more.

第2の光学系310を通過して複数に分割されたレーザビームは、第1の偏向器312で偏向され、進行方向が制御される。第1の偏向器312を通過した複数のレーザビームは、さらに第2の偏向器314で偏向され、進行方向が制御される。第2の偏向器314を通過した複数のレーザビームは、ステージ315上に保持された被照射体330の被照射面に照射される。第2の偏向器314を通過して照射される複数のレーザビームは、被照射体330の被照射面において、第1のレーザ発振装置302によるビームスポット332の範囲内に全て照射される。すなわち、被照射体330の被照射面において、第2のレーザ発振装置308による複数のレーザビームは、第1のレーザ発振装置302によるレーザビームとそれぞれ重畳して照射される。   The laser beam that has been divided into a plurality of parts through the second optical system 310 is deflected by the first deflector 312 and the traveling direction is controlled. The plurality of laser beams that have passed through the first deflector 312 are further deflected by the second deflector 314, and the traveling direction is controlled. The plurality of laser beams that have passed through the second deflector 314 are irradiated onto the irradiated surface of the irradiated object 330 held on the stage 315. The plurality of laser beams irradiated through the second deflector 314 are all irradiated within the range of the beam spot 332 by the first laser oscillation device 302 on the irradiated surface of the irradiated object 330. That is, a plurality of laser beams from the second laser oscillation device 308 are irradiated on the surface to be irradiated of the irradiation object 330 so as to overlap with the laser beams from the first laser oscillation device 302, respectively.

上記のように、第2のレーザ発振装置308によるレーザビーム及び第1のレーザ発振装置302によるレーザビームの2種類のレーザビームが重畳して照射されると、重畳して照射された領域では、2種類のレーザビームのエネルギーが合成される。本実施の形態では、第2のレーザ発振装置308によるレーザビームは第2の光学系310により複数に分割されており、複数に分割されたレーザビームが、偏向器(第1の偏向器312、第2の偏向器314)を通過して、それぞれ第1のレーザ発振装置302によるレーザビームと重畳するように照射される。   As described above, when two types of laser beams, the laser beam from the second laser oscillation device 308 and the laser beam from the first laser oscillation device 302 are superimposed and irradiated, The energy of two types of laser beams is combined. In this embodiment mode, the laser beam from the second laser oscillation device 308 is divided into a plurality of parts by the second optical system 310, and the divided laser beam is converted into a deflector (first deflector 312; Irradiation passes through the second deflector 314) so as to be superimposed on the laser beam by the first laser oscillation device 302.

本発明では、第1のレーザ発振装置302から射出される第1レーザビームのエネルギーは、アブレーション閾値未満とする。第2のレーザ発振装置308から射出され、複数に分割された第2レーザビームのエネルギーは、第1のレーザ発振装置302から射出される第1レーザビームのエネルギーと合成した場合に、アブレーション閾値以上となるものとする。また、第1のレーザ発振装置302から射出されるレーザビームのエネルギー密度は、第2のレーザ発振装置308から射出され、複数に分割されたレーザビームよりも高いものとする。第2のレーザ発振装置308から射出され、複数に分割されたレーザビームは、第1のレーザ発振装置302から射出されるレーザビームよりもパルス幅が短いものとする。   In the present invention, the energy of the first laser beam emitted from the first laser oscillation device 302 is less than the ablation threshold. The energy of the second laser beam emitted from the second laser oscillation device 308 and divided into a plurality is equal to or greater than the ablation threshold when combined with the energy of the first laser beam emitted from the first laser oscillation device 302. It shall be The energy density of the laser beam emitted from the first laser oscillation device 302 is higher than that of the laser beam emitted from the second laser oscillation device 308 and divided into a plurality of parts. It is assumed that the laser beam emitted from the second laser oscillation device 308 and divided into a plurality of pulses has a shorter pulse width than the laser beam emitted from the first laser oscillation device 302.

被照射体330において、第1のレーザ発振装置302によるレーザビームのみが照射される領域では、アブレーション閾値未満のエネルギーが吸収される。そのため、被照射体330は全く変質しない。   In the irradiated object 330, energy below the ablation threshold is absorbed in a region irradiated only with the laser beam from the first laser oscillation device 302. Therefore, the irradiated object 330 is not altered at all.

一方、第1のレーザ発振装置302によるレーザビーム及び第2のレーザ発振装置308によるレーザビームの2種類のレーザビームが重畳して照射された領域では、両者のレーザビームのエネルギーが合成されたエネルギーが被照射体330に吸収される。その結果、被照射体330はアブレーションされ、開口が形成される。詳しくは、被照射体330において、第1のレーザ発振装置302によるレーザビームと第2のレーザ発振装置308によるレーザビームとが重畳して照射される領域の第2材料層、又は第2材料層及び第1材料層がアブレーションされ、除去される。そして、第2材料層、又は第2材料層及び第1材料層に所望の開口パターンが形成される。   On the other hand, in a region irradiated with two types of laser beams, ie, a laser beam emitted from the first laser oscillation device 302 and a laser beam emitted from the second laser oscillation device 308, energy obtained by combining the energy of both laser beams. Is absorbed by the irradiated object 330. As a result, the irradiated object 330 is ablated and an opening is formed. Specifically, in the irradiated object 330, a second material layer or a second material layer in a region where the laser beam from the first laser oscillation device 302 and the laser beam from the second laser oscillation device 308 are superimposed and irradiated. And the first material layer is ablated and removed. Then, a desired opening pattern is formed in the second material layer, or the second material layer and the first material layer.

また、本実施の形態では、第2のレーザ発振装置308によるレーザビームは第2の光学系310により複数に分割され、当該複数に分割されたレーザビームがそれぞれ第1のレーザ発振装置302によるレーザビームと重畳するように照射される。つまり、被照射体330において、第1のレーザ発振装置302によるレーザビームと第2のレーザ発振装置308によるレーザビームとが重畳して照射される領域が、複数になる。したがって、被照射体330において、複数の領域をアブレーションさせ、複数の開口を形成することが可能になる。   In this embodiment mode, the laser beam from the second laser oscillation device 308 is divided into a plurality of parts by the second optical system 310, and each of the divided laser beams is a laser from the first laser oscillation device 302. Irradiated so as to overlap the beam. That is, in the irradiated object 330, there are a plurality of regions where the laser beam emitted from the first laser oscillation device 302 and the laser beam emitted from the second laser oscillation device 308 are superimposed and irradiated. Therefore, in the irradiated object 330, a plurality of regions can be ablated to form a plurality of openings.

また、本発明は、アブレーションにより、被照射体330に形成される開口の形状は、第2のレーザ発振装置308によるレーザビームに依る。本実施の形態において、第2のレーザ発振装置308からは、断熱過程により局所的な加工を行うことが可能なレーザビームが射出されている。したがって、被照射体330に微細な開口を、精度良く形成することができる。   In the present invention, the shape of the opening formed in the irradiated object 330 by the ablation depends on the laser beam from the second laser oscillation device 308. In this embodiment, the second laser oscillation device 308 emits a laser beam that can be locally processed by an adiabatic process. Therefore, a fine opening can be formed in the irradiated object 330 with high accuracy.

本実施の形態では、第1のレーザ発振装置302によるレーザビームと第2のレーザ発振装置308によるレーザビームとが重畳して照射される領域の第2材料層、又は第2材料層及び第1材料層がアブレーションされるように、第1のレーザ発振装置302によるレーザビームのエネルギーと、第2のレーザ発振装置308によるレーザビームのエネルギーを適宜決定すればよい。第1のレーザ発振装置202は、被照射体230にアブレーション閾値のエネルギーを上限とする高いエネルギーを有するレーザビームを照射することができる。そのため、第2のレーザ発振装置208によるレーザビームのエネルギーは、小さくすることができる。その結果、第2のレーザ発振装置208によるレーザビームを多数に分割することができる。   In this embodiment mode, the second material layer in the region irradiated with the laser beam emitted from the first laser oscillation device 302 and the laser beam emitted from the second laser oscillation device 308 superimposed, or the second material layer and the first The energy of the laser beam from the first laser oscillation device 302 and the energy of the laser beam from the second laser oscillation device 308 may be determined as appropriate so that the material layer is ablated. The first laser oscillation device 202 can irradiate the irradiated object 230 with a laser beam having high energy with the energy of the ablation threshold being the upper limit. Therefore, the energy of the laser beam by the second laser oscillation device 208 can be reduced. As a result, the laser beam by the second laser oscillation device 208 can be divided into a large number.

また、第2のレーザ発振装置308によるレーザビームを多数に分割し、当該分割したレーザビームを第1のレーザ発振装置302によるレーザビームと重畳するように照射することで、第1のレーザ発振装置302、第2のレーザ発振装置308による一度のレーザビームの照射で、被照射体330に多数の微細な開口を形成することも可能になる。したがって、半導体装置の製造工程において、量産性を容易に向上させることができる。   In addition, the first laser oscillation device is obtained by dividing the laser beam by the second laser oscillation device 308 into a large number and irradiating the divided laser beam so as to overlap the laser beam by the first laser oscillation device 302. 302 and the second laser oscillation device 308 can irradiate the laser beam once to form a large number of fine openings in the irradiated object 330. Accordingly, mass productivity can be easily improved in the manufacturing process of the semiconductor device.

本発明のレーザ加工装置は、フォトレジストを用いることなく、被照射体の所望の領域に開口を形成することができる。したがって、半導体装置の製造工程において、リソグラフィー工程の回数を削減・簡略化することができるため、製造コストを低減、スループットを向上させることができる。   The laser processing apparatus of the present invention can form an opening in a desired region of an irradiated object without using a photoresist. Therefore, since the number of lithography processes can be reduced and simplified in the manufacturing process of the semiconductor device, the manufacturing cost can be reduced and the throughput can be improved.

また、本発明のレーザ加工装置は、一度の処理で多数の開口を被照射体に形成することができる。また、被照射体に2種類のレーザビームを重畳するように照射することで、微細な開口を精度良く形成することができる。したがって、半導体装置の製造工程において、開口を形成する工程時間を短縮することができ、また加工精度も良いため、量産性、歩留まりを向上させることができる。   In addition, the laser processing apparatus of the present invention can form a large number of openings in the irradiated object in a single process. In addition, by irradiating the irradiated object with two types of laser beams so as to overlap, a fine opening can be formed with high accuracy. Therefore, in the manufacturing process of the semiconductor device, the process time for forming the opening can be shortened and the processing accuracy is good, so that the mass productivity and the yield can be improved.

本実施の形態は、上記実施の形態1と自由に組み合わせることができる。   This embodiment mode can be freely combined with Embodiment Mode 1.

(実施の形態4)
本実施の形態では、導電層同士、又は導電層と半導体層とを電気的に接続するための開口を被照射体に形成する方法について説明する。実施の形態1では、導電層上に積層形成された第1材料層及び第2材料層を貫通するように開口を形成し、当該開口の底面で導電層を露出させる例を示した。本実施の形態では、導電層に達する開口を形成する他の例を示す。また、半導体層に達する開口を形成する例についても示す。
(Embodiment 4)
In this embodiment, a method for forming an opening for electrically connecting conductive layers or a conductive layer and a semiconductor layer in an irradiation object will be described. In the first embodiment, an example is shown in which an opening is formed so as to penetrate the first material layer and the second material layer stacked on the conductive layer, and the conductive layer is exposed at the bottom surface of the opening. In this embodiment mode, another example of forming an opening reaching the conductive layer is described. An example of forming an opening reaching the semiconductor layer is also shown.

図9(A)乃至(C)に、基板上に導電層、第1材料層、第2材料層が順次積層形成された被照射体において、導電層又は第1材料層に達する開口が形成された構成を示す。また、開口には導電層が形成され、当該導電層と、基板上に形成された導電層とが電気的に接続された構成を示す。   9A to 9C, an opening reaching the conductive layer or the first material layer is formed in the irradiated body in which the conductive layer, the first material layer, and the second material layer are sequentially stacked on the substrate. The configuration is shown. In addition, a structure in which a conductive layer is formed in the opening and the conductive layer is electrically connected to the conductive layer formed over the substrate is shown.

図9(A)乃至(C)において、被照射体に形成される開口は、上記実施の形態1で示したように、レーザビームの照射によるアブレーションを利用する。詳しくは、被照射体に対し、第1レーザビーム及び第2レーザビームの2種類のレーザビームを重畳するように照射し、重畳して照射した領域の被照射体の一部をアブレーションさせて、開口を形成する。第1レーザビーム及び第2レーザビームのエネルギー、第1材料層、第2材料層を構成する材料等を適宜選択することにより、被照射体においてアブレーションさせる領域を選択することが可能である。第1レーザビーム及び第2レーザビームは、それぞれ上記実施の形態1の第1レーザビーム20、第2レーザビー8と同じレーザビームを用いることができる。第1レーザビームは第2レーザビームよりもエネルギー密度が高く、第2レーザビームは第1レーザビームよりもパルス幅が短い特徴を有する。また、第1レーザビームは、第1材料層のアブレーション閾値未満のエネルギーとする。   9A to 9C, the opening formed in the irradiation object uses ablation by laser beam irradiation as described in Embodiment Mode 1. Specifically, the irradiated object is irradiated with two types of laser beams, a first laser beam and a second laser beam, so as to be superimposed, and a part of the irradiated object in the region irradiated with the overlapping is ablated. Form an opening. By appropriately selecting the energy of the first laser beam and the second laser beam, the first material layer, the material constituting the second material layer, and the like, the region to be ablated in the irradiated object can be selected. As the first laser beam and the second laser beam, the same laser beams as those of the first laser beam 20 and the second laser beam 8 of the first embodiment can be used, respectively. The first laser beam has a higher energy density than the second laser beam, and the second laser beam has a feature that the pulse width is shorter than that of the first laser beam. Further, the first laser beam has energy less than the ablation threshold of the first material layer.

図9(A)乃至(C)における被照射体は、基板上に導電層、第1材料層、第2材料層が順次積層形成された構造であり、それぞれを構成する材料等については上記実施の形態1に準じる。例えば、基板は、ガラス基板、石英基板、サファイア基板、セラミック基板、半導体基板等を用いればよい。基板上に積層される導電層は導電材料を用いればよく、銀(Ag)、金(Au)、ニッケル(Ni)、白金(Pt)、パラジウム(Pd)、イリジウム(Ir)、ロジウム(Rh)、タンタル(Ta)、タングステン(W)、チタン(Ti)、モリブデン(Mo)、アルミニウム(Al)、銅(Cu)等の金属元素、又は当該金属元素を主成分とする合金材料若しくは化合物材料を用いて形成することができる。なお、基板と導電層との間に保護層として機能する下地絶縁層を形成してもよい。下地絶縁層は、酸化シリコン、窒化シリコン、酸化窒化シリコン、窒化酸化シリコン等の絶縁材料を用いて形成すればよい。下地絶縁層を形成すると、レーザビームの照射による基板へのダメージを防止することができる。   The irradiated object in FIGS. 9A to 9C has a structure in which a conductive layer, a first material layer, and a second material layer are sequentially stacked on a substrate. According to Form 1. For example, a glass substrate, a quartz substrate, a sapphire substrate, a ceramic substrate, a semiconductor substrate, or the like may be used as the substrate. The conductive layer stacked on the substrate may be made of a conductive material such as silver (Ag), gold (Au), nickel (Ni), platinum (Pt), palladium (Pd), iridium (Ir), rhodium (Rh). A metal element such as tantalum (Ta), tungsten (W), titanium (Ti), molybdenum (Mo), aluminum (Al), copper (Cu), or an alloy material or compound material containing the metal element as a main component. Can be formed. Note that a base insulating layer functioning as a protective layer may be formed between the substrate and the conductive layer. The base insulating layer may be formed using an insulating material such as silicon oxide, silicon nitride, silicon oxynitride, or silicon nitride oxide. When the base insulating layer is formed, damage to the substrate due to laser beam irradiation can be prevented.

第1材料層は、第1レーザビーム及び第2レーザビームを吸収することができる材料を用いて形成する。例えば、クロム(Cr)、モリブデン(Mo)、ニッケル(Ni)、チタン(Ti)、コバルト(Co)、銅(Cu)、アルミニウム(Al)等を含む導電材料、シリコン、ゲルマニウム、シリコンゲルマニウム、酸化モリブデン、酸化スズ、酸化ビスマス、酸化バナジウム、酸化ニッケル、酸化亜鉛、ヒ化ガリウム、窒化ガリウム、酸化インジウム、リン化インジウム、窒化インジウム、硫化カドミウム、テルル化カドミウム、チタン酸ストロンチウム等の半導体材料を用いることができる。なお、第1材料層は、下層の導電層の融点の温度よりも、沸点又は昇華点の温度が低い材料を用いて形成することが好ましい。第1材料層として、下層の導電層の融点よりも沸点又は昇華点の温度が低い材料を用いると、レーザアブレーションの際に下層の導電層に与えるダメージを防止できる。   The first material layer is formed using a material that can absorb the first laser beam and the second laser beam. For example, conductive materials including chromium (Cr), molybdenum (Mo), nickel (Ni), titanium (Ti), cobalt (Co), copper (Cu), aluminum (Al), etc., silicon, germanium, silicon germanium, oxidation Semiconductor materials such as molybdenum, tin oxide, bismuth oxide, vanadium oxide, nickel oxide, zinc oxide, gallium arsenide, gallium nitride, indium oxide, indium phosphide, indium nitride, cadmium sulfide, cadmium telluride, and strontium titanate are used. be able to. Note that the first material layer is preferably formed using a material whose boiling point or sublimation point is lower than the melting point of the lower conductive layer. When a material having a boiling point or sublimation temperature lower than the melting point of the lower conductive layer is used as the first material layer, damage to the lower conductive layer during laser ablation can be prevented.

第2材料層は、第1レーザビーム及び第2レーザビームを透過することができる材料を用いて形成する。なお、第2材料層は、2種類のレーザビームの一部を吸収してもよい。例えば、透光性の無機絶縁材料又は有機絶縁材料を用いて形成することができる。以下、図面を用いて具体的に説明する。   The second material layer is formed using a material that can transmit the first laser beam and the second laser beam. Note that the second material layer may absorb part of the two types of laser beams. For example, a light-transmitting inorganic insulating material or organic insulating material can be used. Hereinafter, it demonstrates concretely using drawing.

図9(A)は、導電層上に積層形成された第1材料層及び第2材料層において、レーザアブレーションにより第2材料層のみが除去された例である。図9(A)に示す被照射体は、基板800上に導電層802、第1材料層804、第2材料層806が順次積層形成された構造であり、第2材料層806のみを貫通するように開口810が形成されている。開口810の底面では、第1材料層804が露出している。また、開口810には導電層808が形成され、第1材料層804と接している。なお、図9(A)の場合は、第1材料層804は導電材料を用いて形成する。以上で、導電層808と第1材料層804は、電気的に接続される。   FIG. 9A illustrates an example in which only the second material layer is removed by laser ablation in the first material layer and the second material layer which are stacked over the conductive layer. The irradiation object illustrated in FIG. 9A has a structure in which a conductive layer 802, a first material layer 804, and a second material layer 806 are sequentially stacked over a substrate 800, and penetrates only the second material layer 806. Thus, an opening 810 is formed. On the bottom surface of the opening 810, the first material layer 804 is exposed. A conductive layer 808 is formed in the opening 810 and is in contact with the first material layer 804. Note that in the case of FIG. 9A, the first material layer 804 is formed using a conductive material. As described above, the conductive layer 808 and the first material layer 804 are electrically connected.

図9(B)は、導電層上に積層形成された第1材料層及び第2材料層において、レーザアブレーションにより第2材料層及び第1材料層の上層部が除去された例である。図9(B)に示す被照射体は、基板820上に導電層822、第1材料層824、第2材料層826が順次積層形成された構造であり、第2材料層826を貫通して第1材料層824の上層部に掛かる開口830が形成されている。開口830の底面では第1材料層824が露出している。第1材料層824において、開口830が形成されている領域の膜厚は、他の領域と比較して薄くなっている。また、開口830には導電層828が形成され、第1材料層824と接している。なお、図9(B)の場合も、図9(A)と同様に、第1材料層824は導電材料を用いて形成する。以上で、導電層828と第1材料層824は、電気的に接続される。   FIG. 9B illustrates an example in which the upper layers of the second material layer and the first material layer are removed by laser ablation in the first material layer and the second material layer which are stacked over the conductive layer. The irradiated object illustrated in FIG. 9B has a structure in which a conductive layer 822, a first material layer 824, and a second material layer 826 are sequentially stacked over a substrate 820, and penetrates the second material layer 826. An opening 830 that extends to the upper layer portion of the first material layer 824 is formed. The first material layer 824 is exposed at the bottom surface of the opening 830. In the first material layer 824, the thickness of the region where the opening 830 is formed is thinner than that of other regions. A conductive layer 828 is formed in the opening 830 and is in contact with the first material layer 824. Note that in the case of FIG. 9B as well, as in FIG. 9A, the first material layer 824 is formed using a conductive material. As described above, the conductive layer 828 and the first material layer 824 are electrically connected.

図9(C)は、被照射体に形成される開口の側面がテーパ形状を有する例である。図9(C)に示す被照射体は、基板840上に導電層842、第1材料層844、第2材料層846が順次積層形成された構造である。被照射体には、開口850が形成されている。ここでは、第2材料層846及び第1材料層844を貫通するように開口850を形成した例を示す。なお、開口850は、第2材料層846のみを貫通するように形成してもよいし、第2材料層846を貫通して第1材料層844の上層部に掛かるように形成してもよい。   FIG. 9C illustrates an example in which the side surface of the opening formed in the irradiation object has a tapered shape. The irradiation object illustrated in FIG. 9C has a structure in which a conductive layer 842, a first material layer 844, and a second material layer 846 are sequentially stacked over a substrate 840. An opening 850 is formed in the irradiated body. Here, an example in which the opening 850 is formed so as to penetrate the second material layer 846 and the first material layer 844 is shown. Note that the opening 850 may be formed so as to penetrate only the second material layer 846, or may be formed so as to penetrate the second material layer 846 and cover the upper layer portion of the first material layer 844. .

図9(C)において、被照射体に形成された開口850は先細りになっており、開口850の側面は底面に対してテーパ形状となっている。開口850には導電層848が形成され、導電層842と接している。また、開口850の側面において、第1材料層844とも接している。以上で、導電層842と導電層848は電気的に接続される。また、第1材料層844を導電材料を用いて形成する場合は、導電層848と第1材料層844も電気的に接続される。   In FIG. 9C, the opening 850 formed in the irradiation object is tapered, and the side surface of the opening 850 is tapered with respect to the bottom surface. A conductive layer 848 is formed in the opening 850 and is in contact with the conductive layer 842. Further, the first material layer 844 is also in contact with the side surface of the opening 850. Through the above steps, the conductive layer 842 and the conductive layer 848 are electrically connected. In the case where the first material layer 844 is formed using a conductive material, the conductive layer 848 and the first material layer 844 are also electrically connected.

次に、導電層に達する開口、又は半導体層に達する開口を被照射体に形成する例について説明する。図10(A)乃至(D)では、基板上に第1材料層、第2材料層が順次積層形成された被照射体において、第1材料層に達する開口が形成された構成を示す。また、開口には導電層が形成され、導電層と第1材料層とが電気的に接続された構成を示す。   Next, an example in which an opening reaching the conductive layer or an opening reaching the semiconductor layer is formed in the irradiation object will be described. 10A to 10D illustrate a structure in which an opening reaching the first material layer is formed in an irradiation object in which a first material layer and a second material layer are sequentially stacked over a substrate. In addition, a structure in which a conductive layer is formed in the opening and the conductive layer and the first material layer are electrically connected is shown.

図10(A)乃至(D)においても、被照射体に形成される開口は、上記実施の形態1で示したように、レーザビームの照射によるアブレーションを利用する。詳しくは、被照射体に対し、第1レーザビーム及び第2レーザビームの2種類のレーザビームを重畳するように照射し、重畳して照射した領域の被照射体の一部をアブレーションさせて、開口を形成する。第1レーザビーム及び第2レーザビームのエネルギー、第1材料層、第2材料層を構成する材料等を適宜選択することにより、被照射体においてアブレーションさせる領域を選択することが可能である。第1レーザビーム及び第2レーザビームは、それぞれ上記実施の形態1の第1レーザビーム20、第2レーザビーム18と同じレーザビームを用いることができる。第1レーザビームは第2レーザビームよりもエネルギー密度が高く、第2レーザビームは第1レーザビームよりもパルス幅が短い特徴を有する。また、第1レーザビームは、第1材料層のアブレーション閾値未満のエネルギーとする。   10A to 10D, the opening formed in the irradiated object uses ablation by laser beam irradiation as described in Embodiment Mode 1. Specifically, the irradiated object is irradiated with two types of laser beams, a first laser beam and a second laser beam, so as to be superimposed, and a part of the irradiated object in the region irradiated with the overlapping is ablated. Form an opening. By appropriately selecting the energy of the first laser beam and the second laser beam, the first material layer, the material constituting the second material layer, and the like, the region to be ablated in the irradiated object can be selected. As the first laser beam and the second laser beam, the same laser beams as the first laser beam 20 and the second laser beam 18 of the first embodiment can be used, respectively. The first laser beam has a higher energy density than the second laser beam, and the second laser beam has a feature that the pulse width is shorter than that of the first laser beam. Further, the first laser beam has energy less than the ablation threshold of the first material layer.

図10(A)乃至(D)における被照射体は、基板上に第1材料層、第2材料層が順次積層形成された構造である。基板、第1材料層、第2材料層は、図9(A)乃至(C)に示したものと同じものを用いることができる。基板は、ガラス基板、石英基板、サファイア基板、セラミック基板、半導体基板等を用いればよい。第1材料層は、第1レーザビーム及び第2レーザビームを吸収することができる導電材料、半導体材料等を用いて形成すればよい。第1材料層は、下層の導電層の融点の温度よりも、沸点又は昇華点の温度が低い材料を用いて形成することが好ましい。例えば、第1材料層は、クロム(Cr)、モリブデン(Mo)、ニッケル(Ni)、チタン(Ti)、コバルト(Co)、銅(Cu)、アルミニウム(Al)等を含む導電材料、シリコン、ゲルマニウム、シリコンゲルマニウム、酸化モリブデン、酸化スズ、酸化ビスマス、酸化バナジウム、酸化ニッケル、酸化亜鉛、ヒ化ガリウム、窒化ガリウム、酸化インジウム、リン化インジウム、窒化インジウム、硫化カドミウム、テルル化カドミウム、チタン酸ストロンチウム等の半導体材料を用いることができる。第2材料層は、第1レーザビーム及び第2レーザビームを透過することができる材料を用いて形成する。例えば、第2材料層は、酸化シリコン、窒化シリコン等の透光性の無機絶縁材料、アクリル、エポキシ樹脂等の透光性の有機絶縁材料を用いることができる。なお、第2材料層は、2種類のレーザビームの一部を吸収しても構わない。   10A to 10D has a structure in which a first material layer and a second material layer are sequentially stacked over a substrate. As the substrate, the first material layer, and the second material layer, the same materials as those illustrated in FIGS. 9A to 9C can be used. As the substrate, a glass substrate, a quartz substrate, a sapphire substrate, a ceramic substrate, a semiconductor substrate, or the like may be used. The first material layer may be formed using a conductive material, a semiconductor material, or the like that can absorb the first laser beam and the second laser beam. The first material layer is preferably formed using a material having a boiling point or a sublimation point lower than the melting point of the lower conductive layer. For example, the first material layer is formed of a conductive material including chromium (Cr), molybdenum (Mo), nickel (Ni), titanium (Ti), cobalt (Co), copper (Cu), aluminum (Al), silicon, Germanium, silicon germanium, molybdenum oxide, tin oxide, bismuth oxide, vanadium oxide, nickel oxide, zinc oxide, gallium arsenide, gallium nitride, indium oxide, indium phosphide, indium nitride, cadmium sulfide, cadmium telluride, strontium titanate A semiconductor material such as can be used. The second material layer is formed using a material that can transmit the first laser beam and the second laser beam. For example, the second material layer can use a light-transmitting inorganic insulating material such as silicon oxide or silicon nitride, or a light-transmitting organic insulating material such as acrylic or epoxy resin. Note that the second material layer may absorb part of two types of laser beams.

図10(A)乃至(D)において、基板として透光性を有する基板(ガラス基板、石英基板等)を用いる場合は、第1レーザビーム又は第2レーザビームを基板側から照射することも可能である。この場合、第2材料層は、2種類のレーザビームを透過する材料でなくともよい。また、基板と第1材料層との間に、酸化シリコン、窒化シリコン等の透光性の無機絶縁材料を用いて、下地絶縁層を形成してもよい。下地絶縁層は保護層として機能し、レーザアブレーションによる基板へのダメージを防止することができる。以下、図面を用いて具体的に説明する。   10A to 10D, in the case where a light-transmitting substrate (a glass substrate, a quartz substrate, or the like) is used as the substrate, the first laser beam or the second laser beam can be irradiated from the substrate side. It is. In this case, the second material layer may not be a material that transmits two types of laser beams. Further, a base insulating layer may be formed between the substrate and the first material layer using a light-transmitting inorganic insulating material such as silicon oxide or silicon nitride. The base insulating layer functions as a protective layer and can prevent damage to the substrate due to laser ablation. Hereinafter, it demonstrates concretely using drawing.

図10(A)は、基板上に積層形成された第1材料層及び第2材料層において、レーザアブレーションにより第2材料層のみが除去された例である。図10(A)に示す被照射体は、基板860上に第1材料層862、第2材料層864が順次積層形成された構造であり、第2材料層864のみを貫通するように開口868が形成されている。開口868の底面では、第1材料層862露出している。また、開口868には導電層866が形成され、第1材料層862と接している。このとき、第1材料層862を導電材料を用いて形成すれば、開口868を介して導電層同士が電気的に接続される構成となる。第1材料層862を半導体材料を用いて形成すれば、開口868を介して導電層及び半導体層が電気的に接続される構成となる。   FIG. 10A shows an example in which only the second material layer is removed by laser ablation in the first material layer and the second material layer stacked on the substrate. The irradiated object shown in FIG. 10A has a structure in which a first material layer 862 and a second material layer 864 are sequentially stacked over a substrate 860, and the opening 868 penetrates only the second material layer 864. Is formed. The first material layer 862 is exposed at the bottom surface of the opening 868. A conductive layer 866 is formed in the opening 868 and is in contact with the first material layer 862. At this time, if the first material layer 862 is formed using a conductive material, the conductive layers are electrically connected to each other through the opening 868. When the first material layer 862 is formed using a semiconductor material, the conductive layer and the semiconductor layer are electrically connected through the opening 868.

図10(B)は、基板上に積層形成された第1材料層及び第2材料層において、レーザアブレーションにより第2材料層及び第1材料層の上層部が除去された例である。図10(B)に示す被照射体は、基板870上に第1材料層872、第2材料層874が順次積層形成された構造であり、第2材料層874を貫通して第1材料層872の上層部に掛かる開口878が形成されている。開口878の底面では第1材料層872が露出している。第1材料層872において、開口878が形成されている領域の膜厚は、他の領域と比較して薄くなっている。また、開口878には導電層876が形成され、開口878の底面及び側面で第1材料層872と接している。このとき、第1材料層872を導電材料を用いて形成すれば、開口878を介して導電層同士が電気的に接続される構成となる。第1材料層872を半導体材料を用いて形成すれば、開口878を介して導電層及び半導体層が電気的に接続される構成となる。   FIG. 10B illustrates an example in which the upper layer portions of the second material layer and the first material layer are removed by laser ablation in the first material layer and the second material layer stacked on the substrate. The irradiated object shown in FIG. 10B has a structure in which a first material layer 872 and a second material layer 874 are sequentially stacked over a substrate 870, and penetrates through the second material layer 874 to form a first material layer. An opening 878 is formed in the upper layer portion of 872. The first material layer 872 is exposed at the bottom surface of the opening 878. In the first material layer 872, the thickness of the region where the opening 878 is formed is smaller than that of other regions. In addition, a conductive layer 876 is formed in the opening 878 and is in contact with the first material layer 872 on the bottom and side surfaces of the opening 878. At this time, when the first material layer 872 is formed using a conductive material, the conductive layers are electrically connected to each other through the opening 878. When the first material layer 872 is formed using a semiconductor material, the conductive layer and the semiconductor layer are electrically connected through the opening 878.

図10(C)は、基板上に積層形成された第1材料層及び第2材料層において、レーザアブレーションにより第2材料層及び第1材料層が除去された例である。図10(C)に示す被照射体は、基板880上に第1材料層882、第2材料層884が順次積層形成された構造であり、第2材料層884及び第1材料層882を貫通して開口888が形成されている。開口888の側面で第1材料層882が露出している。また、開口888には導電層886が形成され、開口888の側面で第1材料層882と接している。このとき、第1材料層882を導電材料を用いて形成すれば、開口888を介して導電層同士が電気的に接続される構成となる。第1材料層882を半導体材料を用いて形成すれば、開口888を介して導電層及び半導体層が電気的に接続される構成となる。   FIG. 10C illustrates an example in which the second material layer and the first material layer are removed by laser ablation in the first material layer and the second material layer which are stacked over the substrate. The irradiated object shown in FIG. 10C has a structure in which a first material layer 882 and a second material layer 884 are sequentially stacked over a substrate 880, and penetrates the second material layer 884 and the first material layer 882. Thus, an opening 888 is formed. The first material layer 882 is exposed at the side surface of the opening 888. A conductive layer 886 is formed in the opening 888 and is in contact with the first material layer 882 on the side surface of the opening 888. At this time, if the first material layer 882 is formed using a conductive material, the conductive layers are electrically connected to each other through the opening 888. When the first material layer 882 is formed using a semiconductor material, the conductive layer and the semiconductor layer are electrically connected through the opening 888.

図10(D)は、被照射体に形成される開口の側面がテーパ形状を有する例である。図10(D)に示す被照射体は、基板890上に第1材料層892、第2材料層894が順次積層形成された構造である。被照射体には、開口898が形成されている。ここでは、第2材料層894を貫通するように開口898が形成されている。なお、開口898は、第2材料層894を貫通して第1材料層892の上層部に掛かるように形成してもよいし、第2材料層894及び第1材料層862を貫通するように形成してもよい。   FIG. 10D illustrates an example in which the side surface of the opening formed in the irradiation object has a tapered shape. The irradiation object illustrated in FIG. 10D has a structure in which a first material layer 892 and a second material layer 894 are sequentially stacked over a substrate 890. An opening 898 is formed in the irradiated object. Here, an opening 898 is formed so as to penetrate the second material layer 894. Note that the opening 898 may be formed so as to penetrate the second material layer 894 and over the upper layer portion of the first material layer 892, or so as to penetrate the second material layer 894 and the first material layer 862. It may be formed.

図10(D)において、被照射体に形成された開口898は先細りになっており、開口898の側面は底面に対してテーパ形状となっている。開口898には導電層896が形成され、第1材料層892と接している。このとき、第1材料層892を導電材料を用いて形成すれば、開口898を介して導電層同士が電気的に接続される構成となる。第1材料層892を半導体材料を用いて形成すれば、開口898を介して導電層及び半導体層が電気的に接続される構成となる。   In FIG. 10D, an opening 898 formed in the irradiation object is tapered, and a side surface of the opening 898 is tapered with respect to the bottom surface. A conductive layer 896 is formed in the opening 898 and is in contact with the first material layer 892. At this time, when the first material layer 892 is formed using a conductive material, the conductive layers are electrically connected to each other through the opening 898. When the first material layer 892 is formed using a semiconductor material, the conductive layer and the semiconductor layer are electrically connected to each other through the opening 898.

本発明を適用することで、フォトレジストを用いたリソグラフィー工程を用いることなく、所望の領域に、所望の形態の開口を形成することが可能になる。また、被照射体を、レーザビームを吸収しない又は吸収しにくい層に接してレーザービームを吸収する層を設けた積層構造とすることで、単層ではレーザアブレーションが起きない層でも、レーザアブレーションを利用して加工することを可能にしている。よって、リソグラフィー工程を削減・簡略化することが可能になり、レジスト材料、現像液等の材料のロスを防ぎ、必要なフォトマスクの枚数を削減することができる。   By applying the present invention, an opening having a desired shape can be formed in a desired region without using a lithography process using a photoresist. In addition, the object to be irradiated has a laminated structure in which a layer that absorbs a laser beam is in contact with a layer that does not absorb or hardly absorb a laser beam, so that laser ablation can be performed even in a layer where laser ablation does not occur in a single layer. It is possible to process using. Therefore, the lithography process can be reduced and simplified, loss of materials such as a resist material and a developer can be prevented, and the number of necessary photomasks can be reduced.

また、被照射体に第1レーザビーム及び第2レーザビームの2種類のレーザビームを重畳するように照射することで、微細な開口を精度良く形成することが可能である。したがって、半導体装置の製造において、製造コストの低減、スループットの向上、歩留まりの向上を図ることができる。   In addition, by irradiating the irradiated object with two kinds of laser beams, ie, the first laser beam and the second laser beam, a fine opening can be formed with high accuracy. Therefore, in manufacturing a semiconductor device, manufacturing cost can be reduced, throughput can be improved, and yield can be improved.

また、本発明は、被照射体の構造、第1材料層及び第2材料層を構成する材料、第1レーザビーム及び第2レーザビームのエネルギー等を適宜選択することにより、様々な形態の開口を形成することが可能になる。   Further, according to the present invention, various forms of openings can be formed by appropriately selecting the structure of the irradiated object, the materials constituting the first material layer and the second material layer, the energy of the first laser beam and the second laser beam, and the like. Can be formed.

本実施の形態は、上記実施の形態1乃至3と自由に組み合わせることができる。   This embodiment mode can be freely combined with any of Embodiment Modes 1 to 3.

(実施の形態5)
本実施の形態では、フォトレジストを用いたリソグラフィー技術を用いることなく、所望の領域に複数の開口を形成する方法について説明する。以下、図32、図33を用いて具体的に説明する。
(Embodiment 5)
In this embodiment, a method for forming a plurality of openings in a desired region without using a lithography technique using a photoresist will be described. This will be specifically described below with reference to FIGS. 32 and 33.

図32(A)に本発明を適用して開口を形成する被照射体の構造の一例を示す。被照射体は、基板500上に導電層502、第1材料層504、第2材料層506が順次積層された構造である。被照射体に対して、第1レーザビーム510及び第2レーザビーム512の2種類のレーザビームを重畳するように照射する。第2レーザビーム512は複数に分割されており、分割されたそれぞれの第2レーザビーム512が第1レーザビーム510と重畳するように照射する。ここでは、第2材料層506側から2種類のレーザビームを照射する。被照射体において、2種類のレーザビームが重畳して照射された領域を重畳照射領域520、重畳照射領域522、重畳照射領域524、重畳照射領域526とする(図32(A)参照)。   FIG. 32A shows an example of a structure of an irradiation object in which an opening is formed by applying the present invention. The irradiated object has a structure in which a conductive layer 502, a first material layer 504, and a second material layer 506 are sequentially stacked over a substrate 500. Irradiation is performed so that two types of laser beams of the first laser beam 510 and the second laser beam 512 are superimposed on the object to be irradiated. The second laser beam 512 is divided into a plurality of pieces, and irradiation is performed so that each divided second laser beam 512 overlaps the first laser beam 510. Here, two types of laser beams are irradiated from the second material layer 506 side. In the object to be irradiated, regions irradiated with two types of laser beams are referred to as a superimposed irradiation region 520, a superimposed irradiation region 522, a superimposed irradiation region 524, and a superimposed irradiation region 526 (see FIG. 32A).

基板500、導電層502、第1材料層504、第2材料層506は、上記実施の形態1に示した基板10、導電層12、第1材料層14、第2材料層16にそれぞれ準じる。例えば、基板500は、ガラス基板、石英基板、サファイア基板、セラミック基板、半導体基板等を用いることができる。導電層502は導電材料を用いて形成すればよく、例えば、銀(Ag)、金(Au)、ニッケル(Ni)、白金(Pt)、パラジウム(Pd)、イリジウム(Ir)、ロジウム(Rh)、タンタル(Ta)、タングステン(W)、チタン(Ti)、モリブデン(Mo)、アルミニウム(Al)、銅(Cu)等の金属元素、又は当該金属元素を主成分とする合金材料若しくは化合物材料を用いて形成することができる。また、基板500及び導電層502の間に、酸化シリコン、窒化シリコン等の絶縁材料を用いて、下地絶縁層を形成してもよい。   The substrate 500, the conductive layer 502, the first material layer 504, and the second material layer 506 conform to the substrate 10, the conductive layer 12, the first material layer 14, and the second material layer 16 described in Embodiment 1, respectively. For example, the substrate 500 can be a glass substrate, a quartz substrate, a sapphire substrate, a ceramic substrate, a semiconductor substrate, or the like. The conductive layer 502 may be formed using a conductive material. For example, silver (Ag), gold (Au), nickel (Ni), platinum (Pt), palladium (Pd), iridium (Ir), rhodium (Rh) A metal element such as tantalum (Ta), tungsten (W), titanium (Ti), molybdenum (Mo), aluminum (Al), copper (Cu), or an alloy material or compound material containing the metal element as a main component. Can be formed. Further, a base insulating layer may be formed between the substrate 500 and the conductive layer 502 by using an insulating material such as silicon oxide or silicon nitride.

第1材料層504は第1レーザビーム510及び第2レーザビーム512を吸収する材料を用いて形成する。例えば、クロム(Cr)、モリブデン(Mo)、ニッケル(Ni)、チタン(Ti)、コバルト(Co)、銅(Cu)、アルミニウム(Al)等を含む導電材料、シリコン、ゲルマニウム、シリコンゲルマニウム、酸化モリブデン、酸化スズ、酸化ビスマス、酸化バナジウム、酸化ニッケル、酸化亜鉛、ヒ化ガリウム、窒化ガリウム、酸化インジウム、リン化インジウム、窒化インジウム、硫化カドミウム、テルル化カドミウム、チタン酸ストロンチウム等の半導体材料を用いて形成することができる。   The first material layer 504 is formed using a material that absorbs the first laser beam 510 and the second laser beam 512. For example, conductive materials including chromium (Cr), molybdenum (Mo), nickel (Ni), titanium (Ti), cobalt (Co), copper (Cu), aluminum (Al), etc., silicon, germanium, silicon germanium, oxidation Using semiconductor materials such as molybdenum, tin oxide, bismuth oxide, vanadium oxide, nickel oxide, zinc oxide, gallium arsenide, gallium nitride, indium oxide, indium phosphide, indium nitride, cadmium sulfide, cadmium telluride, strontium titanate Can be formed.

第2材料層506は、第1レーザビーム510及び第2レーザビーム512を透過する材料を用いて形成する。例えば、酸化シリコン、窒化シリコン、酸化窒化シリコン、窒化酸化シリコン等の透光性の無機絶縁材料、ポリイミド、アクリル、ポリアミド、ポリイミドアミド、ベンゾシクロブテン、エポキシ樹脂等の透光性の有機絶縁材料を用いて形成することができる。なお、第2材料層506は、第1レーザビーム510及び第2レーザビーム512の一部を吸収しても構わない。   The second material layer 506 is formed using a material that transmits the first laser beam 510 and the second laser beam 512. For example, translucent inorganic insulating materials such as silicon oxide, silicon nitride, silicon oxynitride, and silicon nitride oxide, and translucent organic insulating materials such as polyimide, acrylic, polyamide, polyimide amide, benzocyclobutene, and epoxy resin. Can be formed. Note that the second material layer 506 may absorb part of the first laser beam 510 and the second laser beam 512.

図33(A)に、図32(A)の斜視図を示す。図32(A)は、図33(A)の線分OPにおける断面図に相当する。図33(A)は、第1レーザビーム510は省略し、当該第1レーザビーム510により形成されるビームスポット511を示している。また、第2レーザビーム512も一部省略している。図33(A)において、複数に分割された第2レーザビーム512は、第1レーザビームによるビームスポット511の範囲内に全て照射される。つまり、第1レーザビームのビームスポット511内に、第1レーザビーム及び第2レーザビーム512が重畳して照射された重畳照射領域群518が形成される。図33(A)において、実線の丸で囲まれた重畳照射領域群518の一部が、図32(A)における重畳照射領域520、522、524、526に相当する。   FIG. 33A shows a perspective view of FIG. FIG. 32A corresponds to a cross-sectional view taken along line OP in FIG. FIG. 33A shows a beam spot 511 formed by the first laser beam 510 with the first laser beam 510 omitted. A part of the second laser beam 512 is also omitted. In FIG. 33A, the second laser beam 512 divided into a plurality is irradiated within the range of the beam spot 511 by the first laser beam. That is, a superimposed irradiation region group 518 is formed in which the first laser beam and the second laser beam 512 are superimposed and irradiated in the beam spot 511 of the first laser beam. In FIG. 33A, part of the overlapped irradiation region group 518 surrounded by a solid line circle corresponds to the overlapped irradiation regions 520, 522, 524, and 526 in FIG.

第1レーザビーム510は、KrF、ArF、KrF、XeCl、XeF等のエキシマレーザ、He、He−Cd、Ar、He−Ne等の気体レーザ、単結晶のYAG、YVO、YLF、フォルステライト(MgSiO)、YAlO、GdVO、若しくは多結晶(セラミック)のYAG、Y、YVO、YAlO、GdVOに、ドーパントとしてNd、Yb、Cr、Ti、Ho、Er、Tm、Taのうち1種または複数種添加されているものを媒質とする固体レーザ、GaN、GaAs、GaAlAs、InGaAsP等の半導体レーザ等を用いて得ることができる。固体レーザを用いる場合は、基本波から第5高調波まで適宜選択して用いることができる。第1レーザビーム510は、第1材料層14のアブレーション閾値未満のエネルギーを有し、第2レーザビーム512よりも高いエネルギー密度を有することが好ましく、1W以上のエネルギーを有することがより好ましい。例えば、YAGレーザ、YVOレーザ、、YLFレーザ、エキシマレーザを用いると、数W以上の第1レーザビームが得られやすい。なお、第1レーザビーム510は、連続発振のレーザビームとパルス発振のレーザビームのどちらを用いても構わない。 The first laser beam 510 is an excimer laser such as KrF, ArF, KrF, XeCl, or XeF, a gas laser such as He, He—Cd, Ar, or He—Ne, a single crystal YAG, YVO 4 , YLF, forsterite ( Mg 2 SiO 4 ), YAlO 3 , GdVO 4 , or polycrystalline (ceramic) YAG, Y 2 O 3 , YVO 4 , YAlO 3 , GdVO 4 , Nd, Yb, Cr, Ti, Ho, Er, It can be obtained by using a solid-state laser, a semiconductor laser such as GaN, GaAs, GaAlAs, InGaAsP or the like using one or more of Tm and Ta added as a medium. When a solid-state laser is used, it can be appropriately selected from the fundamental wave to the fifth harmonic. The first laser beam 510 has an energy less than the ablation threshold of the first material layer 14, preferably has an energy density higher than that of the second laser beam 512, and more preferably has an energy of 1 W or more. For example, when a YAG laser, YVO 4 laser, YLF laser, or excimer laser is used, a first laser beam of several W or more can be easily obtained. Note that the first laser beam 510 may be either a continuous wave laser beam or a pulsed laser beam.

第1レーザビーム510のエネルギーは、第2材料層506、又は第2材料層506及び第1材料層504がアブレーションされない程度とする。すなわち、第1レーザビーム510のエネルギーは、アブレーション閾値未満のエネルギーとする。   The energy of the first laser beam 510 is set such that the second material layer 506 or the second material layer 506 and the first material layer 504 are not ablated. That is, the energy of the first laser beam 510 is set to an energy lower than the ablation threshold.

また、第1レーザビーム510の断面形状は、円形、楕円形、矩形等の面状、または線状(厳密には細長い矩形状)を適宜選択するものとする。第1レーザビーム510は、このような断面形状となるように、光学系で成形すればよい。本実施の形態では、第1レーザビーム510の断面形状を矩形の面状に成形して照射する。したがって、図33(A)において、第1レーザビームのビームスポット511が矩形状に形成される。また、ビームスポット511には、アブレーション閾値を上限とする高いエネルギーを有する第1レーザビームを照射することが可能である。   In addition, the cross-sectional shape of the first laser beam 510 is appropriately selected from a planar shape such as a circle, an ellipse, and a rectangle, or a linear shape (strictly, an elongated rectangular shape). The first laser beam 510 may be shaped by an optical system so as to have such a cross-sectional shape. In the present embodiment, the first laser beam 510 is irradiated with the cross-sectional shape formed into a rectangular surface. Therefore, in FIG. 33A, the beam spot 511 of the first laser beam is formed in a rectangular shape. In addition, the beam spot 511 can be irradiated with a first laser beam having high energy with an ablation threshold as an upper limit.

第2レーザビーム512は、ピコ秒レーザ、又はフェムト秒レーザに代表される超短パルスレーザを用いて得ることができる。このようなレーザを用いると、パルス幅がピコ秒(10−12秒乃至10−10秒)台、又はフェムト秒(10−15秒乃至10−13秒)台の超第2レーザビームを得ることができる。 The second laser beam 512 can be obtained using an ultrashort pulse laser typified by a picosecond laser or a femtosecond laser. By using such a laser, an ultra second laser beam having a pulse width on the order of picoseconds (10 −12 to 10 −10 seconds) or femtoseconds (10 −15 to 10 −13 seconds) can be obtained. Can do.

第2レーザビーム512のエネルギーは、第1レーザビーム510及び第2レーザビーム512を重畳して照射した場合に、第2材料層506、又は第2材料層506及び第1材料層504がアブレーションされる程度とする。すなわち、第1レーザビーム510のエネルギーと第2レーザビーム512のエネルギーを合成したエネルギーが、アブレーション閾値以上となるようにする。   When the first laser beam 510 and the second laser beam 512 are superimposed and irradiated, the energy of the second laser beam 512 is ablated by the second material layer 506 or the second material layer 506 and the first material layer 504. To the extent that That is, the energy obtained by combining the energy of the first laser beam 510 and the energy of the second laser beam 512 is set to be equal to or greater than the ablation threshold value.

また、第2レーザビーム512の断面形状は、円形、楕円形、矩形等の面状、または線状(厳密には細長い矩形状)を適宜選択するものとする。第2レーザビーム512は、このような断面形状となるように、光学系で成形すればよい。本発明は、第2レーザビーム512として、パルス幅がピコ秒台又はフェムト秒台の超第2レーザビームを照射するため、断熱過程により局所的にエネルギーを与えることが可能である。   In addition, as the cross-sectional shape of the second laser beam 512, a surface shape such as a circle, an ellipse, or a rectangle, or a line shape (strictly, an elongated rectangular shape) is appropriately selected. The second laser beam 512 may be shaped by an optical system so as to have such a cross-sectional shape. In the present invention, the second laser beam 512 is irradiated with a super second laser beam having a pulse width on the order of picoseconds or femtoseconds, so that energy can be locally applied by an adiabatic process.

また、アブレーション閾値を上限とする高いエネルギーを有する第1レーザビーム510が照射されるため、第2レーザビーム512のエネルギーは小さくすることができる。したがって、第2レーザビーム512は、より多数のレーザビームに分割することが可能となる。ゆえに、被照射体において、一度に多数の領域に、アブレーション閾値以上のエネルギーを局所的に与えることが可能となる。   In addition, since the first laser beam 510 having high energy with the upper limit of the ablation threshold is irradiated, the energy of the second laser beam 512 can be reduced. Therefore, the second laser beam 512 can be divided into a larger number of laser beams. Therefore, it becomes possible to locally give energy above the ablation threshold to a large number of regions at once in the irradiated object.

被照射体に照射された第1レーザビーム510及び第2レーザビーム512は、第2材料層506を透過して第1材料層504で吸収される。第1レーザビーム510及び第2レーザビーム512は、被照射体にて重畳するように照射されている。被照射体は、2種類のレーザビームの重畳照射領域520、522、524、526において、当該重畳照射領域22の一部がアブレーションされ、除去される。その結果、開口532、開口534、開口536、開口538が形成される。開口532、534、536、538の底面では導電層502が露出する。(図32(B)参照)。   The first laser beam 510 and the second laser beam 512 irradiated to the irradiation object are transmitted through the second material layer 506 and absorbed by the first material layer 504. The first laser beam 510 and the second laser beam 512 are irradiated so as to be superimposed on the irradiated object. In the superimposed irradiation areas 520, 522, 524, and 526 of the two types of laser beams, a part of the overlapping irradiation area 22 is ablated and removed. As a result, an opening 532, an opening 534, an opening 536, and an opening 538 are formed. The conductive layer 502 is exposed at the bottom surfaces of the openings 532, 534, 536, and 538. (See FIG. 32B).

第1レーザビーム510はアブレーション閾値未満のエネルギーである。そのため、第1レーザビーム510のみが照射された領域の第2材料層506、又は第2材料層506及び第1材料層504はアブレーションされない。   The first laser beam 510 has energy below the ablation threshold. Therefore, the second material layer 506 in the region irradiated with only the first laser beam 510 or the second material layer 506 and the first material layer 504 are not ablated.

一方、第1レーザビーム510及び第2レーザビーム512が重畳するように照射された領域(図32(B)における重畳照射領域520、522、524、526)では、第1材料層504に吸収されるレーザビームのエネルギーがアブレーション閾値以上となる。その結果、第2材料層506、又は第2材料層506及び第1材料層504はアブレーションされ、開口532、534、536、538が形成される。したがって、アブレーションにより被照射体に形成される開口532、534、536、538の形状は、第2レーザビーム512に依る。   On the other hand, in the regions irradiated so that the first laser beam 510 and the second laser beam 512 are superimposed (superimposed irradiation regions 520, 522, 524, and 526 in FIG. 32B), they are absorbed by the first material layer 504. The energy of the laser beam is greater than the ablation threshold. As a result, the second material layer 506 or the second material layer 506 and the first material layer 504 are ablated, and openings 532, 534, 536, and 538 are formed. Accordingly, the shape of the openings 532, 534, 536, and 538 formed in the irradiated object by ablation depends on the second laser beam 512.

図33(B)に、図32(B)の斜視図を示す。図32(B)は、図33(B)の線分OPにおける断面図に相当する。また、図33(C)に、図33(B)の線分OP近傍の拡大図を示す。図33(B)、(C)において、第2材料層506及び第1材料層504を貫通するように開口(開口532、534、536、538を含む)が形成されており、開口の底面では導電層502が露出している。   FIG. 33B is a perspective view of FIG. FIG. 32B corresponds to a cross-sectional view taken along line OP in FIG. FIG. 33C is an enlarged view of the vicinity of the line segment OP in FIG. 33B and 33C, openings (including openings 532, 534, 536, and 538) are formed so as to penetrate the second material layer 506 and the first material layer 504. The conductive layer 502 is exposed.

本発明は、第2レーザビーム512として、パルス幅がピコ秒台又はフェムト秒台の超第2レーザビームを照射しており、局所的にエネルギーを与えることが可能である。したがって、被照射体に対して、局所的にアブレーション閾値以上のエネルギーを与えてアブレーションさせることができる。よって、端面にダメージを与えることなく開口を形成することができる。   In the present invention, the second laser beam 512 is irradiated with a super second laser beam having a pulse width on the order of picoseconds or femtoseconds, and energy can be locally applied. Therefore, it is possible to ablate the irradiated body by locally giving energy equal to or higher than the ablation threshold. Therefore, the opening can be formed without damaging the end face.

また、本発明は、第1レーザビーム510を重畳するように照射するため、第2レーザビーム512を多数に分割することができる。したがって、同時に多数の開口を精度良く形成することができ、工程時間を短縮することが可能になる。   Further, in the present invention, since the first laser beam 510 is irradiated so as to overlap, the second laser beam 512 can be divided into a large number. Therefore, a large number of openings can be formed at the same time with high accuracy, and the process time can be shortened.

次に、開口532、534、536、538に導電層542、導電層544、導電層546を形成する。導電層542、544、546は、導電層502と電気的に接続される(図32(C)参照)。なお、本実施の形態では、開口532、538にそれぞれ導電層542、546を形成する例を示す。また、開口534及び開口536には、共通する導電層544を形成する例を示す。なお、それぞれの開口にそれぞれ独立した導電層を形成してもよいし、全ての開口に共通する導電層を形成してもよい。また、幾つかの開口に共通する導電層を形成してもよい。   Next, a conductive layer 542, a conductive layer 544, and a conductive layer 546 are formed in the openings 532, 534, 536, and 538. The conductive layers 542, 544, and 546 are electrically connected to the conductive layer 502 (see FIG. 32C). Note that this embodiment shows an example in which conductive layers 542 and 546 are formed in the openings 532 and 538, respectively. An example in which a common conductive layer 544 is formed in the opening 534 and the opening 536 is shown. Note that an independent conductive layer may be formed in each opening, or a conductive layer common to all the openings may be formed. Further, a conductive layer common to several openings may be formed.

以上の工程で、フォトレジストを用いたリソグラフィー技術を用いることなく、導電層同士を電気的に接続させる開口を被照射体(本実施の形態では第2材料層506及び第1材料層504)に形成することができる。なお、本発明は特に限定されず、被照射体に形成される開口の個数等は適宜選択すればよい。また、本実施の形態は、上記実施の形態1乃至4の構成を適宜組み合わせることができる。例えば、被照射体に形成される開口の形態は、第2材料層のみを貫通する構成としてもよいし、第2材料層を貫通して第1材料層の上層部に掛かる構成としてもよい。また、導電層502は設けなくともよい。その他、基板500として透光性を有する基板を用い、基板500側からレーザビームを照射する構成としてもよい。   Through the above steps, an opening for electrically connecting the conductive layers to the object to be irradiated (in this embodiment mode, the second material layer 506 and the first material layer 504) without using a lithography technique using a photoresist. Can be formed. Note that the present invention is not particularly limited, and the number of openings formed in the irradiated object may be appropriately selected. Further, in this embodiment, the structures of Embodiments 1 to 4 can be combined as appropriate. For example, the form of the opening formed in the irradiated body may be configured to penetrate only the second material layer, or may be configured to penetrate the second material layer and cover the upper layer portion of the first material layer. Further, the conductive layer 502 is not necessarily provided. Alternatively, a light-transmitting substrate may be used as the substrate 500, and a laser beam may be irradiated from the substrate 500 side.

本発明を適用することで、フォトレジストを用いたリソグラフィー工程を用いることなく、所望の領域を加工して、開口を形成することが可能になる。また、被照射体を、レーザビームを吸収する層及びレーザビームを吸収しない(或いは吸収しにくい)層の積層構造とすることで、単層ではレーザアブレーションが起きない層を、レーザアブレーションを利用して加工することができる。よって、リソグラフィー工程を削減・簡略化することが可能になり、レジスト材料、現像液等の材料のロスを防ぎ、必要なフォトマスクの枚数を削減することができる。   By applying the present invention, an opening can be formed by processing a desired region without using a lithography process using a photoresist. In addition, the object to be irradiated has a layered structure of a layer that absorbs the laser beam and a layer that does not absorb (or does not absorb) the laser beam. Can be processed. Therefore, the lithography process can be reduced and simplified, loss of materials such as a resist material and a developer can be prevented, and the number of necessary photomasks can be reduced.

また、被照射体に第1レーザビーム及び第2レーザビームの2種類のレーザビームを重畳するように照射することで、微細な開口を精度良く形成することが可能である。したがって、半導体装置の製造において、製造コストの低減、スループットの向上、歩留まりの向上を図ることができる。   In addition, by irradiating the irradiated object with two kinds of laser beams, ie, the first laser beam and the second laser beam, a fine opening can be formed with high accuracy. Therefore, in manufacturing a semiconductor device, manufacturing cost can be reduced, throughput can be improved, and yield can be improved.

(実施の形態6)
本実施の形態では、本発明を適用してトランジスタ及び表示素子を有する表示装置の作製方法について説明する。トランジスタとしては、逆スタガ型トランジスタを作製する例について示す。また、表示素子として発光素子を作製する例について示す。以下、具体的な作製方法について、図4乃至図8を用いて説明する。
(Embodiment 6)
In this embodiment, a method for manufacturing a display device including a transistor and a display element, to which the present invention is applied, will be described. As the transistor, an example of manufacturing an inverted staggered transistor is described. An example of manufacturing a light-emitting element as a display element is described. Hereinafter, a specific manufacturing method will be described with reference to FIGS.

基板7000上に下地絶縁層7002を形成し、当該下地絶縁層7002上に導電層703を形成する(図4(A)参照)。基板7000は、バリウムホウケイ酸ガラス、アルミノホウケイ酸ガラス等を含むガラス基板、石英基板、サファイア基板、セラミック基板、又は本作製工程の処理温度に耐えうる耐熱性を有するプラスチック基板を用いる。また、基板7000の表面が平坦化されるようにCMP法などによって、研磨しても良い。   A base insulating layer 7002 is formed over the substrate 7000, and a conductive layer 703 is formed over the base insulating layer 7002 (see FIG. 4A). As the substrate 7000, a glass substrate containing barium borosilicate glass, aluminoborosilicate glass, or the like, a quartz substrate, a sapphire substrate, a ceramic substrate, or a plastic substrate having heat resistance that can withstand the processing temperature in this manufacturing process is used. Further, polishing may be performed by a CMP method or the like so that the surface of the substrate 7000 is planarized.

下地絶縁層7002は、CVD法、スパッタリング法、スピンコート法等の種々の方法により、酸化シリコン、窒化シリコン、酸化窒化シリコン、窒化酸化シリコン等の絶縁材料を用いて、単層構造又は積層構造で形成する。下地絶縁層7002は形成しなくとも良いが、基板7000からの汚染物質などを遮断する効果がある。また、後にレーザビームを照射する際に、基板へのダメージを防止する効果もある。   The base insulating layer 7002 has a single-layer structure or a stacked structure using an insulating material such as silicon oxide, silicon nitride, silicon oxynitride, or silicon nitride oxide by various methods such as a CVD method, a sputtering method, and a spin coating method. Form. The base insulating layer 7002 is not necessarily formed, but has an effect of blocking contaminants from the substrate 7000. Further, there is an effect of preventing damage to the substrate when the laser beam is irradiated later.

導電層703は導電材料を用いて形成すればよく、例えば銀(Ag)、金(Au)、ニッケル(Ni)、白金(Pt)、パラジウム(Pd)、イリジウム(Ir)、ロジウム(Rh)、タンタル(Ta)、タングステン(W)、チタン(Ti)、モリブデン(Mo)、アルミニウム(Al)、銅(Cu)等の金属元素、又は当該金属元素を主成分とする合金材料もしくは化合物材料等を用いて形成すればよい。また、リン(P)等の不純物元素をドーピングした多結晶シリコンに代表される半導体材料や、AgPdCu合金を用いてもよい。また、単層構造でも積層構造でもよく、例えば、窒化タングステン(WN)膜とモリブデン(Mo)膜との2層構造としてもよいし、膜厚50nmのタングステン膜、膜厚500nmのアルミニウムとシリコンの合金(Al−Si)膜、膜厚30nmの窒化チタン膜を順次積層した3層構造としてもよい。また、3層構造とする場合、第1の導電層のタングステンに代えて窒化タングステンを用いてもよいし、第2の導電層のアルミニウムとシリコンの合金(Al−Si)膜に代えてアルミニウムとチタンの合金膜(Al−Ti)を用いてもよいし、第3の導電層の窒化チタン膜に代えてチタン膜を用いてもよい。   The conductive layer 703 may be formed using a conductive material. For example, silver (Ag), gold (Au), nickel (Ni), platinum (Pt), palladium (Pd), iridium (Ir), rhodium (Rh), A metal element such as tantalum (Ta), tungsten (W), titanium (Ti), molybdenum (Mo), aluminum (Al), copper (Cu), or an alloy material or a compound material containing the metal element as a main component. May be used. Alternatively, a semiconductor material typified by polycrystalline silicon doped with an impurity element such as phosphorus (P), or an AgPdCu alloy may be used. Alternatively, a single-layer structure or a stacked structure may be used. For example, a two-layer structure of a tungsten nitride (WN) film and a molybdenum (Mo) film may be used, or a 50-nm-thick tungsten film, 500-nm-thick aluminum and silicon film may be used. A three-layer structure in which an alloy (Al—Si) film and a titanium nitride film with a thickness of 30 nm are sequentially stacked may be employed. In the case of a three-layer structure, tungsten nitride may be used instead of tungsten of the first conductive layer, or aluminum instead of the aluminum and silicon alloy (Al-Si) film of the second conductive layer. A titanium alloy film (Al—Ti) may be used, or a titanium film may be used in place of the titanium nitride film of the third conductive layer.

導電層703は、スパッタリング法、PVD法(Physical Vapor Deposition)、減圧CVD法(LPCVD法)、またはプラズマCVD法等のCVD法(Chemical Vapor Deposition)などにより形成する。   The conductive layer 703 is formed by a sputtering method, a PVD method (Physical Vapor Deposition), a low pressure CVD method (LPCVD method), or a CVD method (Chemical Vapor Deposition) such as a plasma CVD method.

導電層703上に第1材料層7402、第2材料層7404を順次積層形成する。第2材料層7404側から、第1レーザビーム7414及び第2レーザビーム7412を選択的に照射する。このとき、第1レーザビーム7414及び第2レーザビーム7412は、少なくとも一部が重畳するように照射する。第1レーザビーム7414及び第2レーザビーム7412が重畳して照射された領域を重畳照射領域7416とする(図4(A)参照)。   A first material layer 7402 and a second material layer 7404 are sequentially stacked over the conductive layer 703. The first laser beam 7414 and the second laser beam 7412 are selectively irradiated from the second material layer 7404 side. At this time, the first laser beam 7414 and the second laser beam 7412 are irradiated so that at least a part thereof overlaps. A region irradiated with the first laser beam 7414 and the second laser beam 7412 superimposed is a superimposed irradiation region 7416 (see FIG. 4A).

第1材料層7402は、第1レーザビーム7414及び第2レーザビーム7412を吸収することができる材料を用いて形成する。なお、第1材料層7402は、下層に設けられた導電層703の融点の温度よりも、沸点又は昇華点の温度が低い材料を用いて形成するのが好ましい。例えば、クロム(Cr)、モリブデン(Mo)、ニッケル(Ni)、チタン(Ti)、コバルト(Co)、銅(Cu)、アルミニウム(Al)等の金属元素、又は当該元素を主成分とする合金材料若しくは化合物材料等の導電材料、シリコン、ゲルマニウム、シリコンゲルマニウム、酸化モリブデン、酸化スズ、酸化ビスマス、酸化バナジウム、酸化ニッケル、酸化亜鉛、ヒ化ガリウム、窒化ガリウム、酸化インジウム、リン化インジウム、窒化インジウム、硫化カドミウム、テルル化カドミウム、チタン酸ストロンチウム等の半導体材料を用いることができる。また、硫化亜鉛、窒化シリコン、硫化水銀、塩化アルミニウム等を用いることができる。第1材料層7402は、これらの材料のうち1つ又は複数を用いて、蒸着法、スパッタリング法、又はCVD法等により、単層構造又は積層構造で形成すればよく、例えば膜厚20nmのクロム膜や酸化亜鉛膜、窒化アルミニウム膜を用いることができる。なお、導電層703が、第1レーザビーム7414及び第2レーザビーム7412を吸収して第2材料層7404及び導電層703をアブレーションさせる材料を用いて形成された層であれば、第1材料層7402は設けなくともよい。   The first material layer 7402 is formed using a material that can absorb the first laser beam 7414 and the second laser beam 7412. Note that the first material layer 7402 is preferably formed using a material whose boiling point or sublimation point is lower than the melting point of the conductive layer 703 provided in the lower layer. For example, a metal element such as chromium (Cr), molybdenum (Mo), nickel (Ni), titanium (Ti), cobalt (Co), copper (Cu), aluminum (Al), or an alloy containing the element as a main component Conductive materials such as materials or compound materials, silicon, germanium, silicon germanium, molybdenum oxide, tin oxide, bismuth oxide, vanadium oxide, nickel oxide, zinc oxide, gallium arsenide, gallium nitride, indium oxide, indium phosphide, indium nitride Semiconductor materials such as cadmium sulfide, cadmium telluride, and strontium titanate can be used. Further, zinc sulfide, silicon nitride, mercury sulfide, aluminum chloride, or the like can be used. The first material layer 7402 may be formed using a single layer structure or a stacked layer structure using one or more of these materials by an evaporation method, a sputtering method, a CVD method, or the like. A film, a zinc oxide film, or an aluminum nitride film can be used. Note that if the conductive layer 703 is a layer formed using a material that absorbs the first laser beam 7414 and the second laser beam 7412 to ablate the second material layer 7404 and the conductive layer 703, the first material layer 7402 is not necessarily provided.

第2材料層7404は、第1レーザビーム7414及び第2レーザビーム7412を透過することができる材料を用いて形成する。例えば、酸化シリコン、窒化シリコン、酸化窒化シリコン、窒化酸化シリコン等の無機絶縁材料、ポリイミド、アクリル、ポリアミド、ポリイミドアミド、ベンゾシクロブテン、エポキシ樹脂等の有機絶縁材料等を用いることができる。第2材料層7404は、これらの材料のうち1つ又は複数を用いて、スパッタリング法、CVD法、塗布法等により、単層構造又は積層構造で形成すればよく、例えば膜厚50nmの窒化酸化シリコン膜、ポリイミド膜を用いることができる。なお、第2材料層7404は、第1レーザビーム7414又は第2レーザビーム7412を一部吸収しても構わない。   The second material layer 7404 is formed using a material that can transmit the first laser beam 7414 and the second laser beam 7412. For example, inorganic insulating materials such as silicon oxide, silicon nitride, silicon oxynitride, and silicon nitride oxide, and organic insulating materials such as polyimide, acrylic, polyamide, polyimide amide, benzocyclobutene, and epoxy resin can be used. The second material layer 7404 may be formed using a single layer structure or a stacked layer structure using one or more of these materials by a sputtering method, a CVD method, a coating method, or the like. A silicon film or a polyimide film can be used. Note that the second material layer 7404 may partially absorb the first laser beam 7414 or the second laser beam 7412.

第1レーザビーム7414は、KrF、ArF、KrF、XeCl、XeF等のエキシマレーザ、He、He−Cd、Ar、He−Ne等の気体レーザ、単結晶のYAG、YVO、YLF、フォルステライト(MgSiO)、YAlO、GdVO、若しくは多結晶(セラミック)のYAG、Y、YVO、YAlO、GdVOに、ドーパントとしてNd、Yb、Cr、Ti、Ho、Er、Tm、Taのうち1種または複数種添加されているものを媒質とする固体レーザ、GaN、GaAs、GaAlAs、InGaAsP等の半導体レーザ等から射出されるレーザビームを用いることができる。固体レーザを用いる場合は、基本波から第5高調波まで適宜選択して用いることができる。第1レーザビーム7414は、第1材料層7402のアブレーション閾値未満のエネルギーを有し、第2レーザビーム7412よりも高いエネルギー密度を有することが好ましく、1W以上のエネルギーを有することがより好ましい。例えば、YAGレーザ、YVOレーザ、、YLFレーザ、エキシマレーザから射出されるレーザビームを用いると、数W以上のレーザビームを得ることができる。なお、第1レーザビーム7414は、連続発振のレーザビームとパルス発振のレーザビームのどちらを用いても構わない。 The first laser beam 7414 includes an excimer laser such as KrF, ArF, KrF, XeCl, and XeF, a gas laser such as He, He—Cd, Ar, and He—Ne, a single crystal YAG, YVO 4 , YLF, forsterite ( Mg 2 SiO 4 ), YAlO 3 , GdVO 4 , or polycrystalline (ceramic) YAG, Y 2 O 3 , YVO 4 , YAlO 3 , GdVO 4 , Nd, Yb, Cr, Ti, Ho, Er, A laser beam emitted from a solid-state laser, a semiconductor laser such as GaN, GaAs, GaAlAs, InGaAsP or the like using one or more of Tm and Ta added as a medium can be used. When a solid-state laser is used, it can be appropriately selected from the fundamental wave to the fifth harmonic. The first laser beam 7414 has energy lower than the ablation threshold of the first material layer 7402, preferably has a higher energy density than the second laser beam 7412, and more preferably has energy of 1 W or more. For example, when a laser beam emitted from a YAG laser, YVO 4 laser, YLF laser, or excimer laser is used, a laser beam of several W or more can be obtained. Note that the first laser beam 7414 may be either a continuous wave laser beam or a pulsed laser beam.

第2レーザビーム7412は、パルス発振のレーザビームを用いる。また、第2レーザビーム7412は第1レーザビーム7414よりもパルス幅が短く、好ましくはパルス幅が1ナノ秒(10−9秒)以下であるとよい。例えば、ピコ秒レーザ、又はフェムト秒レーザに代表される超短パルスレーザから射出されるレーザビームを用いる。このようなレーザを用いると、パルス幅がピコ秒(10−12秒乃至10−10秒)台、又はフェムト秒(10−15秒乃至10−13秒)台の超短パルスレーザビームを得ることができる。 As the second laser beam 7412, a pulsed laser beam is used. The second laser beam 7412 has a shorter pulse width than the first laser beam 7414, and preferably has a pulse width of 1 nanosecond (10 −9 seconds) or less. For example, a laser beam emitted from an ultrashort pulse laser typified by a picosecond laser or a femtosecond laser is used. By using such a laser, an ultrashort pulse laser beam having a pulse width on the order of picoseconds (10 −12 to 10 −10 seconds) or femtoseconds (10 −15 to 10 −13 seconds) can be obtained. Can do.

第1レーザビーム7414及び第2レーザビーム7412は、第2材料層7404を透過して第1材料層7402で吸収される。第1レーザビーム7414及び第2レーザビーム7412は、第1材料層7402及び第2材料層7404にて重畳するように照射される。第1材料層7402及び第2材料層7404は、第1レーザビーム7414及び第2レーザビーム7412の2種類のレーザビームが重畳して照射された領域(重畳照射領域7416)においてアブレーションされる。残存する第1材料層7403及び第2材料層7405をマスクとして導電層703を選択的にエッチングし、ゲート電極層704を形成する(図4(B)参照)。   The first laser beam 7414 and the second laser beam 7412 pass through the second material layer 7404 and are absorbed by the first material layer 7402. Irradiation is performed so that the first laser beam 7414 and the second laser beam 7412 overlap with each other in the first material layer 7402 and the second material layer 7404. The first material layer 7402 and the second material layer 7404 are ablated in a region (superimposed irradiation region 7416) irradiated with two types of laser beams, a first laser beam 7414 and a second laser beam 7412, superimposed. The conductive layer 703 is selectively etched using the remaining first material layer 7403 and second material layer 7405 as a mask to form a gate electrode layer 704 (see FIG. 4B).

ゲート電極層704は、各種印刷法(スクリーン(孔版)印刷、オフセット(平版)印刷、凸版印刷やグラビア(凹版)印刷など所望なパターンで形成される方法)、ナノインプリント法、液滴吐出法、ディスペンサ法、選択的な塗布法などを用いて形成してもよい。このような方法を用いると、所望の場所に選択的に導電層を形成することができる。また、ゲート電極層704は、導電層703上にフォトレジストを用いてマスクを形成し、当該マスクを用いて導電層703を選択的にエッチングして形成してもよい。   The gate electrode layer 704 can be formed by various printing methods (screen (stencil) printing, offset (lithographic printing) printing, a method of forming a desired pattern such as relief printing or gravure printing (intaglio printing)), nanoimprinting method, droplet discharge method, dispenser. It may be formed using a method, a selective coating method, or the like. When such a method is used, a conductive layer can be selectively formed at a desired place. Alternatively, the gate electrode layer 704 may be formed by forming a mask using a photoresist over the conductive layer 703 and selectively etching the conductive layer 703 using the mask.

第1材料層7403及び第2材料層7405を、エッチングやレーザアブレーションを利用して除去した後、ゲート電極層704上にゲート絶縁層706を形成し、当該ゲート絶縁層706上に半導体層を形成する(図4(C)参照)。   After the first material layer 7403 and the second material layer 7405 are removed by etching or laser ablation, a gate insulating layer 706 is formed over the gate electrode layer 704, and a semiconductor layer is formed over the gate insulating layer 706. (See FIG. 4C).

ゲート絶縁層706は、CVD法、スパッタリング法等により、酸化シリコン、窒化シリコン、酸化窒化シリコン、窒化酸化シリコン等の絶縁材料を用いて形成する。ゲート絶縁層706は、単層構造でも積層構造でもよい。例えば、ゲート絶縁層706は、酸化窒化シリコン層の単層構造や、窒化シリコン層及び酸化シリコン層の2層の積層構造を用いればよい。また、これらを用いて、3層以上の積層構造としてもよい。好ましくは、緻密な膜質を有する窒化シリコンを用いるとよい。また、ゲート絶縁層706は、下層のゲート電極層704を銀や銅を用いて液滴吐出法により形成した場合は、窒化シリコンやNiBを用いて形成することが好ましい。窒化シリコンやNiBを用いて形成した膜は、不純物の拡散を防ぎ、表面を平坦化する効果がある。なお、ゲート絶縁層706の成膜中に、アルゴンなどの希ガス元素を反応ガスに含ませてもよい。希ガス元素を反応ガスに含ませることで、低い成膜温度で、リーク電流の少ない緻密な絶縁層を得ることができる。   The gate insulating layer 706 is formed using an insulating material such as silicon oxide, silicon nitride, silicon oxynitride, or silicon nitride oxide by a CVD method, a sputtering method, or the like. The gate insulating layer 706 may have a single-layer structure or a stacked structure. For example, the gate insulating layer 706 may have a single-layer structure of a silicon oxynitride layer or a stacked structure of two layers of a silicon nitride layer and a silicon oxide layer. Moreover, it is good also as a laminated structure of three or more layers using these. Preferably, silicon nitride having a dense film quality is used. Further, the gate insulating layer 706 is preferably formed using silicon nitride or NiB when the lower gate electrode layer 704 is formed using silver or copper by a droplet discharge method. A film formed using silicon nitride or NiB has an effect of preventing diffusion of impurities and flattening the surface. Note that a rare gas element such as argon may be included in the reaction gas during the formation of the gate insulating layer 706. By including the rare gas element in the reaction gas, a dense insulating layer with low leakage current can be obtained at a low film formation temperature.

半導体層は、半導体層705及び一導電性を有する半導体層709の積層構造を形成する。半導体層は、シランやゲルマンに代表される半導体材料ガスを用いて気相成長法やスパッタリング法で作製されるアモルファス半導体(以下「AS」ともいう)や、当該アモルファス半導体を光エネルギーや熱エネルギーを利用して結晶化させた多結晶半導体、或いはセミアモルファス(微結晶若しくはマイクロクリスタルとも呼ばれる。以下「SAS」ともいう)半導体等の結晶性半導体などを用いて形成することができる。半導体層は各種手段(スパッタリング法、LPCVD法、またはプラズマCVD法等)により形成することができる。   The semiconductor layer forms a stacked structure of a semiconductor layer 705 and a semiconductor layer 709 having one conductivity. The semiconductor layer is made of an amorphous semiconductor (hereinafter also referred to as “AS”) manufactured by vapor deposition or sputtering using a semiconductor material gas typified by silane or germane, or light or thermal energy of the amorphous semiconductor. It can be formed using a polycrystalline semiconductor that is crystallized using a crystalline semiconductor such as a semi-amorphous (also referred to as microcrystal or microcrystal; hereinafter referred to as “SAS”) semiconductor. The semiconductor layer can be formed by various means (such as sputtering, LPCVD, or plasma CVD).

SASは、アモルファスと結晶構造(単結晶、多結晶を含む)の中間的な構造を有し、自由エネルギー的に安定な第3の状態を有する半導体であって、短距離秩序を持ち格子歪みを有する結晶質な領域を含んでいる。少なくとも膜中の一部の領域には、0.5nm乃至20nmの結晶領域を観測することが出来、シリコンを主成分とする場合にはラマンスペクトルが520cm−1よりも低波数側にシフトしている。X線回折ではシリコン結晶格子に由来するとされる(111)、(220)の回折ピークが観測される。未結合手(ダングリングボンド)を終端化するため水素またはハロゲンを少なくとも1原子%またはそれ以上含ませている。SASは、シリコンを含む気体をグロー放電分解(プラズマCVD)して形成する。シリコンを含む気体としては、SiH、その他にもSi、SiHCl、SiHCl、SiCl、SiFなどを用いることが可能である。またF、GeFを混合させても良い。このシリコンを含む気体をH、又は、HとHe、Ar、Kr、Neから選ばれた一種または複数種の希ガス元素で希釈しても良い。希釈率は2倍乃至1000倍の範囲、圧力は概略0.1Pa乃至133Paの範囲、電源周波数は1MHz乃至120MHz、好ましくは13MHz乃至60MHzの範囲である。基板加熱温度は300℃以下が好ましく、100℃乃至200℃の範囲の基板加熱温度でも形成可能である。ここで、主に成膜時に取り込まれる不純物元素として、酸素、窒素、炭素などの大気成分に由来する不純物は1×1020cm−3以下とすることが望ましく、特に、酸素濃度は5×1019cm−3以下、好ましくは1×1019cm−3以下となるようにすることが好ましい。また、ヘリウム、アルゴン、クリプトン、ネオンなどの希ガス元素を含ませて格子歪みをさらに助長させることで安定性が増し良好なSASが得られる。また半導体層としてフッ素系ガスより形成されるSAS層に水素系ガスより形成されるSAS層を積層してもよい。 SAS is a semiconductor having an intermediate structure between amorphous and crystalline structures (including single crystals and polycrystals) and having a third state that is stable in terms of free energy, and has short-range order and lattice distortion. It includes a crystalline region having. A crystal region of 0.5 nm to 20 nm can be observed in at least a part of the film, and when silicon is the main component, the Raman spectrum shifts to a lower wave number side than 520 cm −1. Yes. In X-ray diffraction, diffraction peaks of (111) and (220) that are derived from the silicon crystal lattice are observed. In order to terminate dangling bonds (dangling bonds), hydrogen or halogen is contained at least 1 atomic% or more. SAS is formed by glow discharge decomposition (plasma CVD) of a gas containing silicon. As a gas containing silicon, SiH 4 , Si 2 H 6 , SiH 2 Cl 2 , SiHCl 3 , SiCl 4 , SiF 4, and the like can be used. Further, F 2 and GeF 4 may be mixed. The gas containing silicon may be diluted with H 2 , or H 2 and one or more kinds of rare gas elements selected from He, Ar, Kr, and Ne. The dilution rate ranges from 2 to 1000 times, the pressure ranges from approximately 0.1 Pa to 133 Pa, and the power supply frequency ranges from 1 MHz to 120 MHz, preferably from 13 MHz to 60 MHz. The substrate heating temperature is preferably 300 ° C. or lower, and can be formed even at a substrate heating temperature in the range of 100 ° C. to 200 ° C. Here, as an impurity element mainly taken in at the time of film formation, impurities derived from atmospheric components such as oxygen, nitrogen, and carbon are preferably 1 × 10 20 cm −3 or less, and in particular, the oxygen concentration is 5 × 10 5. It is preferable to be 19 cm −3 or less, preferably 1 × 10 19 cm −3 or less. Further, by adding a rare gas element such as helium, argon, krypton, or neon to further promote lattice distortion, stability is improved and a favorable SAS can be obtained. In addition, a SAS layer formed of a hydrogen-based gas may be stacked on a SAS layer formed of a fluorine-based gas as a semiconductor layer.

アモルファス半導体としては、代表的には水素化アモルファスシリコン、結晶性半導体としては代表的にはポリシリコンなどがあげられる。ポリシリコン(多結晶シリコン)には、800℃以上のプロセス温度を経て形成されるポリシリコンを主材料として用いた所謂高温ポリシリコンや、600℃以下のプロセス温度で形成されるポリシリコンを主材料として用いた所謂低温ポリシリコン、また結晶化を促進する元素などを添加し結晶化させたポリシリコンなどを含んでいる。もちろん、前述したように、セミアモルファス半導体又は半導体層の一部に結晶相を含む半導体を用いることもできる。   A typical example of an amorphous semiconductor is hydrogenated amorphous silicon, and a typical example of a crystalline semiconductor is polysilicon. Polysilicon (polycrystalline silicon) is mainly made of so-called high-temperature polysilicon using polysilicon formed through a process temperature of 800 ° C. or higher as a main material, or polysilicon formed at a process temperature of 600 ° C. or lower. And so-called low-temperature polysilicon, and polysilicon crystallized by adding an element that promotes crystallization. Of course, as described above, a semi-amorphous semiconductor or a semiconductor including a crystal phase in a part of the semiconductor layer can also be used.

半導体層に、多結晶半導体又はセミアモルファス半導体等の結晶性半導体を用いる場合、その半導体層の作製方法は、各種の方法(レーザ結晶化法、熱結晶化法、またはニッケルなどの結晶化を助長する元素を用いた熱結晶化法等)を用いて形成すればよい。また、SASである微結晶半導体をレーザ照射して結晶化し、結晶性を高めることもできる。例えば、シリコンを用い、結晶化を助長する元素を導入しないで半導体層を作製する場合は、非晶質シリコン層にレーザビームを照射する前に、窒素雰囲気下で700℃、1時間加熱することによって非晶質シリコン層の含有水素濃度を1×1020atoms/cm以下にまで放出させるのが好ましい。これは水素を多く含んだ非晶質シリコン層にレーザビームを照射すると非晶質シリコン層が破壊されてしまうからである。 In the case where a crystalline semiconductor such as a polycrystalline semiconductor or a semi-amorphous semiconductor is used for the semiconductor layer, various methods (laser crystallization method, thermal crystallization method, or crystallization of nickel, etc. are promoted). For example, a thermal crystallization method using an element to be formed). In addition, a microcrystalline semiconductor that is a SAS can be crystallized by laser irradiation to improve crystallinity. For example, in the case where a semiconductor layer is manufactured using silicon and without introducing an element that promotes crystallization, the amorphous silicon layer is heated at 700 ° C. for 1 hour in a nitrogen atmosphere before being irradiated with a laser beam. Thus, it is preferable to release the hydrogen concentration of the amorphous silicon layer to 1 × 10 20 atoms / cm 3 or less. This is because the amorphous silicon layer is destroyed when the amorphous silicon layer containing a large amount of hydrogen is irradiated with a laser beam.

非晶質半導体層への金属元素の導入の仕方としては、当該金属元素を非晶質半導体層の表面又はその内部に存在させ得る手法であれば特に限定はなく、例えばスパッタリング法、CVD法、プラズマ処理法(プラズマCVD法も含む)、吸着法、金属塩の溶液を塗布する方法を使用することができる。このうち溶液を用いる方法は簡便であり、金属元素の濃度調整が容易であるという点で有用である。また、このとき非晶質半導体層の表面の濡れ性を改善し、非晶質半導体層の表面全体に水溶液を行き渡らせるため、酸素雰囲気中でのUV光の照射、熱酸化法、ヒドロキシラジカルを含むオゾン含有水又は過酸化水素水による処理等により、非晶質半導体層の表面に酸化膜を形成することが望ましい。   The method for introducing the metal element into the amorphous semiconductor layer is not particularly limited as long as the metal element can be present on the surface of the amorphous semiconductor layer or inside the amorphous semiconductor layer. For example, a sputtering method, a CVD method, A plasma treatment method (including a plasma CVD method), an adsorption method, or a method of applying a metal salt solution can be used. Among these, the method using a solution is simple and useful in that the concentration of the metal element can be easily adjusted. At this time, in order to improve the wettability of the surface of the amorphous semiconductor layer and to spread the aqueous solution over the entire surface of the amorphous semiconductor layer, irradiation with UV light in an oxygen atmosphere, thermal oxidation method, hydroxy radical It is desirable to form an oxide film on the surface of the amorphous semiconductor layer by treatment with ozone-containing water or hydrogen peroxide water.

非晶質半導体層の結晶化は、熱処理とレーザビーム照射による結晶化を組み合わせてもよく、熱処理やレーザビーム照射を単独で、複数回行っても良い。   For crystallization of the amorphous semiconductor layer, heat treatment and crystallization by laser beam irradiation may be combined, or heat treatment and laser beam irradiation may be performed several times independently.

また、結晶性半導体層を、直接基板にプラズマ法により形成しても良い。また、線状プラズマ法を用いて、結晶性半導体層を選択的に基板に形成してもよい。   Alternatively, the crystalline semiconductor layer may be directly formed over the substrate by a plasma method. Alternatively, the crystalline semiconductor layer may be selectively formed over the substrate by a linear plasma method.

また、半導体層は、有機半導体材料を用いて形成することができる。有機半導体材料としては、低分子材料、高分子材料などが用いられ、導電性高分子材料などの材料も用いることができる。例えば、骨格が共役二重結合から構成されるπ電子共役系の高分子材料を用いることができ、具体的には、ポリチオフェン、ポリフルオレン、ポリ(3−アルキルチオフェン)、ポリチオフェン誘導体、ペンタセン等の可溶性の高分子材料を用いることができる。その他、有機半導体材料としては、可溶性の前駆体を成膜した後で処理することにより半導体層を形成することができる材料がある。なお、このような有機半導体材料としては、ポリチエニレンビニレン、ポリ(2,5−チエニレンビニレン)、ポリアセチレン、ポリアセチレン誘導体、ポリアリレンビニレンなどがある。   The semiconductor layer can be formed using an organic semiconductor material. As the organic semiconductor material, a low molecular material, a polymer material, or the like is used, and a material such as a conductive polymer material can also be used. For example, a π-electron conjugated polymer material whose skeleton is composed of conjugated double bonds can be used. Specifically, polythiophene, polyfluorene, poly (3-alkylthiophene), polythiophene derivatives, pentacene, and the like can be used. Soluble polymeric materials can be used. In addition, as an organic semiconductor material, there is a material capable of forming a semiconductor layer by processing after forming a soluble precursor. Examples of such an organic semiconductor material include polythienylene vinylene, poly (2,5-thienylene vinylene), polyacetylene, a polyacetylene derivative, and polyarylene vinylene.

前駆体を有機半導体に変換する際には、加熱処理だけではなく塩化水素ガスなどの反応触媒を添加することがなされる。また、これらの可溶性有機半導体材料を溶解させる代表的な溶媒としては、トルエン、キシレン、クロロベンゼン、ジクロロベンゼン、アニソール、クロロフォルム、ジクロロメタン、γブチルラクトン、ブチルセルソルブ、シクロヘキサン、NMP(N−メチル−2−ピロリドン)、シクロヘキサノン、2−ブタノン、ジオキサン、ジメチルホルムアミド(DMF)または、THF(テトラヒドロフラン)などを適用することができる。   When converting the precursor into an organic semiconductor, a reaction catalyst such as hydrogen chloride gas is added as well as heat treatment. Typical solvents for dissolving these soluble organic semiconductor materials include toluene, xylene, chlorobenzene, dichlorobenzene, anisole, chloroform, dichloromethane, γ-butyllactone, butyl cellosolve, cyclohexane, NMP (N-methyl-2) -Pyrrolidone), cyclohexanone, 2-butanone, dioxane, dimethylformamide (DMF), THF (tetrahydrofuran), or the like can be applied.

本実施の形態では、半導体層705及び一導電性を有する半導体層709として非晶質半導体層を形成する。一導電性を有する半導体層709としては、n型を付与する不純物元素であるリン(P)を含むn型を有する半導体層を形成する。一導電性を有する半導体層709は、ソース領域及びドレイン領域として機能し、半導体層705と、ソース電極又はドレイン電極として機能する導電層と、のオーミック接触を良好にする。なお、一導電性を有する半導体層709は必要に応じて形成すればよく、n型を付与する不純物元素(P、As)を有するn型を有する半導体層やp型を付与する不純物元素(B)を有するp型を有する半導体層を形成することができる。   In this embodiment, an amorphous semiconductor layer is formed as the semiconductor layer 705 and the semiconductor layer 709 having one conductivity. As the semiconductor layer 709 having one conductivity, an n-type semiconductor layer containing phosphorus (P) which is an impurity element imparting n-type conductivity is formed. The semiconductor layer 709 having one conductivity functions as a source region and a drain region, and improves ohmic contact between the semiconductor layer 705 and the conductive layer functioning as a source electrode or a drain electrode. Note that the semiconductor layer 709 having one conductivity may be formed as needed, and an n-type semiconductor layer containing an n-type impurity element (P, As) or a p-type impurity element (B A p-type semiconductor layer can be formed.

一導電性を有する半導体層709上に第1材料層7422、第2材料層7424を順次積層形成する。第2材料層7424側から、第1レーザビーム7434及び第2レーザビーム7432を選択的に照射する。このとき、第1レーザビーム7434及び第2レーザビーム7432は、少なくとも一部が重畳するように照射する。第1レーザビーム7434及び第2レーザビーム7432が重畳して照射された領域を重畳照射領域7436とする(図4(C)参照)。   A first material layer 7422 and a second material layer 7424 are sequentially stacked over the semiconductor layer 709 having one conductivity. The first laser beam 7434 and the second laser beam 7432 are selectively irradiated from the second material layer 7424 side. At this time, irradiation is performed so that the first laser beam 7434 and the second laser beam 7432 overlap at least partially. A region where the first laser beam 7434 and the second laser beam 7432 are superimposed and irradiated is a superimposed irradiation region 7436 (see FIG. 4C).

第1材料層7422、第2材料層7424は、上述した第1材料層7402、第2材料層7404と同様に形成すればよい。また、第1レーザビーム7434は、前述した第1レーザビーム7414と同様のレーザビームを用いればよい。第2レーザビーム7432も、前述した第2レーザビーム7412と同様のレーザビームを用いればよい。   The first material layer 7422 and the second material layer 7424 may be formed in the same manner as the first material layer 7402 and the second material layer 7404 described above. The first laser beam 7434 may be a laser beam similar to the first laser beam 7414 described above. The second laser beam 7432 may be a laser beam similar to the second laser beam 7412 described above.

第1レーザビーム7434及び第2レーザビーム7432は、第2材料層7424を透過して第1材料層7422で吸収される。第1材料層7422及び第2材料層7424は、第1レーザビーム7434及び第2レーザビーム7432が重畳して照射された領域(重畳照射領域7436)においてアブレーションされる。残存する第1材料層7423及び第2材料層7425をマスクとして半導体層705及び一導電性を有する半導体層709を選択的にエッチングし、半導体層707及び一導電性を有する半導体層711を形成する(図4(D)参照)。   The first laser beam 7434 and the second laser beam 7432 pass through the second material layer 7424 and are absorbed by the first material layer 7422. The first material layer 7422 and the second material layer 7424 are ablated in a region (superimposed irradiation region 7436) where the first laser beam 7434 and the second laser beam 7432 are superimposed and irradiated. The semiconductor layer 705 and the semiconductor layer 709 having one conductivity are selectively etched using the remaining first material layer 7423 and second material layer 7425 as a mask, so that the semiconductor layer 707 and the semiconductor layer 711 having one conductivity are formed. (See FIG. 4D).

第1材料層7423、第2材料層7425を、エッチングやレーザアブレーションを利用して除去した後、一導電性を有する半導体層711上に導電層713を形成する(図5(A)参照)。   After the first material layer 7423 and the second material layer 7425 are removed by etching or laser ablation, a conductive layer 713 is formed over the semiconductor layer 711 having one conductivity (see FIG. 5A).

導電層713は導電材料を用いて形成すればよく、例えば、銀(Ag)、金(Au)、ニッケル(Ni)、白金(Pt)、パラジウム(Pd)、イリジウム(Ir)、ロジウム(Rh)、タンタル(Ta)、タングステン(W)、クロム(Cr)、モリブデン(Mo)、チタン(Ti)、コバルト(Co)、銅(Cu)、アルミニウム(Al)等の金属元素、又は当該元素を主成分とする合金材料若しくは化合物等の導電材料を用いて形成すればよい。また、導電層713は、単層構造でも積層構造でもよい。   The conductive layer 713 may be formed using a conductive material. For example, silver (Ag), gold (Au), nickel (Ni), platinum (Pt), palladium (Pd), iridium (Ir), rhodium (Rh) , Tantalum (Ta), tungsten (W), chromium (Cr), molybdenum (Mo), titanium (Ti), cobalt (Co), copper (Cu), aluminum (Al), or other metal elements, or such elements A conductive material such as an alloy material or a compound as a component may be used. The conductive layer 713 may have a single-layer structure or a stacked structure.

導電層713は、スパッタリング法、PVD法(Physical Vapor Deposition)、減圧CVD法(LPCVD法)、またはプラズマCVD法等のCVD法(Chemical Vapor Deposition)などにより形成すればよい。   The conductive layer 713 may be formed by a sputtering method, a PVD method (Physical Vapor Deposition), a low pressure CVD method (LPCVD method), or a CVD method (Chemical Vapor Deposition) such as a plasma CVD method.

導電層713上に第1材料層7442、第2材料層7444を順次積層形成する。第2材料層7444側から、第1レーザビーム7454及び第2レーザビーム7452を選択的に照射する。このとき、第1レーザビーム7454及び第2レーザビーム7452は、少なくとも一部が重畳するように照射する。第1レーザビーム7454及び第2レーザビーム7452が重畳して照射された領域を重畳照射領域7456とする(図5(A)参照)。   A first material layer 7442 and a second material layer 7444 are sequentially stacked over the conductive layer 713. The first laser beam 7454 and the second laser beam 7452 are selectively irradiated from the second material layer 7444 side. At this time, the first laser beam 7454 and the second laser beam 7452 are irradiated so as to overlap at least partially. A region irradiated with the first laser beam 7454 and the second laser beam 7452 superimposed is referred to as a superimposed irradiation region 7456 (see FIG. 5A).

第1材料層7442、第2材料層7444は、上述した第1材料層7402、第2材料層7404と同様に形成すればよい。また、第1レーザビーム7454は、前述した第1レーザビーム7414と同様のレーザビームを用いればよい。第2レーザビーム7452も、前述した第2レーザビーム7452と同様のレーザビームを用いればよい。なお、導電層713が第1レーザビーム7454及び第2レーザビーム7452を吸収してアブレーションさせる材料を用いて形成された層であれば、第1材料層7442は設けなくともよい。   The first material layer 7442 and the second material layer 7444 may be formed in a manner similar to that of the first material layer 7402 and the second material layer 7404 described above. The first laser beam 7454 may be a laser beam similar to the first laser beam 7414 described above. The second laser beam 7452 may be a laser beam similar to the second laser beam 7452 described above. Note that the first material layer 7442 is not necessarily provided as long as the conductive layer 713 is formed using a material that absorbs and ablate the first laser beam 7454 and the second laser beam 7452.

第1レーザビーム7454及び第2レーザビーム7452は、第2材料層7444を透過して第1材料層7442で吸収される。第1材料層7442及び第2材料層7444は、第1レーザビーム7454及び第2レーザビーム7452が重畳して照射された領域(重畳照射領域7456)においてアブレーションされる。残存する第1材料層7443a、第1材料層7443b、及び第2材料層7445a、第2材料層7445bをマスクとして導電層713を選択的にエッチングし、ソース電極層又はドレイン電極層として機能する導電層712a、導電層712bを形成する(図5(B)参照)。   The first laser beam 7454 and the second laser beam 7452 pass through the second material layer 7444 and are absorbed by the first material layer 7442. The first material layer 7442 and the second material layer 7444 are ablated in a region (superimposed irradiation region 7456) where the first laser beam 7454 and the second laser beam 7452 are superimposed and irradiated. The conductive layer 713 is selectively etched using the remaining first material layer 7443a, the first material layer 7443b, the second material layer 7445a, and the second material layer 7445b as masks, so that the conductive layer 713 functions as a source electrode layer or a drain electrode layer. A layer 712a and a conductive layer 712b are formed (see FIG. 5B).

導電層712a、712bは、各種印刷法(スクリーン(孔版)印刷、オフセット(平版)印刷、凸版印刷やグラビア(凹版)印刷など所望なパターンで形成される方法)、ナノインプリント法、液滴吐出法、ディスペンサ法、選択的な塗布法などを用いて形成してもよい。このような方法を用いると、所望の場所に選択的に導電層を形成することができる。また、導電層712a、712bは、導電層713上にフォトレジストを用いてマスクを形成し、当該マスクを用いて導電層713を選択的にエッチングして形成してもよい。   The conductive layers 712a and 712b can be formed by various printing methods (screen (stencil) printing, offset (planographic printing) printing, a method of forming a desired pattern such as relief printing or gravure printing (intaglio printing)), nanoimprinting method, droplet discharge method, You may form using the dispenser method, the selective application method, etc. When such a method is used, a conductive layer can be selectively formed at a desired place. Alternatively, the conductive layers 712a and 712b may be formed by forming a mask using a photoresist over the conductive layer 713 and selectively etching the conductive layer 713 using the mask.

第1材料層7443a、7443b及び第2材料層7445a、7445bを、エッチングやレーザアブレーションを利用して除去する(図6(A)参照)。そして、導電層712a、712bをマスクとして、一導電性を有する半導体層711を選択的にエッチングして、半導体層707を露出させる。半導体層は、分離した一導電性を有する半導体層710a、一導電性を有する半導体層710bと、半導体層708となる(図6(B)参照)。なお、一導電性を有する半導体層711を選択的にエッチングした後、下層の半導体層708は露出部が他の部分と比較して凹む場合がある。   The first material layers 7443a and 7443b and the second material layers 7445a and 7445b are removed by etching or laser ablation (see FIG. 6A). Then, using the conductive layers 712a and 712b as masks, the semiconductor layer 711 having one conductivity is selectively etched to expose the semiconductor layer 707. The semiconductor layers are separated into a semiconductor layer 710a having one conductivity, a semiconductor layer 710b having one conductivity, and a semiconductor layer 708 (see FIG. 6B). Note that after the semiconductor layer 711 having one conductivity is selectively etched, an exposed portion of the lower semiconductor layer 708 may be recessed as compared with other portions.

半導体層708、一導電性を有する半導体層710a、710bは、スパッタリング法、LPCVD法、またはプラズマCVD法などにより形成した半導体層上にフォトレジストを用いてマスクを形成し、当該マスクを用いて選択的にエッチングして形成してもよい。   The semiconductor layer 708 and the semiconductor layers 710a and 710b having one conductivity are selected using a mask formed with a photoresist over a semiconductor layer formed by a sputtering method, an LPCVD method, a plasma CVD method, or the like. Alternatively, it may be formed by etching.

また、半導体層708、一導電性を有する半導体層710a、710bは、各種印刷法(スクリーン(孔版)印刷、オフセット(平版)印刷、凸版印刷やグラビア(凹版)印刷など所望なパターンで形成される方法)、ナノインプリント法、液滴吐出法、ディスペンサ法、選択的な塗布法などを用いて形成してもよい。このような方法を用いると、所望の場所に選択的に半導体層を形成することができる。   Further, the semiconductor layer 708 and the semiconductor layers 710a and 710b having one conductivity are formed in various patterns such as various printing methods (screen (stencil printing), offset (lithographic printing), relief printing, gravure (intaglio printing), and the like. Method), nanoimprint method, droplet discharge method, dispenser method, selective coating method and the like. When such a method is used, a semiconductor layer can be selectively formed at a desired place.

以上の工程で、逆スタガ型トランジスタ(ボトムゲート型トランジスタともいわれる)であるトランジスタ720を作製することができる。   Through the above process, the transistor 720 which is an inverted staggered transistor (also referred to as a bottom-gate transistor) can be manufactured.

次に、トランジスタ720を覆うように、絶縁層7010を形成する(図7(A)参照)。   Next, an insulating layer 7010 is formed so as to cover the transistor 720 (see FIG. 7A).

絶縁層7010は、酸化シリコン、窒化シリコン、酸化窒化シリコン、酸化アルミニウム、窒化アルミニウム、酸化窒化アルミニウム、ダイアモンドライクカーボン(DLC)、窒素含有炭素(CN)、ポリシラザン、その他の無機絶縁材料を含む物質から選ばれた材料等を用いて、単層構造又は積層構造で形成する。また、シロキサンを含む材料を用いて形成してもよい。また、有機絶縁材料を用いてもよく、ポリイミド、アクリル、ポリアミド、ポリイミドアミド、レジスト又はベンゾシクロブテンを用いることができる。また、オキサゾール樹脂を用いて形成することもでき、例えば光硬化型ポリベンゾオキサゾールなどを用いることができる。   The insulating layer 7010 is formed of a material containing silicon oxide, silicon nitride, silicon oxynitride, aluminum oxide, aluminum nitride, aluminum oxynitride, diamond-like carbon (DLC), nitrogen-containing carbon (CN), polysilazane, or other inorganic insulating materials. Using a selected material or the like, a single layer structure or a laminated structure is formed. Alternatively, a material containing siloxane may be used. An organic insulating material may be used, and polyimide, acrylic, polyamide, polyimide amide, resist, or benzocyclobutene can be used. Moreover, it can also form using an oxazole resin, for example, photocurable polybenzoxazole etc. can be used.

絶縁層7010は、スパッタリング法、PVD法(Physical Vapor Deposition)、減圧CVD法(LPCVD法)、またはプラズマCVD法等のCVD法(Chemical Vapor Deposition)、スピンコート法などにより形成することができる。   The insulating layer 7010 can be formed by a sputtering method, a PVD method (Physical Vapor Deposition), a low pressure CVD method (LPCVD method), a CVD method such as a plasma CVD method (Chemical Vapor Deposition), a spin coating method, or the like.

次に、レーザビームを照射し(図7(B)参照)、導電層712bに達する開口7038を絶縁層7010に形成する(図7(C)参照)。   Next, laser beam irradiation is performed (see FIG. 7B), and an opening 7038 reaching the conductive layer 712b is formed in the insulating layer 7010 (see FIG. 7C).

図7(C)において、絶縁層7010に形成される開口7038は、上記実施の形態1乃至5で示したように、レーザビームの照射によるアブレーションを利用して形成する。   In FIG. 7C, the opening 7038 formed in the insulating layer 7010 is formed by utilizing ablation by laser beam irradiation as described in Embodiment Modes 1 to 5.

詳しくは、図7(B)に示すように、絶縁層7010側から第1レーザビーム7034及び第2レーザビーム7032を重畳するように照射し、重畳して照射した領域の一部をアブレーションさせて、開口7038を形成する。   Specifically, as shown in FIG. 7B, irradiation is performed so that the first laser beam 7034 and the second laser beam 7032 are overlapped from the insulating layer 7010 side, and a part of the overlapped irradiation region is ablated. , An opening 7038 is formed.

第1レーザビーム7034及び第2レーザビーム7032は上記実施の形態1に準じる。例えば、第1レーザビーム7034は、KrF、ArF、KrF、XeCl、XeF等のエキシマレーザ、He、He−Cd、Ar、He−Ne等の気体レーザ、単結晶のYAG、YVO、YLF、フォルステライト(MgSiO)、YAlO、GdVO、若しくは多結晶(セラミック)のYAG、Y、YVO、YAlO、GdVOに、ドーパントとしてNd、Yb、Cr、Ti、Ho、Er、Tm、Taのうち1種または複数種添加されているものを媒質とする固体レーザ、GaN、GaAs、GaAlAs、InGaAsP等の半導体レーザ等から射出されるレーザビームを用いればよい。好ましくは、YAGレーザ、YVOレーザ、エキシマレーザを用いると、数W以上の第1レーザビームが得られやすい。第1レーザビーム7034は、連続発振のレーザビームとパルス発振のレーザビームのどちらを用いても構わない。 The first laser beam 7034 and the second laser beam 7032 are according to Embodiment Mode 1. For example, the first laser beam 7034 includes an excimer laser such as KrF, ArF, KrF, XeCl, and XeF, a gas laser such as He, He—Cd, Ar, and He—Ne, a single crystal YAG, YVO 4 , YLF, Stellite (Mg 2 SiO 4 ), YAlO 3 , GdVO 4 , or polycrystalline (ceramic) YAG, Y 2 O 3 , YVO 4 , YAlO 3 , GdVO 4 , Nd, Yb, Cr, Ti, Ho, A laser beam emitted from a solid-state laser, a semiconductor laser such as GaN, GaAs, GaAlAs, InGaAsP, or the like using one or more of Er, Tm, and Ta as a medium may be used. Preferably, when a YAG laser, YVO 4 laser, or excimer laser is used, a first laser beam of several W or more can be easily obtained. As the first laser beam 7034, either a continuous wave laser beam or a pulsed laser beam may be used.

また、第1レーザビーム7034のエネルギーは、絶縁層7010及び導電層712bがアブレーションされず、その他の層にも不可逆的な変化を与えない程度とする。   The energy of the first laser beam 7034 is set such that the insulating layer 7010 and the conductive layer 712b are not ablated and the other layers are not irreversibly changed.

第2レーザビーム7032は、ピコ秒レーザ、又はフェムト秒レーザに代表される超短パルスレーザから射出されるレーザビームを用いればよい。   As the second laser beam 7032, a laser beam emitted from an ultrashort pulse laser typified by a picosecond laser or a femtosecond laser may be used.

また、第2レーザビーム7032のエネルギーは、第1レーザビーム7434及び第2レーザビーム7032を重畳して照射した場合に、絶縁層7010がアブレーションされて除去される程度とする。   The energy of the second laser beam 7032 is set such that the insulating layer 7010 is ablated and removed when the first laser beam 7434 and the second laser beam 7032 are superimposed and irradiated.

好ましくは、第1レーザビーム7034は第2レーザビーム7032よりもエネルギー密度が高く、第2レーザビーム7032は第1レーザビーム7034よりもパルス幅が短い特徴を有する。また、第1レーザビーム7034は、絶縁層7010のアブレーション閾値未満のエネルギーとする。   Preferably, the first laser beam 7034 has a higher energy density than the second laser beam 7032, and the second laser beam 7032 has a shorter pulse width than the first laser beam 7034. The first laser beam 7034 has energy lower than the ablation threshold value of the insulating layer 7010.

本実施の形態では、第1レーザビーム7034及び第2レーザビーム7032の照射によるアブレーションにより、絶縁層7010のみを除去して開口を形成する。もちろん、本発明は特に限定されず、第1レーザビーム7034及び第2レーザビーム7032のエネルギー等を適宜選択することにより、導電層712bの上層部に掛かる又は導電層712bを貫通するように開口を形成することもできる。   In this embodiment, an opening is formed by removing only the insulating layer 7010 by ablation by irradiation with the first laser beam 7034 and the second laser beam 7032. Needless to say, the present invention is not particularly limited, and by appropriately selecting the energy of the first laser beam 7034 and the second laser beam 7032, an opening is formed so as to be applied to the upper layer portion of the conductive layer 712b or to penetrate the conductive layer 712b. It can also be formed.

なお、基板7000、下地絶縁層7002、ゲート絶縁層706を透光性を用いる材料を用いて形成する場合は、第1レーザビーム7034及び第2レーザビーム7032を基板7000側から照射することも可能である。このとき、第1レーザビーム7034及び第2レーザビーム7032は重畳するように照射する。重畳して照射した領域の一部をアブレーションさせて、絶縁層7010(又は絶縁層7010及び導電層712b)に開口7038を形成する。この場合、絶縁層7010はレーザビームを透過させる必要がないため、材料の選択の幅が拡がる。   Note that in the case where the substrate 7000, the base insulating layer 7002, and the gate insulating layer 706 are formed using a light-transmitting material, the first laser beam 7034 and the second laser beam 7032 can be irradiated from the substrate 7000 side. It is. At this time, the first laser beam 7034 and the second laser beam 7032 are irradiated so as to overlap each other. An opening 7038 is formed in the insulating layer 7010 (or the insulating layer 7010 and the conductive layer 712b) by ablating a part of the overlapped and irradiated region. In this case, the insulating layer 7010 does not need to transmit a laser beam, so that a selection range of materials is widened.

また、開口7038は、絶縁層7010上にフォトレジストを用いてマスクを形成し、当該マスクを用いて選択的にエッチングして形成してもよい。そのほか、液滴吐出法を用いてマスクを形成し、当該マスクを用いて選択的にエッチングして形成してもよい。   The opening 7038 may be formed by forming a mask using a photoresist over the insulating layer 7010 and selectively etching the mask using the mask. In addition, a mask may be formed using a droplet discharge method and may be selectively etched using the mask.

次に、トランジスタ720と電気的に接続する発光素子7020を形成する。発光素子7020としては、赤色(R)、緑色(G)、青色(B)のいずれかの発光を示すものを形成すればよい。また、発光素子7020として白色(W)の発光を示すものを形成し、カラーフィルタと組み合わせてRGBの発光を得てもよい。以下に、発光素子7020の形成方法について説明する。   Next, a light-emitting element 7020 that is electrically connected to the transistor 720 is formed. As the light-emitting element 7020, a light-emitting element that emits red (R), green (G), or blue (B) light may be formed. Alternatively, a light-emitting element 7020 that emits white (W) light may be formed, and RGB light emission may be obtained in combination with a color filter. A method for forming the light emitting element 7020 is described below.

まず、導電層712bが露出された開口7038に画素電極として機能する発光素子の第1の電極層7012を形成する。導電層712bと第1の電極層7012とは、電気的に接続される(図8(A)参照)。   First, a first electrode layer 7012 of a light-emitting element that functions as a pixel electrode is formed in the opening 7038 from which the conductive layer 712b is exposed. The conductive layer 712b and the first electrode layer 7012 are electrically connected (see FIG. 8A).

第1の電極層7012は、インジウム錫酸化物(ITO)、酸化シリコンを含むインジウム錫酸化物(ITSO)、酸化亜鉛(ZnO)などの導電材料で形成することができる。例えば、ITOに酸化シリコンが2wt%乃至10wt%の範囲で含まれたターゲットを用いて、スパッタリング法で酸化シリコンを含む酸化インジウム錫を形成することができる。その他、ZnOにガリウム(Ga)をドープした導電性材料、酸化シリコンを含み酸化インジウムに2wt%乃至20wt%の範囲の酸化亜鉛(ZnO)を混合したターゲットを用いて形成された酸化物導電性材料であるインジウム亜鉛酸化物(IZO(indium zinc oxide))を用いて形成してもよい。   The first electrode layer 7012 can be formed using a conductive material such as indium tin oxide (ITO), indium tin oxide containing silicon oxide (ITSO), or zinc oxide (ZnO). For example, indium tin oxide containing silicon oxide can be formed by a sputtering method using a target in which silicon oxide is contained in the range of 2 wt% to 10 wt% in ITO. In addition, a conductive material obtained by doping ZnO with gallium (Ga), and an oxide conductive material formed using a target in which silicon oxide is included and zinc oxide (ZnO) in a range of 2 wt% to 20 wt% is mixed with indium oxide. Alternatively, indium zinc oxide (IZO) may be used.

第1の電極層7012は、スパッタリング法、PVD法(Physical Vapor Deposition)、減圧CVD法(LPCVD法)、またはプラズマCVD法等のCVD法(Chemical Vapor Deposition)などにより形成した導電層を選択的にエッチングして形成する。   As the first electrode layer 7012, a conductive layer formed by a sputtering method, a PVD method (Physical Vapor Deposition), a low pressure CVD method (LPCVD method), or a CVD method (Chemical Vapor Deposition) such as a plasma CVD method is selectively used. It is formed by etching.

また、第1の電極層7012は、液滴吐出法や、印刷法(スクリーン印刷やオフセット印刷などパターンが形成される方法)、ディッピング法、ディスペンサ法などを用いて、所望の場所に選択的に形成することもできる。   The first electrode layer 7012 can be selectively formed at a desired place using a droplet discharge method, a printing method (a method for forming a pattern such as screen printing or offset printing), a dipping method, a dispenser method, or the like. It can also be formed.

第1の電極層7012は、その表面が平坦化されるように、CMP法、ポリビニルアルコール系の多孔質体で拭浄し、研磨しても良い。またCMP法を用いた研磨後に、第1の電極層7012の表面に紫外線照射、酸素プラズマ処理などを行ってもよい。   The first electrode layer 7012 may be cleaned by polishing with a CMP method or a polyvinyl alcohol-based porous body so that the surface thereof is planarized. Further, after the polishing using the CMP method, the surface of the first electrode layer 7012 may be subjected to ultraviolet irradiation, oxygen plasma treatment, or the like.

次に、第1の電極層7012上に開口を有するように隔壁層7014を形成する(図8(B)参照)。隔壁層7014は、酸化シリコン、窒化シリコン、酸化窒化シリコン、酸化アルミニウム、窒化アルミニウム、酸化窒化アルミニウム等の無機絶縁材料、又はアクリル酸、メタクリル酸及びこれらの誘導体、又はポリイミド(polyimide)、芳香族ポリアミド、ポリベンゾイミダゾール(polybenzimidazole)などの耐熱性高分子、又はシロキサン系材料を出発材料として形成されたシリコン、酸素、水素からなる化合物のうちSi−O−Si結合を含む無機シロキサン、シリコンに結合する水素がメチルやフェニルのような有機基によって置換された有機シロキサン系の絶縁材料で形成することができる。アクリル、ポリイミド等の感光性、非感光性の材料を用いて形成してもよい。   Next, a partition layer 7014 is formed so as to have an opening over the first electrode layer 7012 (see FIG. 8B). The partition layer 7014 is formed using an inorganic insulating material such as silicon oxide, silicon nitride, silicon oxynitride, aluminum oxide, aluminum nitride, or aluminum oxynitride, acrylic acid, methacrylic acid, and derivatives thereof, polyimide, aromatic polyamide, or the like. Bonded to silicon, oxygen, and hydrogen containing a heat-resistant polymer such as polybenzimidazole, or a siloxane-based material, an inorganic siloxane containing Si—O—Si bond, and silicon It can be formed of an organic siloxane insulating material in which hydrogen is substituted with an organic group such as methyl or phenyl. You may form using photosensitive and non-photosensitive materials, such as an acryl and a polyimide.

隔壁層7014は、液滴吐出法、印刷法、ディスペンサ法などを用いて選択的に形成することができる。また、絶縁材料を用いて隔壁層を全面に形成し、リソグラフィー工程を利用してレジストマスク等を形成し、エッチング加工して所望の形状を有する隔壁層7014を形成してもよい。その他、感光性の材料を用いて隔壁層を全面に形成し、感光性の材料からなる隔壁層を露光及び現像することにより、所望の形状を有する隔壁層7014を形成することもできる。なお、隔壁層7014は曲率半径が連続的に変化する形状が好ましい。隔壁層をこのような形状にすることで、上方に形成される層7016、第2の電極層7018の被覆性が向上する。   The partition layer 7014 can be selectively formed by a droplet discharge method, a printing method, a dispenser method, or the like. Alternatively, a partition layer 7014 having a desired shape may be formed by forming a partition layer over the entire surface using an insulating material, forming a resist mask or the like using a lithography process, and performing etching. In addition, a partition layer 7014 having a desired shape can be formed by forming a partition layer on the entire surface using a photosensitive material, and exposing and developing the partition layer made of a photosensitive material. Note that the partition wall layer 7014 preferably has a shape in which the radius of curvature continuously changes. With such a shape of the partition layer, the coverage with the layer 7016 and the second electrode layer 7018 formed above is improved.

また、液滴吐出法により、隔壁層7014を組成物を吐出し形成した後、その平坦性を高めるために表面を圧力によってプレスして平坦化してもよい。プレスの方法としては、ローラー状のものを表面に走査することによって、凹凸を軽減してもよいし、平坦な板状な物で表面を垂直にプレスしてもよい。また溶剤等によって表面を軟化、または融解させエアナイフで表面の凹凸部を除去しても良い。また、CMP法を用いて研磨しても良い。この工程は、液滴吐出法によって凹凸が生じる場合に、その表面の平坦化する場合適用することができる。この工程により平坦性が向上すると、表示装置の表示ムラなどを防止することができ、高繊細な画像を表示することができる。   Alternatively, after the partition layer 7014 is formed by discharging a composition by a droplet discharge method, the surface may be pressed and flattened by pressure in order to improve the flatness. As a pressing method, unevenness may be reduced by scanning a roller-shaped object on the surface, or the surface may be pressed vertically with a flat plate-like object. Alternatively, the surface may be softened or melted with a solvent or the like, and the surface irregularities may be removed with an air knife. Further, polishing may be performed using a CMP method. This step can be applied when the surface is flattened when unevenness is generated by the droplet discharge method. When flatness is improved by this step, display unevenness of the display device can be prevented and a high-definition image can be displayed.

次に、第1の電極層7012及び隔壁層7014上に層7016、第2の電極層7018を積層して形成する。そして、第1の電極層7012と第2の電極層7018との間に層7016が挟持された構造の発光素子7020を得る(図8(C)参照)。層7016は、少なくとも所望の発光波長を得ることができる発光材料を含む層(以下、発光層ともいう)で構成される。具体的には、層7016は、有機化合物、無機化合物、又は両者を含む層で形成される。   Next, a layer 7016 and a second electrode layer 7018 are stacked over the first electrode layer 7012 and the partition layer 7014. Then, a light-emitting element 7020 having a structure in which the layer 7016 is sandwiched between the first electrode layer 7012 and the second electrode layer 7018 is obtained (see FIG. 8C). The layer 7016 includes a layer containing a light-emitting material that can obtain at least a desired light emission wavelength (hereinafter also referred to as a light-emitting layer). Specifically, the layer 7016 is formed of an organic compound, an inorganic compound, or a layer containing both.

以上の工程で、発光素子7020を備えた表示装置を得ることができる。   Through the above steps, a display device including the light-emitting element 7020 can be obtained.

本発明を適用することで、フォトレジストを用いたリソグラフィー工程を用いることなく、所望の領域を加工することができる。また、レーザビームを吸収する層及びレーザビームを吸収しない(或いは吸収しにくい)層の積層構造を形成し、レーザアブレーションを利用してエッチング用マスクを形成することができる。よって、リソグラフィー工程を削減・簡略化することが可能になり、レジスト材料、現像液等の材料のロスを防ぎ、必要なフォトマスクの枚数を削減することができる。   By applying the present invention, a desired region can be processed without using a lithography process using a photoresist. Further, a stacked structure of a layer that absorbs a laser beam and a layer that does not absorb (or hardly absorbs) a laser beam can be formed, and an etching mask can be formed using laser ablation. Therefore, the lithography process can be reduced and simplified, loss of materials such as a resist material and a developer can be prevented, and the number of necessary photomasks can be reduced.

また、第1レーザビーム及び第2レーザビームの2種類のレーザビームを重畳するように照射することで、微細な開口を精度良く形成することも可能である。   In addition, by irradiating the two types of laser beams of the first laser beam and the second laser beam so as to overlap each other, a fine opening can be formed with high accuracy.

したがって、表示装置の製造工程において、製造コストの低減、スループットの向上、歩留まりの向上を図ることができる。   Therefore, in the manufacturing process of the display device, manufacturing cost can be reduced, throughput can be improved, and yield can be improved.

本実施の形態は、実施の形態1乃至5と自由に組み合わせることができる。   This embodiment mode can be freely combined with Embodiment Modes 1 to 5.

(実施の形態7)
本実施の形態では、本発明に係る表示パネルの構成について説明する。
(Embodiment 7)
In this embodiment mode, a structure of a display panel according to the present invention will be described.

図15(A)は本発明に係る表示パネルの構成を示す上面図であり、絶縁表面を有する基板2700上に画素2702をマトリクス状に配列させた画素部2701、走査線側入力端子2703、信号線側入力端子2704が形成されている。画素数は種々の規格に従って設ければ良く、XGAであってRGBを用いたフルカラー表示であれば1024×768×3(RGB)、UXGAであってRGBを用いたフルカラー表示であれば1600×1200×3(RGB)、フルスペックハイビジョンに対応させ、RGBを用いたフルカラー表示であれば1920×1080×3(RGB)とすれば良い。   FIG. 15A is a top view illustrating a structure of a display panel according to the present invention. A pixel portion 2701 in which pixels 2702 are arranged in a matrix over a substrate 2700 having an insulating surface, a scanning line side input terminal 2703, a signal A line side input terminal 2704 is formed. The number of pixels may be provided in accordance with various standards. For full color display using XGA and RGB, 1024 × 768 × 3 (RGB), and for full color display using UXGA and RGB, 1600 × 1200. If it corresponds to x3 (RGB) and full spec high vision and is full color display using RGB, it may be 1920 x 1080 x 3 (RGB).

画素2702は、走査線側入力端子2703から延在する走査線と、信号線側入力端子2704から延在する信号線とが交差することで、マトリクス状に配設される。画素2702のそれぞれには、スイッチング素子とそれに接続する画素電極が備えられている。スイッチング素子の代表的な一例はトランジスタであり、トランジスタのゲート電極側が走査線と、ソース電極若しくはドレイン電極側が信号線と接続されることにより、個々の画素を外部から入力する信号によって独立して制御可能としている。   The pixels 2702 are arranged in a matrix by a scan line extending from the scan line side input terminal 2703 and a signal line extending from the signal line side input terminal 2704 intersecting. Each of the pixels 2702 includes a switching element and a pixel electrode connected to the switching element. A typical example of a switching element is a transistor, and the gate electrode side of the transistor is connected to a scanning line, and the source electrode or drain electrode side is connected to a signal line, so that each pixel is controlled independently by a signal input from the outside. It is possible.

図15(A)は、走査線及び信号線へ入力する信号を、外付けの駆動回路により制御する表示装置の構成を示しているが、図16(A)に示すように、COG(Chip on Glass)方式によりドライバIC2751を基板2700上に実装しても良い。また他の実装形態として、図16(B)に示すようなTAB(Tape Automated Bonding)方式を用いてもよい。ドライバICは単結晶半導体基板に形成されたものでも良いし、ガラス基板上にトランジスタで回路を形成したものであっても良い。図16において、ドライバIC2751は、FPC2750と接続している。   FIG. 15A illustrates a structure of a display device in which signals input to the scan lines and the signal lines are controlled by an external driver circuit. As illustrated in FIG. 16A, COG (Chip on The driver IC 2751 may be mounted on the substrate 2700 by a glass method. As another mounting mode, a TAB (Tape Automated Bonding) method as shown in FIG. 16B may be used. The driver IC may be formed on a single crystal semiconductor substrate or may be a circuit in which a transistor is formed on a glass substrate. In FIG. 16, the driver IC 2751 is connected to the FPC 2750.

また、画素に設けるトランジスタを、結晶性が高い多結晶(微結晶)半導体で形成する場合には、図15(B)に示すように走査線側駆動回路3702を基板3700上に形成することもできる。図16(B)において、3701は画素部であり、信号線側駆動回路は、図15(A)と同様に外付けの駆動回路により制御する。画素に設けるトランジスタを移動度の高い、多結晶(微結晶)半導体、単結晶半導体などで形成する場合は、図15(C)は、走査線駆動回路4702と、信号線駆動回路4704を基板4700上に一体形成することもできる。   In the case where the transistor provided in the pixel is formed using a polycrystalline (microcrystalline) semiconductor with high crystallinity, the scan line driver circuit 3702 may be formed over the substrate 3700 as illustrated in FIG. it can. In FIG. 16B, reference numeral 3701 denotes a pixel portion, and the signal line side driver circuit is controlled by an external driver circuit as in FIG. In the case where the transistor provided in the pixel is formed using a polycrystalline (microcrystalline) semiconductor, a single crystal semiconductor, or the like with high mobility, the scan line driver circuit 4702 and the signal line driver circuit 4704 are connected to the substrate 4700 in FIG. It can also be integrally formed on the top.

本実施の形態において、スイッチング素子と画素電極とを接続する配線(導電層)を形成する開口、トランジスタのゲート電極を走査線と接続する配線(導電層)を形成する開口、ソース電極若しくはドレイン電極を信号線と接続する配線(導電層)を形成する開口等に、上記実施の形態1乃至5で示したような、レーザアブレーションを利用して開口を形成する本発明を適用することができる。   In this embodiment mode, an opening for forming a wiring (conductive layer) for connecting the switching element and the pixel electrode, an opening for forming a wiring (conductive layer) for connecting the gate electrode of the transistor to the scanning line, a source electrode or a drain electrode The present invention in which an opening is formed by utilizing laser ablation as shown in Embodiment Modes 1 to 5 can be applied to an opening or the like for forming a wiring (conductive layer) that connects the signal line to a signal line.

本発明は、第1レーザビーム及び第2レーザビームの2種類のレーザビームを重畳するように照射するレーザアブレーションを利用して開口を形成する。このようにすることで、局所的にエネルギーを与えることが可能になり、端面のダメージを防止しつつ加工精度良く開口を形成することができる。よって、フォトレジストを用いたリソグラフィー工程を削減・簡略化し、且つ精度良く開口を形成することができる。したがって、表示パネルを作製する際の製造コストを低減し、スループットを向上させることができる。   In the present invention, an opening is formed by using laser ablation that irradiates two types of laser beams, a first laser beam and a second laser beam, so as to overlap each other. By doing in this way, it becomes possible to give energy locally and it is possible to form an opening with high processing accuracy while preventing damage to the end face. Therefore, the lithography process using the photoresist can be reduced and simplified, and the opening can be formed with high accuracy. Therefore, the manufacturing cost for manufacturing the display panel can be reduced and the throughput can be improved.

本実施の形態は、上記実施の形態1乃至6と自由に組み合わせることができる。   This embodiment mode can be freely combined with any of Embodiment Modes 1 to 6.

(実施の形態8)
本実施の形態では、本発明に係る表示装置の例について、図27を用いて説明する。
(Embodiment 8)
In this embodiment, an example of a display device according to the present invention will be described with reference to FIG.

図27(A)は、本実施の形態で示す表示装置の上面の模式図を示している。また、図27(B)には、図27(A)中の線分QRにおける断面図を示す。   FIG. 27A is a schematic view of the top surface of the display device described in this embodiment. FIG. 27B is a cross-sectional view taken along the line QR in FIG.

図27に示す表示装置900は、基板901上に画素部902と、駆動回路部904と、を有する。また、基板901の上方には、シール材910を介して封止基板908が設けられている。さらに、基板901上には、端子部906が設けられている。画素部902を構成する複数の素子の動作を制御する信号や、電源電位は、端子部906を介して、外部から入力される。   A display device 900 illustrated in FIG. 27 includes a pixel portion 902 and a driver circuit portion 904 over a substrate 901. A sealing substrate 908 is provided above the substrate 901 with a sealant 910 interposed therebetween. Further, a terminal portion 906 is provided on the substrate 901. A signal for controlling operations of a plurality of elements included in the pixel portion 902 and a power supply potential are input from the outside through the terminal portion 906.

画素部902には発光素子930と、駆動用トランジスタ924と、スイッチング用トランジスタ922と、容量素子920と、が設けられている。発光素子930は、一対の電極層間に、少なくとも発光層を含む層が挟持されている。発光素子930は、駆動用トランジスタ924と電気的に接続している。   In the pixel portion 902, a light-emitting element 930, a driving transistor 924, a switching transistor 922, and a capacitor 920 are provided. In the light-emitting element 930, a layer including at least a light-emitting layer is sandwiched between a pair of electrode layers. The light-emitting element 930 is electrically connected to the driving transistor 924.

発光素子930の下方の電極層(駆動用トランジスタ924と電気的に接続する電極層)の端部は、隔壁層918で覆われている。隔壁層918は、酸化シリコン、窒化シリコン等の無機絶縁材利用、アクリル、ポリイミド、レジスト等の有機絶縁材料、又はシロキサン材料を等を用いて形成する。隔壁層918により、隣接して設けられる別の発光素子と分離することができる。なお、本実施の形態のように、曲率半径が連続的に変化するような丸みを帯びた形状の端部を有する隔壁層918とすることで、上方に積層して形成される層の被覆性が向上するので好ましい。   An end portion of an electrode layer (an electrode layer electrically connected to the driving transistor 924) below the light-emitting element 930 is covered with a partition wall layer 918. The partition layer 918 is formed using an inorganic insulating material such as silicon oxide or silicon nitride, an organic insulating material such as acrylic, polyimide, or resist, or a siloxane material. A partition layer 918 can be separated from another light-emitting element provided adjacent to the partition layer 918. Note that, as in this embodiment, the partition wall layer 918 having a rounded end portion whose curvature radius continuously changes so that the coverage of the layer formed by stacking upward is provided. Is preferable.

駆動回路部904には、複数のトランジスタ926が設けられており、画素部902の動作を制御する駆動回路を構成する。駆動回路部904には、例えばシフトレジスタ、デコーダ、バッファ、サンプリング回路、ラッチ等が設けられる。   The driver circuit portion 904 is provided with a plurality of transistors 926 and forms a driver circuit that controls the operation of the pixel portion 902. The drive circuit portion 904 is provided with, for example, a shift register, a decoder, a buffer, a sampling circuit, a latch, and the like.

基板901と封止基板908とは、画素部902及び駆動回路部904が封じ込められるように、シール材910を介して貼り合わされている。封止基板908には、カラーフィルタ942と、遮光層944とが設けられている。なお、本発明は特に限定されず、カラーフィルタ942と、遮光層944は設けなくともよい。   The substrate 901 and the sealing substrate 908 are attached to each other with a sealant 910 so that the pixel portion 902 and the driver circuit portion 904 are sealed. The sealing substrate 908 is provided with a color filter 942 and a light shielding layer 944. Note that the present invention is not particularly limited, and the color filter 942 and the light-blocking layer 944 are not necessarily provided.

本実施の形態と、上記実施の形態6は、トランジスタのゲート電極層が半導体層よりも下方にあるか、上方にあるかが大きく異なる。その他の構成は、上記実施の形態4に準じる。   This embodiment is different from Embodiment 6 in that the gate electrode layer of the transistor is located below or above the semiconductor layer. Other configurations are the same as those in the fourth embodiment.

次に、具体的な作製方法の例について説明する。   Next, a specific example of a manufacturing method will be described.

基板901の上に下地絶縁層として、スパッタリング法、PVD法(Physical Vapor Deposition)、減圧CVD法(LPCVD法)、またはプラズマCVD法等のCVD法(Chemical Vapor Deposition)などにより窒化酸化シリコンを用いて下地絶縁層903aを10nm乃至200nm(好ましくは50nm乃至150nm)形成し、酸化窒化シリコンを用いて下地絶縁層903bを50nm乃至200nm(好ましくは100nm乃至150nm)積層する。又はアクリル酸、メタクリル酸及びこれらの誘導体、又はポリイミド(polyimide)、芳香族ポリアミド、ポリベンゾイミダゾール(polybenzimidazole)などの耐熱性高分子、又はシロキサン樹脂を用いてもよい。また、ポリビニルアルコール、ポリビニルブチラールなどのビニル樹脂、エポキシ樹脂、フェノール樹脂、ノボラック樹脂、アクリル樹脂、メラミン樹脂、ウレタン樹脂等の樹脂材料を用いてもよい。また、ベンゾシクロブテン、パリレン、フッ化アリレンエーテル、ポリイミドなどの有機材料、水溶性ホモポリマーと水溶性共重合体を含む組成物材料等を用いてもよい。また、オキサゾール樹脂を用いることもでき、例えば光硬化型ポリベンゾオキサゾールなどを用いることができる。   Silicon nitride oxide is used as a base insulating layer over the substrate 901 by sputtering, PVD (Physical Vapor Deposition), low pressure CVD (LPCVD), or CVD (Chemical Vapor Deposition) such as plasma CVD. The base insulating layer 903a is formed with a thickness of 10 nm to 200 nm (preferably 50 nm to 150 nm), and the base insulating layer 903b is stacked with a thickness of 50 nm to 200 nm (preferably 100 nm to 150 nm) using silicon oxynitride. Alternatively, heat-resistant polymers such as acrylic acid, methacrylic acid and derivatives thereof, polyimide, aromatic polyamide, polybenzimidazole, or siloxane resin may be used. Moreover, resin materials such as vinyl resins such as polyvinyl alcohol and polyvinyl butyral, epoxy resins, phenol resins, novolac resins, acrylic resins, melamine resins, and urethane resins may be used. Further, an organic material such as benzocyclobutene, parylene, fluorinated arylene ether, polyimide, a composition material containing a water-soluble homopolymer and a water-soluble copolymer, or the like may be used. Moreover, an oxazole resin can also be used, for example, photocurable polybenzoxazole or the like can be used.

また、液滴吐出法や、印刷法(スクリーン印刷やオフセット印刷などパターンが形成される方法)、スピンコート法などの塗布法、ディッピング法、ディスペンサ法などを用いることもできる。本実施の形態では、プラズマCVD法を用いて下地絶縁層903a、下地絶縁層903bを形成する。基板901としてはガラス基板、石英基板やシリコン基板、金属基板、またはステンレス基板の表面に絶縁層を形成したものを用いて良い。また、本実施の形態の処理温度に耐えうる耐熱性を有するプラスチック基板を用いてもよいし、フィルムのような可撓性基板を用いても良い。プラスチック基板としてはPET(ポリエチレンテレフタレート)、PEN(ポリエチレンナフタレート)、PES(ポリエーテルサルフォン)からなる基板、可撓性基板としてはアクリル等の合成樹脂を用いることができる。   Further, a droplet discharge method, a printing method (a method for forming a pattern such as screen printing or offset printing), a coating method such as a spin coating method, a dipping method, a dispenser method, or the like can also be used. In this embodiment, the base insulating layer 903a and the base insulating layer 903b are formed by a plasma CVD method. As the substrate 901, a glass substrate, a quartz substrate, a silicon substrate, a metal substrate, or a stainless substrate on which an insulating layer is formed may be used. Further, a plastic substrate having heat resistance that can withstand the processing temperature of this embodiment may be used, or a flexible substrate such as a film may be used. As the plastic substrate, a substrate made of PET (polyethylene terephthalate), PEN (polyethylene naphthalate), or PES (polyethersulfone) can be used, and as the flexible substrate, a synthetic resin such as acrylic can be used.

下地絶縁層としては、酸化シリコン、窒化シリコン、酸化窒化シリコン、窒化酸化シリコンなどを用いることができる。下地絶縁層は、単層構造でも2層、3層といった積層構造でもよい。   As the base insulating layer, silicon oxide, silicon nitride, silicon oxynitride, silicon nitride oxide, or the like can be used. The base insulating layer may have a single layer structure or a stacked structure of two layers or three layers.

次いで、下地絶縁層上に半導体層を形成する。半導体層は25nm乃至200nm(好ましくは30nm乃至150nm)の膜厚で各種手段(スパッタリング法、LPCVD法、またはプラズマCVD法等)により形成すればよい。本実施の形態では、非晶質半導体層を、レーザ結晶化し、結晶性半導体層とするものを用いるのが好ましい。   Next, a semiconductor layer is formed over the base insulating layer. The semiconductor layer may be formed by various means (a sputtering method, an LPCVD method, a plasma CVD method, or the like) with a thickness of 25 nm to 200 nm (preferably 30 nm to 150 nm). In this embodiment mode, it is preferable to use a crystalline semiconductor layer obtained by crystallizing an amorphous semiconductor layer by laser crystallization.

このようにして得られた半導体層に対して、トランジスタのしきい値電圧を制御するために微量な不純物元素(ボロンまたはリン)のドーピングを行ってもよい。この不純物元素のドーピングは、半導体層を結晶化する工程の前の非晶質半導体層に行ってもよい。非晶質半導体層の状態で不純物元素をドーピングすると、その後の結晶化のための加熱処理によって、不純物の活性化も同時に行うことができる。また、ドーピングの際に生じる欠陥等も改善することができる。   In order to control the threshold voltage of the transistor, the semiconductor layer obtained in this manner may be doped with a trace amount of an impurity element (boron or phosphorus). This doping of the impurity element may be performed on the amorphous semiconductor layer before the step of crystallizing the semiconductor layer. When the impurity element is doped in the state of the amorphous semiconductor layer, the impurity can be simultaneously activated by heat treatment for subsequent crystallization. In addition, defects and the like generated during doping can be improved.

半導体層は選択的にエッチングし、所望の形状に加工すればよい。また、半導体層は、各種印刷法(スクリーン(孔版)印刷、オフセット(平版)印刷、凸版印刷やグラビア(凹版)印刷など所望なパターンで形成される方法)、ナノインプリント法、液滴吐出法、ディスペンサ法、選択的な塗布法などを用いて形成してもよい。   The semiconductor layer may be selectively etched and processed into a desired shape. In addition, the semiconductor layer may be formed by various printing methods (screen (stencil) printing, offset (lithographic) printing, a method of forming a desired pattern such as relief printing or gravure printing (intaglio printing)), nanoimprinting method, droplet discharge method, dispenser. It may be formed using a method, a selective coating method, or the like.

なお、半導体層と同一の工程で、容量素子を構成する下部電極層も形成される。下部電極層は、トランジスタを構成する半導体層と同一層で形成される。   Note that the lower electrode layer constituting the capacitor is also formed in the same process as the semiconductor layer. The lower electrode layer is formed of the same layer as the semiconductor layer constituting the transistor.

半導体層を覆うゲート絶縁層を形成する。ゲート絶縁層はプラズマCVD法またはスパッタリング法などを用い、厚さを10nm乃至150nmとしてシリコンを含む絶縁層で形成する。ゲート絶縁層としては、窒化シリコン、酸化シリコン、酸化窒化シリコン、窒化酸化シリコン等の無機絶縁材料で形成すればよく、積層構造でも単層構造でもよい。また、絶縁層は窒化シリコン層、酸化シリコン層、窒化シリコン層の3層の積層構造、酸化窒化シリコン層の単層、2層からなる積層構造でも良い。   A gate insulating layer is formed to cover the semiconductor layer. The gate insulating layer is formed of an insulating layer containing silicon with a thickness of 10 nm to 150 nm by a plasma CVD method, a sputtering method, or the like. The gate insulating layer may be formed of an inorganic insulating material such as silicon nitride, silicon oxide, silicon oxynitride, or silicon nitride oxide, and may have a stacked structure or a single layer structure. The insulating layer may have a three-layer structure including a silicon nitride layer, a silicon oxide layer, and a silicon nitride layer, or a single layer or two layers of a silicon oxynitride layer.

次いで、ゲート絶縁層上にゲート電極層を形成する。ゲート電極層は、スパッタリング法、蒸着法、CVD法等の手法により導電層を形成し、当該導電層を選択的にエッチングして形成することができる。ゲート電極層はタンタル(Ta)、タングステン(W)、チタン(Ti)、モリブデン(Mo)、アルミニウム(Al)、銅(Cu)、クロム(Cr)、ネオジウム(Nd)等の金属元素、又は当該金属元素を主成分とする合金材料もしくは化合物材料で形成すればよい。また、ゲート電極層としてリン等の不純物元素をドーピングした多結晶シリコンに代表される半導体層や、AgPdCu合金を用いてもよい。また、ゲート電極層は単層構造でも積層構造でもよい。このとき、後に完成する容量素子の上部電極層も形成される。上部電極層は、ゲート電極層と同一材料でなる。   Next, a gate electrode layer is formed over the gate insulating layer. The gate electrode layer can be formed by forming a conductive layer by a technique such as sputtering, vapor deposition, or CVD, and selectively etching the conductive layer. The gate electrode layer may be a metal element such as tantalum (Ta), tungsten (W), titanium (Ti), molybdenum (Mo), aluminum (Al), copper (Cu), chromium (Cr), neodymium (Nd), or the like What is necessary is just to form with the alloy material or compound material which has a metal element as a main component. Alternatively, a semiconductor layer typified by polycrystalline silicon doped with an impurity element such as phosphorus, or an AgPdCu alloy may be used as the gate electrode layer. The gate electrode layer may have a single layer structure or a stacked structure. At this time, an upper electrode layer of a capacitor element to be completed later is also formed. The upper electrode layer is made of the same material as the gate electrode layer.

また、本実施の形態では、ゲート電極層の側面をテーパ形状を有するように形成する。当該ゲート電極層のテーパ形状は、エッチング加工の際に、ウェットエッチング法を用いて形成することができる。また、ドライエッチング法を行った後、続けてウェットエッチング法を行うことで形成することもできる。なお、垂直形状の側面を有するゲート電極層を形成してもよい。また、ゲート電極層を2層の積層構造とし、各層でテーパ角度が異なるようにしてもよい。ゲート電極層の側面をテーパ形状にすることで、上層に積層する層の被覆性を向上することができる。   In this embodiment, the side surface of the gate electrode layer is formed to have a tapered shape. The tapered shape of the gate electrode layer can be formed using a wet etching method in the etching process. Alternatively, after the dry etching method, the wet etching method can be performed. Note that a gate electrode layer having a vertical side surface may be formed. Alternatively, the gate electrode layer may have a two-layer structure, and each layer may have a different taper angle. By making the side surface of the gate electrode layer into a tapered shape, coverage with a layer stacked on the upper layer can be improved.

また、ゲート電極層は、各種印刷法(スクリーン(孔版)印刷、オフセット(平版)印刷、凸版印刷やグラビア(凹版)印刷など所望なパターンで形成される方法)、ナノインプリント法、液滴吐出法、ディスペンサ法、選択的な塗布法などを用いて形成してもよい。   In addition, the gate electrode layer may be formed by various printing methods (screen (stencil) printing, offset (lithographic) printing, a method of forming a desired pattern such as relief printing or gravure (intaglio printing)), nanoimprinting method, droplet discharge method, You may form using the dispenser method, the selective application method, etc.

なお、ゲート電極層を形成する際のエッチングによって、ゲート絶縁層は多少エッチングされ、膜厚が減る(いわゆる膜減り)ことがある。   Note that the gate insulating layer may be slightly etched by the etching for forming the gate electrode layer, and the film thickness may be reduced (so-called film reduction).

半導体層に不純物元素を添加し、一対の不純物領域を形成する。半導体層に形成された不純物元素は、ソース領域又はドレイン領域として機能する。添加する不純物元素は、n型を付与する不純物元素、又はp型を付与する不純物元素を適宜選択して添加すればよい。n型を付与する不純物元素としては、リン(P)や砒素(As)等を用いることができる。p型を付与する不純物元素としては、ボロン(B)やアルミニウム(Al)やガリウム(Ga)等を用いることができる。このとき、一対の不純物領域の間には、チャネル形成領域が形成される。   An impurity element is added to the semiconductor layer to form a pair of impurity regions. The impurity element formed in the semiconductor layer functions as a source region or a drain region. As the impurity element to be added, an impurity element imparting n-type conductivity or an impurity element imparting p-type conductivity may be appropriately selected and added. As the impurity element imparting n-type conductivity, phosphorus (P), arsenic (As), or the like can be used. As the impurity element imparting p-type conductivity, boron (B), aluminum (Al), gallium (Ga), or the like can be used. At this time, a channel formation region is formed between the pair of impurity regions.

なお、半導体層において、ソース領域又はドレイン領域として機能する不純物領域とチャネル形成領域との間に、LDD(Light Doped Drain)領域といわれる不純物領域を形成してもよい。LDD領域は、ソース領域又はドレイン領域よりも、低濃度な不純物領域である。また、LDD領域は、ゲート電極層と重なる構造としてもよいし、重ならない構造としてもよい。   Note that in the semiconductor layer, an impurity region called an LDD (Light Doped Drain) region may be formed between an impurity region functioning as a source region or a drain region and a channel formation region. The LDD region is an impurity region having a lower concentration than the source region or the drain region. Further, the LDD region may have a structure overlapping with the gate electrode layer or a structure not overlapping.

また、不純物元素を活性化するために加熱処理、強光の照射、又はレーザビームの照射を行ってもよい。活性化と同時にゲート絶縁層のプラズマダメージやゲート絶縁層と半導体層との界面へのプラズマダメージを回復することができる。   In order to activate the impurity element, heat treatment, intense light irradiation, or laser beam irradiation may be performed. Simultaneously with activation, plasma damage to the gate insulating layer and plasma damage to the interface between the gate insulating layer and the semiconductor layer can be recovered.

次いで、ゲート電極層、ゲート絶縁層を覆う第1の層間絶縁層を形成する。本実施の形態では、絶縁層913と絶縁層914との積層構造とする。絶縁層913及び絶縁層914は、スパッタリング法、またはプラズマCVDを用いた窒化シリコン層、窒化酸化シリコン層、酸化窒化シリコン層、酸化シリコン層などを用いることができ、他のシリコンを含む絶縁層を単層構造または3層以上の積層構造として用いても良い。   Next, a first interlayer insulating layer is formed to cover the gate electrode layer and the gate insulating layer. In this embodiment, a stacked structure of the insulating layer 913 and the insulating layer 914 is employed. As the insulating layer 913 and the insulating layer 914, a silicon nitride layer, a silicon nitride oxide layer, a silicon oxynitride layer, a silicon oxide layer, or the like using a sputtering method or plasma CVD can be used, and other insulating layers containing silicon can be used. A single layer structure or a stacked structure of three or more layers may be used.

さらに、窒素雰囲気中で、300℃乃至550℃で1時間乃至12時間の熱処理を行い、半導体層を水素化する工程を行う。好ましくは、400℃乃至500℃で行う。この工程は層間絶縁層である絶縁層913に含まれる水素により半導体層のダングリングボンドを終端する工程である。本実施の形態では、410℃で加熱処理を行う。   Further, heat treatment is performed at 300 ° C. to 550 ° C. for 1 hour to 12 hours in a nitrogen atmosphere to perform a step of hydrogenating the semiconductor layer. Preferably, it is performed at 400 ° C. to 500 ° C. This step is a step of terminating dangling bonds in the semiconductor layer with hydrogen contained in the insulating layer 913 which is an interlayer insulating layer. In this embodiment, heat treatment is performed at 410 ° C.

絶縁層913、絶縁層914としては、他に窒化アルミニウム(AlN)、酸化窒化アルミニウム(AlON)、窒素含有量が酸素含有量よりも多い窒化酸化アルミニウム(AlNO)または酸化アルミニウム、ダイアモンドライクカーボン(DLC)、窒素含有炭素(CN)、ポリシラザン、その他の無機絶縁材料を含む物質から選ばれた材料で形成することができる。また、シロキサンを含む材料を用いてもよい。また、有機絶縁材料を用いてもよく、ポリイミド、アクリル、ポリアミド、ポリイミドアミド、レジスト又はベンゾシクロブテンを用いることができる。また、オキサゾール樹脂を用いることもでき、例えば光硬化型ポリベンゾオキサゾールなどを用いることができる。   As the insulating layer 913 and the insulating layer 914, aluminum nitride (AlN), aluminum oxynitride (AlON), aluminum nitride oxide (AlNO) or aluminum oxide having a nitrogen content higher than the oxygen content, diamond like carbon (DLC) ), Nitrogen-containing carbon (CN), polysilazane, and other inorganic insulating materials. Further, a material containing siloxane may be used. An organic insulating material may be used, and polyimide, acrylic, polyamide, polyimide amide, resist, or benzocyclobutene can be used. Moreover, an oxazole resin can also be used, for example, photocurable polybenzoxazole or the like can be used.

次いで、絶縁層913、絶縁層914、ゲート絶縁層に、半導体層に形成されたソース領域又はドレイン領域に達する開口を形成する。   Next, an opening reaching the source or drain region formed in the semiconductor layer is formed in the insulating layer 913, the insulating layer 914, and the gate insulating layer.

開口は、上記実施の形態1乃至5で示したように、レーザビームの照射によるアブレーションを利用して形成することができる。半導体層に吸収される第1のレーザビーム及び第2のレーザビームの2種類のレーザビームを重畳するように照射し、重畳して照射した領域の一部をアブレーションさせてゲート絶縁層、絶縁層913及び絶縁層914を除去し、半導体層に達する開口を形成する。2種類のレーザビームの一方(第1のレーザビーム)は、半導体層のアブレーション閾値未満のエネルギーを有し、他方のレーザビームよりも高いエネルギー密度を有するレーザビームとする。他方のレーザビーム(第2のレーザビーム)は、パルス発振のレーザビームとし、一方のレーザビームよりもパルス幅が短いレーザビームとする。2種類のレーザビームは、重畳して照射した領域でアブレーションさせることができる程度のエネルギーを有するものとする。また、2種類のレーザビームは、単独で照射した領域はアブレーションされず、不可逆的な変化も与えない程度のエネルギーを有するものとする。適用可能なレーザの種類等の詳細については、上記実施の形態1乃至5の第1のレーザビーム及び第2のレーザビームの説明に準じる。   The opening can be formed using ablation by laser beam irradiation as described in Embodiment Modes 1 to 5. Irradiation is performed so as to superimpose two types of laser beams, a first laser beam and a second laser beam, which are absorbed by the semiconductor layer, and a part of the superimposed region is ablated to form a gate insulating layer and an insulating layer 913 and the insulating layer 914 are removed, and an opening reaching the semiconductor layer is formed. One of the two types of laser beams (first laser beam) is a laser beam having energy lower than the ablation threshold of the semiconductor layer and having a higher energy density than the other laser beam. The other laser beam (second laser beam) is a pulsed laser beam and a laser beam having a shorter pulse width than the one laser beam. The two types of laser beams are assumed to have energy that can be ablated in a region irradiated with overlapping laser beams. The two types of laser beams are assumed to have energy that is not ablated in the region irradiated alone and does not give irreversible changes. The details of the applicable laser type and the like conform to the description of the first laser beam and the second laser beam in the first to fifth embodiments.

半導体層のソース領域又はドレイン領域に達する開口は、フォトレジストを用いてマスク層を形成し、当該マスク層を用いてエッチング加工して形成してもよい。   The opening reaching the source region or the drain region of the semiconductor layer may be formed by forming a mask layer using a photoresist and performing etching using the mask layer.

半導体層のソース領域又はドレイン領域に達する開口にソース電極層又はドレイン電極層を形成する。以上で、半導体層のソース領域又はドレイン領域とソース電極層又はドレイン電極層とが電気的に接続される。   A source electrode layer or a drain electrode layer is formed in an opening reaching the source region or the drain region of the semiconductor layer. Through the above steps, the source region or the drain region of the semiconductor layer and the source electrode layer or the drain electrode layer are electrically connected.

ソース電極層又はドレイン電極層は、PVD法、CVD法、蒸着法等により導電層を形成し、当該導電層を選択的にエッチングして形成することができる。ソース電極層又はドレイン電極層の材料は、Ag、Au、Cu、Ni、Pt、Pd、Ir、Rh、W、Al、Ta、Mo、Cd、Zn、Fe、Ti、Si、Ge、Zr、Ba等の元素、又は当該元素を主成分とする合金材料若しくは当該元素を主成分とする金属窒化物を用いて形成する。ソース電極層又はドレイン電極層は、単層構造でも積層構造でもよい。   The source electrode layer or the drain electrode layer can be formed by forming a conductive layer by a PVD method, a CVD method, an evaporation method, or the like, and selectively etching the conductive layer. The material of the source electrode layer or the drain electrode layer is Ag, Au, Cu, Ni, Pt, Pd, Ir, Rh, W, Al, Ta, Mo, Cd, Zn, Fe, Ti, Si, Ge, Zr, Ba Or an alloy material containing the element as a main component or a metal nitride containing the element as a main component. The source electrode layer or the drain electrode layer may have a single-layer structure or a stacked structure.

また、ソース電極層又はドレイン電極層は、各種印刷法(スクリーン(孔版)印刷、オフセット(平版)印刷、凸版印刷やグラビア(凹版)印刷など所望なパターンで形成される方法)、ナノインプリント法、液滴吐出法、ディスペンサ法、選択的な塗布法などを用いて形成してもよい。更にはリフロー法、ダマシン法を用いても良い。このような方法を用いると、所望の場所に選択的に導電層を形成することができる。なお、ソース電極層又はドレイン電極層の形成時に、端子部906の端子電極層950も形成される。   In addition, the source electrode layer or the drain electrode layer may be formed by various printing methods (a method of forming a desired pattern such as screen (stencil printing), offset (lithographic printing), relief printing or gravure printing (intaglio printing)), nanoimprinting method, liquid A droplet discharge method, a dispenser method, a selective coating method, or the like may be used. Furthermore, a reflow method or a damascene method may be used. When such a method is used, a conductive layer can be selectively formed at a desired place. Note that the terminal electrode layer 950 of the terminal portion 906 is also formed when the source electrode layer or the drain electrode layer is formed.

以上の工程で、画素部902にトランジスタ922、トランジスタ924、駆動回路部904に複数のトランジスタ926を有するアクティブマトリクス基板を作製することができる。   Through the above steps, an active matrix substrate including the transistor 922 and the transistor 924 in the pixel portion 902 and the plurality of transistors 926 in the driver circuit portion 904 can be manufactured.

なお、本発明は特に限定されず、トランジスタはチャネル形成領域が一つ形成されるシングルゲート構造でもよいし、二つ形成されるマルチゲート構造もしくは三つ形成されるトリプルゲート構造であっても良い。   Note that the present invention is not particularly limited, and the transistor may have a single gate structure in which one channel formation region is formed, a multi-gate structure in which two channels are formed, or a triple gate structure in which three transistors are formed. .

次に第2の層間絶縁層として絶縁層916を形成する。絶縁層916としては酸化シリコン、窒化シリコン、酸化窒化シリコン、窒化酸化シリコン、窒化アルミニウム(AlN)、窒素を含む酸化アルミニウム(酸化窒化アルミニウムともいう)(AlON)、酸素を含む窒化酸化アルミニウム(窒化酸化アルミニウムともいう)(AlNO)、酸化アルミニウム、ダイヤモンドライクカーボン(DLC)、窒素含有炭素膜(CN)、PSG(リンガラス)、BPSG(リンボロンガラス)、アルミナ、その他の無機絶縁材料を含む物質から選ばれた材料で形成することができる。また、シロキサン樹脂を用いてもよい。また、有機絶縁材料を用いてもよく、有機材料としては、感光性、非感光性どちらでも良く、ポリイミド、アクリル、ポリアミド、ポリイミドアミド、レジスト又はベンゾシクロブテン、ポリシラザン、低誘電率(Low−k)材料を用いることができる。また、オキサゾール樹脂を用いることもでき、例えば光硬化型ポリベンゾオキサゾールなどを用いることができる。平坦化のために設ける層間絶縁層としては、耐熱性および絶縁性が高く、且つ、平坦化率の高いものが要求されるので、絶縁層916の形成方法としては、スピンコート法で代表される塗布法を用いると好ましい。   Next, an insulating layer 916 is formed as a second interlayer insulating layer. As the insulating layer 916, silicon oxide, silicon nitride, silicon oxynitride, silicon nitride oxide, aluminum nitride (AlN), aluminum oxide containing nitrogen (also referred to as aluminum oxynitride) (AlON), aluminum nitride oxide containing oxygen (nitrided oxide) (Also referred to as aluminum) (AlNO), aluminum oxide, diamond-like carbon (DLC), nitrogen-containing carbon film (CN), PSG (phosphorus glass), BPSG (phosphorus boron glass), alumina, and other inorganic insulating materials It can be formed of a selected material. A siloxane resin may also be used. An organic insulating material may be used. The organic material may be photosensitive or non-photosensitive, and may be polyimide, acrylic, polyamide, polyimide amide, resist or benzocyclobutene, polysilazane, low dielectric constant (Low-k). ) Materials can be used. Moreover, an oxazole resin can also be used, for example, photocurable polybenzoxazole or the like can be used. As an interlayer insulating layer provided for planarization, a layer having high heat resistance and high insulating property and a high planarization rate is required. Therefore, a method for forming the insulating layer 916 is represented by a spin coat method. It is preferable to use a coating method.

絶縁層916は、その他ディップ法、スプレー塗布、ドクターナイフ、ロールコーター、カーテンコーター、ナイフコーター、CVD法、蒸着法等を採用することができる。液滴吐出法により絶縁層916を形成してもよい。液滴吐出法を用いた場合には材料液を節約することができる。また、液滴吐出法のようにパターンが転写、または描写できる方法、例えば印刷法(スクリーン印刷やオフセット印刷などパターンが形成される方法)、ディスペンサ法なども用いることができる。   The insulating layer 916 can employ other dipping methods, spray coating, doctor knife, roll coater, curtain coater, knife coater, CVD method, vapor deposition method, and the like. The insulating layer 916 may be formed by a droplet discharge method. When the droplet discharge method is used, the material liquid can be saved. Further, a method capable of transferring or drawing a pattern, such as a droplet discharge method, for example, a printing method (a method for forming a pattern such as screen printing or offset printing), a dispenser method, or the like can be used.

画素部902の絶縁層916に、トランジスタ924のソース電極層又はドレイン電極層に達する開口を形成する。開口は、上述したソース電極層又はドレイン電極層及び半導体層のソース領域又はドレイン領域を電気的に接続するための開口と同様に形成すればよい。   An opening reaching the source or drain electrode layer of the transistor 924 is formed in the insulating layer 916 of the pixel portion 902. The opening may be formed in the same manner as the opening for electrically connecting the source or drain electrode layer and the source or drain region of the semiconductor layer described above.

例えば、上記実施の形態1乃至5で示したように、レーザビームの照射によるアブレーションを利用して形成することができる。詳しくは、トランジスタ924のソース電極層又はドレイン電極層に吸収される第1のレーザビーム及び第2のレーザビームの2種類のレーザビームを重畳するように照射し、重畳して照射した領域の一部をアブレーションさせて絶縁層916を除去し、開口を形成する。2種類のレーザビームの一方(第1のレーザビーム)は、ソース電極層又はドレイン電極層のアブレーション閾値未満のエネルギーを有し、他方のレーザビームよりも高いエネルギー密度を有するレーザビームとする。他方のレーザビーム(第2のレーザビーム)は、パルス発振のレーザビームとし、一方のレーザビームよりもパルス幅が短いレーザビームとする。2種類のレーザビームは、重畳して照射した領域でアブレーションさせることができる程度のエネルギーを有するものとする。また、2種類のレーザビームは、単独で照射した領域はアブレーションされず、不可逆的な変化も与えない程度のエネルギーを有するものとする。適用可能なレーザの種類等の詳細については、上記実施の形態1乃至5の第1のレーザビーム及び第2のレーザビームの説明に準じる。なお、レーザビームの照射によるアブレーションを利用して開口を形成する場合には、ソース電極層又はドレイン電極層に比較的蒸発し易い低融点金属(本実施の形態ではクロム)を用いることが好ましい。もちろん、レーザアブレーションを利用せず、フォトレジストを用いてマスク層を形成し、当該マスク層を用いてエッチング加工して開口を形成してもよい。   For example, as shown in Embodiment Modes 1 to 5, it can be formed using ablation by laser beam irradiation. Specifically, two types of laser beams, a first laser beam and a second laser beam that are absorbed by the source electrode layer or the drain electrode layer of the transistor 924, are irradiated so as to overlap with each other. The portion is ablated to remove the insulating layer 916 and form an opening. One of the two types of laser beams (first laser beam) is a laser beam having energy lower than the ablation threshold of the source electrode layer or the drain electrode layer and having an energy density higher than that of the other laser beam. The other laser beam (second laser beam) is a pulsed laser beam and a laser beam having a shorter pulse width than the one laser beam. The two types of laser beams are assumed to have energy that can be ablated in a region irradiated with overlapping laser beams. The two types of laser beams are assumed to have energy that is not ablated in the region irradiated alone and does not give irreversible changes. The details of the applicable laser type and the like conform to the description of the first laser beam and the second laser beam in the first to fifth embodiments. Note that in the case where the opening is formed using ablation by laser beam irradiation, it is preferable to use a low-melting point metal (chromium in this embodiment) that is relatively easily evaporated in the source electrode layer or the drain electrode layer. Of course, without using laser ablation, a mask layer may be formed using a photoresist, and an opening may be formed by etching using the mask layer.

画素部902の絶縁層916上に発光素子930を形成する。発光素子930は、トランジスタ924と電気的に接続するようにする。   A light-emitting element 930 is formed over the insulating layer 916 in the pixel portion 902. The light-emitting element 930 is electrically connected to the transistor 924.

まず、絶縁層916に設けられ、トランジスタ924のソース電極層又はドレイン電極層が露出した開口に、第1の電極層932を形成する。   First, the first electrode layer 932 is formed in the opening provided in the insulating layer 916 and exposing the source electrode layer or the drain electrode layer of the transistor 924.

次に、第1の電極層932の端部を覆い、当該第1の電極層932上に開口を有するように隔壁層918を形成する。隔壁層918としては酸化シリコン、窒化シリコン、酸化窒化シリコン、窒化酸化シリコンなどを用いることができ、単層構造でも2層、3層といった積層構造でもよい。また、隔壁層918の他の材料として、窒化アルミニウム、酸素含有量が窒素含有量よりも多い酸化窒化アルミニウム、窒素含有量が酸素含有量よりも多い窒化酸化アルミニウムまたは酸化アルミニウム、ダイアモンドライクカーボン(DLC)、窒素含有炭素、ポリシラザン、その他の無機絶縁材料を含む物質から選ばれた材料を用いることができる。シロキサンを含む材料を用いてもよい。また、有機絶縁材料を用いてもよく、有機材料としては、感光性、非感光性どちらでも良く、ポリイミド、アクリル、ポリアミド、ポリイミドアミド、レジスト又はベンゾシクロブテン、ポリシラザンを用いることができる。また、オキサゾール樹脂を用いることもでき、例えば光硬化型ポリベンゾオキサゾールなどを用いることができる。   Next, a partition layer 918 is formed so as to cover an end portion of the first electrode layer 932 and to have an opening over the first electrode layer 932. As the partition layer 918, silicon oxide, silicon nitride, silicon oxynitride, silicon nitride oxide, or the like can be used, and a single-layer structure or a stacked structure including two layers and three layers may be used. As another material for the partition layer 918, aluminum nitride, aluminum oxynitride with an oxygen content higher than the nitrogen content, aluminum nitride oxide or aluminum oxide with a nitrogen content higher than the oxygen content, diamond like carbon (DLC) ), Nitrogen-containing carbon, polysilazane, and other materials including inorganic insulating materials can be used. A material containing siloxane may be used. An organic insulating material may be used, and the organic material may be either photosensitive or non-photosensitive, and polyimide, acrylic, polyamide, polyimide amide, resist, benzocyclobutene, or polysilazane can be used. Moreover, an oxazole resin can also be used, for example, photocurable polybenzoxazole or the like can be used.

隔壁層918は、選択的にパターンを形成できる液滴吐出法や、パターンが転写または描写できる印刷法(スクリーン印刷やオフセット印刷などパターンが形成される方法)、ディスペンサ法、その他スピンコート法などの塗布法、ディッピング法などを用いて形成することができる。また、感光性の材料を用いて隔壁層を隔壁層を全面に形成し、感光性の材料からなる隔壁層を露光及び現像することで、所望の形状に加工することができる。また、スパッタリング法、PVD法(Physical Vapor Deposition)、減圧CVD法(LPCVD法)、またはプラズマCVD法等のCVD法(Chemical Vapor Deposition)などを用いて全面に形成し、リソグラフィー技術を用いてレジスト等のマスクを形成し、所望の形状にエッチング加工してもよい。   The partition layer 918 is formed by a droplet discharge method that can selectively form a pattern, a printing method that can transfer or draw a pattern (a method that forms a pattern such as screen printing or offset printing), a dispenser method, and other spin coating methods. It can be formed using a coating method, a dipping method, or the like. Further, the partition wall layer is formed on the entire surface using a photosensitive material, and the partition wall layer made of the photosensitive material is exposed and developed, so that it can be processed into a desired shape. Further, a sputtering method, a PVD method (Physical Vapor Deposition), a low pressure CVD method (LPCVD method), a CVD method such as a plasma CVD method (Chemical Vapor Deposition), or the like is formed on the entire surface, and a resist or the like is used using a lithography technique These masks may be formed and etched into a desired shape.

所望の形状に加工するエッチング加工は、ドライエッチング法又はウェットエッチング法のどちらを採用しても良い。大面積基板を処理するにはプラズマエッチング(ドライエッチング法の一種)が適している。エッチングガスとしては、CF、CHF、NFなどのフッ素系のガス、又はCl、BClなどの塩素系のガスを用い、HeやArなどの不活性ガスを適宜加えても良い。また、大気圧放電のエッチング加工を適用すれば、局所的な放電加工も可能であり、基板の全面にレジスト等のマスクを形成する必要はない。 As an etching process for processing into a desired shape, either a dry etching method or a wet etching method may be employed. Plasma etching (a kind of dry etching method) is suitable for processing a large area substrate. As an etching gas, a fluorine-based gas such as CF 4 , CHF 3 , or NF 3 or a chlorine-based gas such as Cl 2 or BCl 3 may be used, and an inert gas such as He or Ar may be added as appropriate. Further, if an atmospheric pressure discharge etching process is applied, a local electric discharge process is possible, and it is not necessary to form a mask such as a resist on the entire surface of the substrate.

隔壁層918は、曲率半径が連続的に変化する形状が好ましい。隔壁層をこのような形状にすることで、上方に積層形成される層の被覆性が向上する。   The partition layer 918 preferably has a shape in which the radius of curvature continuously changes. By forming the partition layer in such a shape, the coverage of the layer formed on the upper side is improved.

次に、第1の電極層932及び隔壁層918上に層934、第2の電極層936を積層形成する。そして、第1の電極層932と第2の電極層936との間に層934が挟持された構造の発光素子930を得る。層934は、少なくとも所望の発光波長を得ることができる発光材料を含む層で構成される。   Next, a layer 934 and a second electrode layer 936 are stacked over the first electrode layer 932 and the partition wall layer 918. Then, a light-emitting element 930 having a structure in which the layer 934 is sandwiched between the first electrode layer 932 and the second electrode layer 936 is obtained. The layer 934 includes a layer containing a light emitting material that can obtain at least a desired light emission wavelength.

第1の電極層932及び第2の電極層936のいずれか一方は陽極として機能し、他方は陰極として機能する。第1の電極層932及び第2の電極層936は、インジウム錫酸化物(ITO)、酸化シリコンを含むインジウム錫酸化物、2wt%乃至20wt%の酸化亜鉛を含む酸化インジウムの他、金(Au)、白金(Pt)、ニッケル(Ni)、タングステン(W)、クロム(Cr)、モリブデン(Mo)、鉄(Fe)、コバルト(Co)、銅(Cu)、パラジウム(Pd)等を用いて形成することができる。また、アルミニウムの他、マグネシウムと銀との合金、アルミニウムとリチウムとの合金等も用いることができる。   One of the first electrode layer 932 and the second electrode layer 936 functions as an anode, and the other functions as a cathode. The first electrode layer 932 and the second electrode layer 936 include indium tin oxide (ITO), indium tin oxide containing silicon oxide, indium oxide containing 2 wt% to 20 wt% zinc oxide, and gold (Au ), Platinum (Pt), nickel (Ni), tungsten (W), chromium (Cr), molybdenum (Mo), iron (Fe), cobalt (Co), copper (Cu), palladium (Pd), etc. Can be formed. In addition to aluminum, an alloy of magnesium and silver, an alloy of aluminum and lithium, or the like can also be used.

なお、層934で発光した光を外部に取り出すために、第1の電極層932と第2の電極層936のいずれか一方または両方は、インジウム錫酸化物等を用いるか、或いは銀、アルミニウム等を数nm乃至数十nmの厚さとなるように形成して、可視光が透過できるように、形成することが好ましい。   Note that in order to extract light emitted from the layer 934 to the outside, one or both of the first electrode layer 932 and the second electrode layer 936 uses indium tin oxide or the like, or silver, aluminum, or the like Is preferably formed to have a thickness of several nm to several tens of nm so that visible light can be transmitted.

第1の電極層932は、前述の材料を全面に形成した後、選択的にエッチングして形成することができる。また、第1の電極層932は、各種印刷法(スクリーン(孔版)印刷、オフセット(平版)印刷、凸版印刷やグラビア(凹版)印刷など所望なパターンで形成される方法)、ナノインプリント法、液滴吐出法、ディスペンサ法、選択的な塗布法などを用いて形成してもよい。更にはリフロー法、ダマシン法を用いても良い。このような方法を用いると、所望の場所に選択的に導電層を形成することができる。   The first electrode layer 932 can be formed by selective etching after the above-described material is formed over the entire surface. In addition, the first electrode layer 932 can be formed by various printing methods (screen (stencil) printing, offset (lithographic printing) printing, a method of forming a desired pattern such as letterpress printing or gravure printing (intaglio printing)), nanoimprinting, and droplets. You may form using the discharge method, the dispenser method, the selective application method, etc. Furthermore, a reflow method or a damascene method may be used. When such a method is used, a conductive layer can be selectively formed at a desired place.

また、第1の電極層932は、その表面が平坦化されるように、CMP法、ポリビニルアルコール系の多孔質体で拭浄し、研磨しても良い。またCMP法を用いた研磨後に、第1の電極層932の表面に紫外線照射、酸素プラズマ処理などを行ってもよい。   Alternatively, the first electrode layer 932 may be wiped with a CMP method or a polyvinyl alcohol-based porous body and polished so that the surface thereof is planarized. Further, after polishing using the CMP method, the surface of the first electrode layer 932 may be subjected to ultraviolet irradiation, oxygen plasma treatment, or the like.

第1の電極層932を形成後、加熱処理を行ってもよい。この加熱処理により、第1の電極層932中に含まれる水分は放出される。よって、第1の電極層932は脱ガスなどを生じないため、第1の電極層932上に水分によって劣化しやすい発光材料を形成しても、発光材料は劣化せず、信頼性の高い表示装置を作製することができる。   Heat treatment may be performed after the first electrode layer 932 is formed. By this heat treatment, moisture contained in the first electrode layer 932 is released. Therefore, the first electrode layer 932 does not cause degassing. Therefore, even when a light-emitting material that easily deteriorates due to moisture is formed over the first electrode layer 932, the light-emitting material is not deteriorated and the display has high reliability. A device can be made.

第2の電極層936は、蒸着法、スパッタリング法等を用いて形成することができる。また、第2の電極層936上にパッシベーション層(保護層)として絶縁層を設けてもよい。このように第2の電極層936を覆うようにしてパッシベーション層を設けることは有効である。パッシベーション層としては、窒化シリコン、酸化シリコン、酸化窒化シリコン、窒化酸化シリコン、窒化アルミニウム、酸化窒化アルミニウム、窒素含有量が酸素含有量よりも多い窒化酸化アルミニウムまたは酸化アルミニウム、ダイアモンドライクカーボン(DLC)、窒素含有炭素膜を含む絶縁層からなり、該絶縁層の単層構造もしくは組み合わせた積層構造を用いることができる。又はシロキサン樹脂を用いてもよい。   The second electrode layer 936 can be formed by an evaporation method, a sputtering method, or the like. Further, an insulating layer may be provided as a passivation layer (protective layer) over the second electrode layer 936. In this way, it is effective to provide a passivation layer so as to cover the second electrode layer 936. As the passivation layer, silicon nitride, silicon oxide, silicon oxynitride, silicon nitride oxide, aluminum nitride, aluminum oxynitride, aluminum nitride oxide or aluminum oxide whose nitrogen content is higher than oxygen content, diamond like carbon (DLC), The insulating layer includes a nitrogen-containing carbon film, and a single layer structure of the insulating layer or a laminated structure in combination can be used. Alternatively, a siloxane resin may be used.

この際、カバレッジの良い膜をパッシベーション層として用いることが好ましく、炭素膜、特にDLC膜を用いることは有効である。DLC膜は室温から100℃以下の温度範囲で成膜可能であるため、層934の耐熱性が低い場合でも、容易に積層形成することができる。DLC膜は、プラズマCVD法(代表的には、RFプラズマCVD法、マイクロ波CVD法、電子サイクロトロン共鳴(ECR)CVD法、熱フィラメントCVD法など)、燃焼炎法、スパッタリング法、イオンビーム蒸着法、レーザ蒸着法などで形成することができる。成膜に用いる反応ガスは、水素ガスと、炭化水素系のガス(例えばCH、C、Cなど)とを用い、グロー放電によりイオン化し、負の自己バイアスがかかったカソードにイオンを加速衝突させて成膜する。また、CN膜は反応ガスとしてCガスとNガスとを用いて形成すればよい。DLC膜は酸素に対するブロッキング効果が高く、層934の酸化を抑制することが可能である。そのため、この後に続く封止工程を行う間に層934が酸化するといった問題を防止できる。 At this time, it is preferable to use a film with good coverage as the passivation layer, and it is effective to use a carbon film, particularly a DLC film. Since the DLC film can be formed in a temperature range from room temperature to 100 ° C., even when the heat resistance of the layer 934 is low, the DLC film can be easily stacked. The DLC film is formed by a plasma CVD method (typically, an RF plasma CVD method, a microwave CVD method, an electron cyclotron resonance (ECR) CVD method, a hot filament CVD method, etc.), a combustion flame method, a sputtering method, or an ion beam evaporation method. It can be formed by laser vapor deposition. The reaction gas used for film formation was hydrogen gas and a hydrocarbon-based gas (for example, CH 4 , C 2 H 2 , C 6 H 6, etc.), ionized by glow discharge, and negative self-bias was applied. Films are formed by accelerated collision of ions with the cathode. The CN film may be formed using C 2 H 4 gas and N 2 gas as reaction gases. The DLC film has a high blocking effect against oxygen and can suppress oxidation of the layer 934. Therefore, the problem that the layer 934 is oxidized during the subsequent sealing process can be prevented.

第1の電極層932上に形成される層934は、少なくとも発光材料を含む発光層で構成される。発光層は、有機化合物、無機化合物、又は有機化合物と無機化合物とを含む層で形成する。第1の電極層932と第2の電極層936との間に、層934が設けられて発光素子930を得ることができる。   The layer 934 formed over the first electrode layer 932 is a light-emitting layer containing at least a light-emitting material. The light-emitting layer is formed using an organic compound, an inorganic compound, or a layer containing an organic compound and an inorganic compound. A layer 934 is provided between the first electrode layer 932 and the second electrode layer 936, whereby the light-emitting element 930 can be obtained.

このように発光素子930が形成された基板901と、封止基板908とをシール材910によって固着し、発光素子930を封止する。シール材910としては、代表的には可視光硬化性、紫外線硬化性または熱硬化性の樹脂を用いるのが好ましい。例えば、ビスフェノールA型液状樹脂、ビスフェノールA型固形樹脂、含ブロムエポキシ樹脂、ビスフェノールF型樹脂、ビスフェノールAD型樹脂、フェノール型樹脂、クレゾール型樹脂、ノボラック型樹脂、環状脂肪族エポキシ樹脂、エピビス型エポキシ樹脂、グリシジルエステル樹脂、グリジシルアミン系樹脂、複素環式エポキシ樹脂、変性エポキシ樹脂等のエポキシ樹脂を用いることができる。なお、シール材で囲まれた領域948には充填材を充填してもよく、窒素雰囲気下で封止することによって、窒素等を封入してもよい。充填材を透過して光を取り出す構造の場合は、充填材は透光性を有する必要がある。代表的には可視光硬化、紫外線硬化または熱硬化のエポキシ樹脂を用いればよい。以上の工程において、発光素子を用いた表示機能を有する表示装置が完成する。また充填材は、液状の状態で滴下し、表示装置内に充填することもできる。充填材として、乾燥剤などの吸湿性を含む物質を用いると、さらなる吸水効果が得られ、発光素子930の劣化を防ぐことができる。   The substrate 901 over which the light-emitting element 930 is formed in this manner and the sealing substrate 908 are fixed with a sealant 910, and the light-emitting element 930 is sealed. As the sealant 910, typically, a visible light curable resin, an ultraviolet curable resin, or a thermosetting resin is preferably used. For example, bisphenol A type liquid resin, bisphenol A type solid resin, bromine-containing epoxy resin, bisphenol F type resin, bisphenol AD type resin, phenol type resin, cresol type resin, novolac type resin, cyclic aliphatic epoxy resin, epibis type epoxy Epoxy resins such as resins, glycidyl ester resins, glycidylamine resins, heterocyclic epoxy resins, and modified epoxy resins can be used. Note that the region 948 surrounded by the sealant may be filled with a filler, or nitrogen or the like may be sealed by sealing in a nitrogen atmosphere. In the case of a structure in which light is extracted through the filler, the filler needs to have translucency. Typically, a visible light curable, ultraviolet curable, or thermosetting epoxy resin may be used. Through the above steps, a display device having a display function using a light-emitting element is completed. Further, the filler can be dropped in a liquid state and filled in the display device. When a hygroscopic substance such as a desiccant is used as the filler, a further water absorption effect can be obtained and deterioration of the light-emitting element 930 can be prevented.

また、素子の水分による劣化を防ぐため、画素部902を取り囲むように乾燥剤を設けてもよい。例えば、封止基板に形成された凹部に乾燥剤を設置すればよく、このような構造にすることで、薄型化を妨げない構成とできる。また、ゲート配線層に対応する領域にも乾燥剤を形成し、吸水面積を広く取ると、吸水効果が高い。また、直接発光しないゲート配線層上に乾燥剤を形成すると、光取り出し効率を低下させることもない。   In order to prevent deterioration of the element due to moisture, a desiccant may be provided so as to surround the pixel portion 902. For example, a desiccant may be installed in the recess formed in the sealing substrate. With such a structure, it is possible to achieve a configuration that does not prevent thinning. Moreover, if a desiccant is formed also in the area | region corresponding to a gate wiring layer and a water absorption area is taken widely, the water absorption effect will be high. Further, when a desiccant is formed on the gate wiring layer that does not emit light directly, the light extraction efficiency is not lowered.

なお、本実施の形態では、ガラス基板で発光素子を封止した場合を示すが、封止の処理とは、発光素子を水分から保護するための処理であり、カバー材で機械的に封入する方法、熱硬化性樹脂又は紫外光硬化性樹脂で封入する方法、金属酸化物や窒化物等のバリア能力が高い薄膜により封止する方法のいずれかを用いる。カバー材としては、ガラス、セラミックス、プラスチックもしくは金属を用いることができるが、カバー材側に光を取り出す場合は透光性でなければならない。また、カバー材と上記発光素子が形成された基板とは熱硬化性樹脂又は紫外光硬化性樹脂等のシール材を用いて貼り合わせられ、熱処理又は紫外光照射処理によって樹脂を硬化させて密閉空間を形成する。この密閉空間の中に酸化バリウムに代表される吸湿材を設けることも有効である。この吸湿材は、シール材の上に接して設けても良いし、発光素子からの光を妨げないような、隔壁層の上や周辺部に設けても良い。さらに、カバー材と発光素子の形成された基板との空間を熱硬化性樹脂若しくは紫外光硬化性樹脂で充填することも可能である。この場合、熱硬化性樹脂若しくは紫外光硬化性樹脂の中に酸化バリウムに代表される吸湿材を添加しておくことは有効である。   Note that in this embodiment mode, a case where a light-emitting element is sealed with a glass substrate is shown; however, the sealing process is a process for protecting the light-emitting element from moisture and is mechanically sealed with a cover material. Either a method, a method of encapsulating with a thermosetting resin or an ultraviolet light curable resin, or a method of encapsulating with a thin film having a high barrier ability such as a metal oxide or a nitride is used. As the cover material, glass, ceramics, plastic, or metal can be used. However, when light is extracted to the cover material side, it must be translucent. In addition, the cover material and the substrate on which the light emitting element is formed are bonded together using a sealing material such as a thermosetting resin or an ultraviolet light curable resin, and the resin is cured by heat treatment or ultraviolet light irradiation treatment to form a sealed space. Form. It is also effective to provide a hygroscopic material typified by barium oxide in this sealed space. This hygroscopic material may be provided in contact with the sealing material, or may be provided on or around the partition layer so as not to block light from the light emitting element. Further, the space between the cover material and the substrate on which the light emitting element is formed can be filled with a thermosetting resin or an ultraviolet light curable resin. In this case, it is effective to add a moisture absorbing material typified by barium oxide in the thermosetting resin or the ultraviolet light curable resin.

また、ソース電極層又はドレイン電極層と第1の電極層932が直接接して電気的な接続を行わず、配線層(導電層)を介して接続していてもよい。   Alternatively, the source or drain electrode layer and the first electrode layer 932 may be in direct contact with each other and may not be electrically connected but may be connected through a wiring layer (conductive layer).

本実施の形態では、端子部906において、端子電極層950に異方性導電層952によってFPC954を接続し、外部と電気的に接続する構造とする。   In this embodiment mode, the terminal portion 906 has a structure in which the FPC 954 is connected to the terminal electrode layer 950 through the anisotropic conductive layer 952 and electrically connected to the outside.

また、図27(A)で示すように、本実施の形態において作製される表示装置は、画素部902と同一基板上に駆動回路部904が設けられている。なお、本発明は特に限定されず、周辺駆動回路としてICチップを前述したCOG方式やTAB方式によって実装したものでもよい。   As shown in FIG. 27A, the display device manufactured in this embodiment includes a driver circuit portion 904 over the same substrate as the pixel portion 902. Note that the present invention is not particularly limited, and an IC chip may be mounted as a peripheral driver circuit by the above-described COG method or TAB method.

また、本発明の表示装置において、画面表示の駆動方法は特に限定されず、例えば、点順次駆動方法や線順次駆動方法や面順次駆動方法などを用いればよい。代表的には、線順次駆動方法とし、時分割階調駆動方法や面積階調駆動方法を適宜用いればよい。また、表示装置のソース線に入力する映像信号は、アナログ信号であってもよいし、デジタル信号であってもよく、適宜、映像信号に合わせて駆動回路などを設計すればよい。   In the display device of the present invention, the screen display driving method is not particularly limited. For example, a dot sequential driving method, a line sequential driving method, a surface sequential driving method, or the like may be used. Typically, a line sequential driving method is used, and a time-division gray scale driving method or an area gray scale driving method may be used as appropriate. The video signal input to the source line of the display device may be an analog signal or a digital signal, and a drive circuit or the like may be designed in accordance with the video signal as appropriate.

本発明を適用することで、フォトレジストを用いたリソグラフィー工程を用いることなく、所望の領域を加工することができる。また、第1レーザビーム及び第2レーザビームの2種類のレーザビームを重畳するように照射することで、微細な開口を精度良く形成することも可能である。よって、リソグラフィー工程を削減・簡略化することが可能になり、表示装置の製造において、製造コストの低減、スループットの向上を図ることができる。   By applying the present invention, a desired region can be processed without using a lithography process using a photoresist. In addition, by irradiating the two types of laser beams of the first laser beam and the second laser beam so as to overlap each other, a fine opening can be formed with high accuracy. Accordingly, the lithography process can be reduced and simplified, and the manufacturing cost can be reduced and the throughput can be improved in manufacturing the display device.

本実施の形態は、実施の形態1乃至7と自由に組み合わせることができる。   This embodiment mode can be freely combined with Embodiment Modes 1 to 7.

(実施の形態9) (Embodiment 9)

表示装置の表示機能を有する発光素子は、様々な素子構造を適用することができる。一般的に、発光素子は、発光材料が有機化合物であるか、無機化合物であるかによって区別され、前者は有機EL素子、後者は無機EL素子と呼ばれている。ここでは、図12乃至図14を用いて、本発明に適用できる発光素子の例について説明する。   Various element structures can be applied to a light-emitting element having a display function of a display device. Generally, light-emitting elements are distinguished depending on whether the light-emitting material is an organic compound or an inorganic compound. The former is called an organic EL element, and the latter is called an inorganic EL element. Here, examples of light-emitting elements that can be applied to the present invention will be described with reference to FIGS.

図12は、有機EL素子について示している。図12に示す発光素子は、第1の電極層8270と第2の電極層8250との間に、層8260が挟持されている。第1の電極層8270及び第2の電極層8250のいずれか一方は陽極となり、他方は陰極となる。なお、陽極とは、発光層に正孔を注入する電極のことを示し、陰極とは発光層に電子を注入する電極のことを示す。本実施の形態では、第1の電極層8270を陽極とし、第2の電極層8250を陰極とする。また、層8260は、正孔注入層8262、正孔輸送層8264、発光層8266、電子輸送層8268、電子注入層8269が順次積層された構成とする。   FIG. 12 shows an organic EL element. In the light-emitting element illustrated in FIG. 12, the layer 8260 is interposed between the first electrode layer 8270 and the second electrode layer 8250. One of the first electrode layer 8270 and the second electrode layer 8250 serves as an anode, and the other serves as a cathode. The anode refers to an electrode that injects holes into the light emitting layer, and the cathode refers to an electrode that injects electrons into the light emitting layer. In this embodiment mode, the first electrode layer 8270 is an anode and the second electrode layer 8250 is a cathode. The layer 8260 has a structure in which a hole injection layer 8262, a hole transport layer 8264, a light-emitting layer 8266, an electron transport layer 8268, and an electron injection layer 8269 are sequentially stacked.

第1の電極層8270と第2の電極層8250は、インジウム錫酸化物(ITO)、酸化シリコンを含むインジウム錫酸化物、2wt%乃至20wt%の酸化亜鉛を含む酸化インジウムの他、金(Au)、白金(Pt)、ニッケル(Ni)、タングステン(W)、クロム(Cr)、モリブデン(Mo)、鉄(Fe)、コバルト(Co)、銅(Cu)、パラジウム(Pd)等を用いて形成することができる。また、アルミニウムの他、マグネシウムと銀との合金、アルミニウムとリチウムとの合金等も第1の電極層8270を形成するのに用いることができる。第1の電極層8270の形成方法については、上述した実施の形態4の第1の電極層7012や、実施の形態6の第1の電極層932と同じである。また、第2の電極層8250の形成方法について特に限定はなく、例えばスパッタリング法や蒸着法等を用いて形成することができる。   The first electrode layer 8270 and the second electrode layer 8250 include indium tin oxide (ITO), indium tin oxide containing silicon oxide, indium oxide containing 2 wt% to 20 wt% zinc oxide, and gold (Au ), Platinum (Pt), nickel (Ni), tungsten (W), chromium (Cr), molybdenum (Mo), iron (Fe), cobalt (Co), copper (Cu), palladium (Pd), etc. Can be formed. In addition to aluminum, an alloy of magnesium and silver, an alloy of aluminum and lithium, or the like can be used for forming the first electrode layer 8270. A method for forming the first electrode layer 8270 is the same as that of the first electrode layer 7012 in Embodiment 4 and the first electrode layer 932 in Embodiment 6. There is no particular limitation on the method for forming the second electrode layer 8250, and the second electrode layer 8250 can be formed by, for example, a sputtering method or an evaporation method.

なお、発光した光を外部に取り出すために、第1の電極層8270と第2の電極層8250のいずれか一方または両方は、インジウム錫酸化物等を用いるか、或いは銀、アルミニウム等を数nm乃至数十nmの厚さとなるように形成して、可視光が透過できるように、形成することが好ましい。   Note that in order to extract the emitted light to the outside, either one or both of the first electrode layer 8270 and the second electrode layer 8250 uses indium tin oxide or the like, or silver, aluminum, or the like is several nm. It is preferably formed so as to have a thickness of several to several tens of nm so that visible light can be transmitted.

正孔注入層8262は、第1の電極層8270から正孔輸送層8264へ正孔の注入を補助する機能を有する層である。正孔注入層8262を設けることによって、第1の電極層8270と正孔輸送層8264との間のイオン化ポテンシャルの差が緩和され、正孔が注入され易くなる。正孔注入層8262は、正孔輸送層8264を形成している物質よりもイオン化ポテンシャルが小さく、第1の電極層8270を形成している物質よりもイオン化ポテンシャルが大きい物質、または正孔輸送層8264と第1の電極層8270との間に1nm乃至2nmの薄膜として設けたときにエネルギーバンドが曲がるような物質を用いて形成することが好ましい。正孔注入層8262を形成するのに用いることのできる物質の具体例として、フタロシアニン(略称:HPc)や銅フタロシアニン(CuPC)等のフタロシアニン系の化合物、或いはポリ(エチレンジオキシチオフェン)/ポリ(スチレンスルホン酸)水溶液(PEDOT/PSS)等の高分子等が挙げられる。つまり、正孔注入層8262におけるイオン化ポテンシャルが正孔輸送層8264におけるイオン化ポテンシャルよりも相対的に小さくなるような物質を正孔輸送性物質の中から選択することによって、正孔注入層8262を形成することができる。正孔注入層8262を設ける場合、第1の電極層8270は、インジウム錫酸化物等の仕事関数の高い物質を用いて形成することが好ましい。なお、本発明は特に限定されず、正孔注入層8262は設けなくともよい。 The hole injection layer 8262 is a layer having a function of assisting injection of holes from the first electrode layer 8270 to the hole transport layer 8264. By providing the hole-injecting layer 8262, the difference in ionization potential between the first electrode layer 8270 and the hole-transporting layer 8264 is reduced, and holes are easily injected. The hole-injecting layer 8262 has a lower ionization potential than the substance forming the hole-transporting layer 8264 and has a higher ionization potential than the substance forming the first electrode layer 8270, or the hole-transporting layer It is preferable to use a substance whose energy band bends when it is provided as a thin film having a thickness of 1 nm to 2 nm between the 8264 and the first electrode layer 8270. Specific examples of a substance that can be used to form the hole-injecting layer 8262 include phthalocyanine-based compounds such as phthalocyanine (abbreviation: H 2 Pc) and copper phthalocyanine (CuPC), or poly (ethylenedioxythiophene) / Examples thereof include a polymer such as a poly (styrenesulfonic acid) aqueous solution (PEDOT / PSS). In other words, the hole injection layer 8262 is formed by selecting a substance from which the ionization potential in the hole injection layer 8262 is relatively smaller than the ionization potential in the hole transport layer 8264 from among the hole transport materials. can do. In the case where the hole-injecting layer 8262 is provided, the first electrode layer 8270 is preferably formed using a substance having a high work function such as indium tin oxide. Note that the present invention is not particularly limited, and the hole-injection layer 8262 is not necessarily provided.

正孔輸送層8264とは、第1の電極層8270側から注入された正孔を発光層8266へ輸送する機能を有する層である。このように、正孔輸送層8264を設けることによって、第1の電極層8270と発光層8266との距離を離すことができ、その結果、第1の電極層8270等に含まれている金属に起因して発光が消滅することを防ぐことができる。正孔輸送層8264は、正孔輸送性物質を用いて形成することが好ましく、特に1×10−6cm/Vs以上の正孔移動度を有する物質を用いて形成することが好ましい。なお、正孔輸送性物質とは、電子よりも正孔の移動度が高く、電子の移動度に対する正孔の移動度の比の値(=正孔移動度/電子移動度)が好ましくは100よりも大きい物質をいう。正孔輸送層8264を形成するのに用いることができる物質の具体例としては、4,4’−ビス[N−(1−ナフチル)−N−フェニルアミノ]ビフェニル(略称:NPB)、4,4’−ビス[N−(3−メチルフェニル)−N−フェニルアミノ]ビフェニル(略称:TPD)、4,4’,4’’−トリス(N,N−ジフェニルアミノ)トリフェニルアミン(略称:TDATA)、4,4’,4’’−トリス[N−(3−メチルフェニル)−N−フェニルアミノ]トリフェニルアミン(略称:MTDATA)、4,4’−ビス{N−[4−(N,N−ジ−m−トリルアミノ)フェニル]−N−フェニルアミノ}ビフェニル(略称:DNTPD)、1,3,5−トリス[N,N−ジ(m−トリル)アミノ]ベンゼン(略称:m−MTDAB)、4,4’,4’’−トリス(N−カルバゾリル)トリフェニルアミン(略称:TCTA)、フタロシアニン(略称:HPc)、銅フタロシアニン(略称:CuPc)、バナジルフタロシアニン(略称:VOPc)、4,4’−ビス[N−(4−ビフェニリル)−N−フェニルアミノ]ビフェニル(略称:BBPB)等が挙げられる。なお、正孔輸送層8264は、単層構造でもよいし、積層構造でもよい。 The hole-transport layer 8264 is a layer having a function of transporting holes injected from the first electrode layer 8270 side to the light-emitting layer 8266. In this manner, by providing the hole-transport layer 8264, the distance between the first electrode layer 8270 and the light-emitting layer 8266 can be increased, and as a result, the metal contained in the first electrode layer 8270 and the like can be reduced. This can prevent the emission of light from disappearing. The hole-transport layer 8264 is preferably formed using a hole-transport substance, and particularly preferably formed using a substance having a hole mobility of 1 × 10 −6 cm 2 / Vs or higher. Note that the hole-transporting substance has higher hole mobility than electrons, and the ratio of the hole mobility to the electron mobility (= hole mobility / electron mobility) is preferably 100. Larger than the substance. Specific examples of a substance that can be used for forming the hole-transport layer 8264 include 4,4′-bis [N- (1-naphthyl) -N-phenylamino] biphenyl (abbreviation: NPB), 4, 4′-bis [N- (3-methylphenyl) -N-phenylamino] biphenyl (abbreviation: TPD), 4,4 ′, 4 ″ -tris (N, N-diphenylamino) triphenylamine (abbreviation: TDATA), 4,4 ′, 4 ″ -tris [N- (3-methylphenyl) -N-phenylamino] triphenylamine (abbreviation: MTDATA), 4,4′-bis {N- [4- ( N, N-di-m-tolylamino) phenyl] -N-phenylamino} biphenyl (abbreviation: DNTPD), 1,3,5-tris [N, N-di (m-tolyl) amino] benzene (abbreviation: m -MTDAB), 4, 4 ', 4 " Tris (N- carbazolyl) triphenylamine (abbreviation: TCTA), phthalocyanine (abbreviation: H 2 Pc), copper phthalocyanine (abbreviation: CuPc), or vanadyl phthalocyanine (abbreviation: VOPc), 4,4'-bis [N- ( 4-biphenylyl) -N-phenylamino] biphenyl (abbreviation: BBPB) and the like. Note that the hole-transport layer 8264 may have a single-layer structure or a stacked structure.

発光層8266は、発光機能を有する層であり、有機化合物でなる発光材料を含む。また、無機化合物を含んでいてもよい。発光層8266に含まれる有機化合物は、発光性の有機化合物であれば特に限定はなく、種々の低分子系有機化合物、高分子系有機化合物を用いることができる。また、発光性の有機化合物は、蛍光発光材料、又は燐光発光材料のどちらを用いることも可能である。発光層8266は、発光性の有機化合物のみからなる層としてもよいし、発光性の有機化合物を当該有機化合物よりも大きいエネルギーギャップを有するホスト材料に分散した構成としてもよい。なお、発光層8266を、有機化合物でなる発光材料とホスト材料とを含む層のように複数の化合物を混合した層とする場合は、共蒸着法を用いて形成することができる。ここで、共蒸着とは、一つの処理室内に設けられた複数の蒸着源からそれぞれ原料を気化させ、気化した原料を気相状態で混合し、被処理物上に堆積させる蒸着法をいう。   The light-emitting layer 8266 is a layer having a light-emitting function and includes a light-emitting material formed using an organic compound. Moreover, the inorganic compound may be included. The organic compound contained in the light-emitting layer 8266 is not particularly limited as long as it is a light-emitting organic compound, and various low-molecular organic compounds and high-molecular organic compounds can be used. As the light-emitting organic compound, either a fluorescent light-emitting material or a phosphorescent light-emitting material can be used. The light-emitting layer 8266 may be a layer including only a light-emitting organic compound, or may have a structure in which a light-emitting organic compound is dispersed in a host material having an energy gap larger than that of the organic compound. Note that in the case where the light-emitting layer 8266 is a layer in which a plurality of compounds are mixed, such as a layer including a light-emitting material formed using an organic compound and a host material, the light-emitting layer 8266 can be formed by a co-evaporation method. Here, co-evaporation refers to a vapor deposition method in which raw materials are vaporized from a plurality of vapor deposition sources provided in one processing chamber, the vaporized raw materials are mixed in a gas phase state, and deposited on an object to be processed.

電子輸送層8268は、第2の電極層8250から注入された電子を発光層8266へ輸送する機能を有する層である。このように、電子輸送層8268を設けることによって、第2の電極層8250と発光層8266との距離を離すことができ、その結果、第2の電極層8250等に含まれている金属に起因して発光が消滅することを防ぐことができる。電子輸送層8268は、電子輸送性物質を用いて形成することが好ましく、特に1×10−6cm/Vs以上の電子移動度を有する物質を用いて形成することが好ましい。なお、電子輸送性物質とは、正孔よりも電子の移動度が高く、正孔の移動度に対する電子の移動度の比の値(=電子移動度/正孔移動度)が好ましくは100よりも大きい物質をいう。電子輸送層8268を形成するのに用いることができる物質の具体例としては、トリス(8−キノリノラト)アルミニウム(略称:Alq)、トリス(4−メチル−8−キノリノラト)アルミニウム(略称:Almq)、ビス(10−ヒドロキシベンゾ[h]−キノリナト)ベリリウム(略称:BeBq)、ビス(2−メチル−8−キノリノラト)−4−フェニルフェノラト−アルミニウム(略称:BAlq)、ビス[2−(2−ヒドロキシフェニル)ベンゾオキサゾラト]亜鉛(略称:Zn(BOX))、ビス[2−(2−ヒドロキシフェニル)ベンゾチアゾラト]亜鉛(略称:Zn(BTZ))等の金属錯体の他、2−(4−ビフェニリル)−5−(4−tert−ブチルフェニル)−1,3,4−オキサジアゾール(略称:PBD)、1,3−ビス[5−(p−tert−ブチルフェニル)−1,3,4−オキサジアゾール−2−イル]ベンゼン(略称:OXD−7)、3−(4−tert−ブチルフェニル)−4−フェニル−5−(4−ビフェニリル)−1,2,4−トリアゾール(略称:TAZ)、3−(4−tert−ブチルフェニル)−4−(4−エチルフェニル)−5−(4−ビフェニリル)−1,2,4−トリアゾール(略称:p−EtTAZ)、バソフェナントロリン(略称:BPhen)、バソキュプロイン(略称:BCP)、4,4−ビス(5−メチルベンズオキサゾル−2−イル)スチルベン(略称:BzOs)等が挙げられる。また、電子輸送層8268は、単層構造でもよいし、積層構造でもよい。 The electron-transport layer 8268 is a layer having a function of transporting electrons injected from the second electrode layer 8250 to the light-emitting layer 8266. In this manner, by providing the electron-transport layer 8268, the distance between the second electrode layer 8250 and the light-emitting layer 8266 can be increased, and as a result, the metal is contained in the second electrode layer 8250 and the like. Thus, it is possible to prevent the light emission from disappearing. The electron transporting layer 8268 is preferably formed using an electron transporting substance, and particularly preferably formed using a substance having an electron mobility of 1 × 10 −6 cm 2 / Vs or higher. Note that the electron transporting substance has higher electron mobility than holes, and the ratio of the electron mobility to the hole mobility (= electron mobility / hole mobility) is preferably from 100. Also refers to a large substance. Specific examples of a substance that can be used for forming the electron-transport layer 8268 include tris (8-quinolinolato) aluminum (abbreviation: Alq 3 ), tris (4-methyl-8-quinolinolato) aluminum (abbreviation: Almq 3). ), Bis (10-hydroxybenzo [h] -quinolinato) beryllium (abbreviation: BeBq 2 ), bis (2-methyl-8-quinolinolato) -4-phenylphenolato-aluminum (abbreviation: BAlq), bis [2- In addition to metal complexes such as (2-hydroxyphenyl) benzoxazolate] zinc (abbreviation: Zn (BOX) 2 ), bis [2- (2-hydroxyphenyl) benzothiazolate] zinc (abbreviation: Zn (BTZ) 2 ) 2- (4-biphenylyl) -5- (4-tert-butylphenyl) -1,3,4-oxadiazole ( Abbreviation: PBD), 1,3-bis [5- (p-tert-butylphenyl) -1,3,4-oxadiazol-2-yl] benzene (abbreviation: OXD-7), 3- (4- tert-butylphenyl) -4-phenyl-5- (4-biphenylyl) -1,2,4-triazole (abbreviation: TAZ), 3- (4-tert-butylphenyl) -4- (4-ethylphenyl) -5- (4-biphenylyl) -1,2,4-triazole (abbreviation: p-EtTAZ), bathophenanthroline (abbreviation: BPhen), bathocuproin (abbreviation: BCP), 4,4-bis (5-methylbenzoxa) And sol-2-yl) stilbene (abbreviation: BzOs). The electron transport layer 8268 may have a single-layer structure or a stacked structure.

電子注入層8269は、第2の電極層8250から電子輸送層8268へ電子の注入を補助する機能を有する層である。電子注入層8269は、BPhen、BCP、p−EtTAZ、TAZ、BzOs等の電子輸送層8268を形成するのに用いることのできる物質の中から、電子輸送層8268の形成に用いる物質よりも電子親和力が相対的に大きい物質を選択して用いることによって形成することができる。このようにして電子注入層8269を形成することによって第2の電極層8250と電子輸送層8268との間の電子親和力の差が緩和され、電子が注入され易くなる。また、電子注入層8269には、Li、Cs等のアルカリ金属、リチウム酸化物(LiO)、カリウム酸化物(KO)、ナトリウム酸化物(NaO)等のアルカリ金属の酸化物、カルシウム酸化物(CaO)、マグネシウム酸化物(MgO)等のアルカリ土類金属の酸化物、フッ化リチウム(LiF)、フッ化セシウム(CsF)等のアルカリ金属のフッ化物、フッ化カルシウム(CaF)等のアルカリ土類金属のフッ化物、またはMg、Ca等のアルカリ土類金属等の無機物が含まれていてもよい。また、電子注入層8269はBPhen、BCP、p−EtTAZ、TAZ、BzOs等の有機化合物を含む構成であってもよいし、LiF等のアルカリ金属のフッ化物、またはCaF等のアルカリ土類金属のフッ化物等の無機化合物からなる構成であってもよい。このようにLiF等のアルカリ金属のフッ化物、またはCaF等のアルカリ土類金属のフッ化物等の無機化合物を用いて1nm乃至2nmの薄膜として電子注入層8269が設けられることによって電子注入層8269のエネルギーバンドが曲がる、或いは電子注入層8269にトンネル電流が流れることにより、第2の電極層8250から電子輸送層8268へ電子の注入が容易となる。 The electron injection layer 8269 has a function of assisting injection of electrons from the second electrode layer 8250 to the electron transport layer 8268. The electron injection layer 8269 has an electron affinity higher than that of a material used for forming the electron transport layer 8268 from among materials which can be used to form the electron transport layer 8268 such as BPhen, BCP, p-EtTAZ, TAZ, and BzOs. It can be formed by selecting and using a substance having a relatively large value. By forming the electron injection layer 8269 in this manner, the difference in electron affinity between the second electrode layer 8250 and the electron transport layer 8268 is alleviated, and electrons are easily injected. The electron injection layer 8269 includes an alkali metal such as Li or Cs, or an oxide of an alkali metal such as lithium oxide (Li 2 O), potassium oxide (K 2 O), or sodium oxide (Na 2 O). , Alkaline earth metal oxides such as calcium oxide (CaO) and magnesium oxide (MgO), alkali metal fluorides such as lithium fluoride (LiF) and cesium fluoride (CsF), calcium fluoride (CaF) 2 ) Alkaline earth metal fluorides such as, or inorganic substances such as alkaline earth metals such as Mg and Ca may be included. Further, the electron injection layer 8269 may include an organic compound such as BPhen, BCP, p-EtTAZ, TAZ, or BzOs, an alkali metal fluoride such as LiF, or an alkaline earth metal such as CaF 2. It may be composed of an inorganic compound such as fluoride. As described above, the electron injection layer 8269 is provided as a 1 nm to 2 nm thin film using an inorganic compound such as an alkali metal fluoride such as LiF or an alkaline earth metal fluoride such as CaF 2. When the energy band is bent or a tunnel current flows through the electron injection layer 8269, electrons can be easily injected from the second electrode layer 8250 into the electron transport layer 8268.

なお、正孔注入層8262に換えて正孔発生層が設けられていてもよいし、または電子注入層8269に換えて電子発生層が設けられていてもよい。   Note that a hole generation layer may be provided instead of the hole injection layer 8262, or an electron generation layer may be provided instead of the electron injection layer 8269.

ここで、正孔発生層とは、正孔を発生する層である。正孔輸送性物質の中から選ばれた少なくとも一の物質と、正孔輸送性物質に対して電子受容性を示す物質とを混合することによって正孔発生層を形成することができる。ここで、正孔輸送性物質としては、正孔輸送層8264を形成するのに用いることのできる物質と同様の物質を用いることができる。また、電子受容性を示す物質としては、モリブデン酸化物、バナジウム酸化物、ルテニウム酸化物、レニウム酸化物等の金属酸化物を用いることが好ましい。   Here, the hole generation layer is a layer that generates holes. The hole generating layer can be formed by mixing at least one substance selected from the hole transporting substances and a substance that exhibits an electron accepting property with respect to the hole transporting substance. Here, as the hole-transporting substance, a substance similar to the substance that can be used for forming the hole-transport layer 8264 can be used. As the substance exhibiting electron accepting properties, it is preferable to use a metal oxide such as molybdenum oxide, vanadium oxide, ruthenium oxide, or rhenium oxide.

また、電子発生層とは、電子を発生する層である。電子輸送性物質の中から選ばれた少なくとも一の物質と、電子輸送性物質に対して電子供与性を示す物質とを混合することによって電子発生層を形成することができる。ここで、電子輸送性物質としては電子輸送層8268を形成するのに用いることのできる物質と同様の物質を用いることができる。また、電子供与性を示す物質としては、アルカリ金属およびアルカリ土類金属の中から選ばれた物質、具体的にはリチウム(Li)、カルシウム(Ca)、ナトリウム(Na)、カリウム(K)、マグネシウム(Mg)等を用いることができる。   The electron generating layer is a layer that generates electrons. The electron generating layer can be formed by mixing at least one substance selected from electron transporting substances and a substance exhibiting an electron donating property with respect to the electron transporting substance. Here, as the electron transporting substance, a substance similar to the substance that can be used for forming the electron transporting layer 8268 can be used. Moreover, as the substance exhibiting electron donating property, a substance selected from alkali metals and alkaline earth metals, specifically, lithium (Li), calcium (Ca), sodium (Na), potassium (K), Magnesium (Mg) or the like can be used.

正孔注入層8262、正孔輸送層8264、発光層8266、電子輸送層8268、電子注入層8269は、それぞれ、蒸着法、液滴吐出法、または塗布法等を用いて形成すればよい。第1の電極層8270又は第2の電極層8250は、スパッタリング法または蒸着法等を用いて形成すればよい。   The hole-injection layer 8262, the hole-transport layer 8264, the light-emitting layer 8266, the electron-transport layer 8268, and the electron-injection layer 8269 may be formed using an evaporation method, a droplet discharge method, a coating method, or the like, respectively. The first electrode layer 8270 or the second electrode layer 8250 may be formed by a sputtering method, an evaporation method, or the like.

本実施の形態において、層8260は、少なくとも発光層8266を含んでいればよく、その他の機能を有する層(正孔注入層8262、正孔輸送層8264、電子輸送層8268、電子注入層8269等)は適宜設ければよい。   In this embodiment mode, the layer 8260 only needs to include at least the light-emitting layer 8266 and have other functions (a hole injection layer 8262, a hole transport layer 8264, an electron transport layer 8268, an electron injection layer 8269, or the like). ) May be provided as appropriate.

また、第1の電極層8270を陰極とし、第2の電極層8250を陽極としてもよい。その場合、層8260は、第1の電極層8270側から、電子注入層、電子輸送層、発光層、正孔輸送層、正孔注入層が順次積層された構成となる。   Alternatively, the first electrode layer 8270 may be a cathode and the second electrode layer 8250 may be an anode. In that case, the layer 8260 has a structure in which an electron injection layer, an electron transport layer, a light-emitting layer, a hole transport layer, and a hole injection layer are sequentially stacked from the first electrode layer 8270 side.

次に、無機EL素子について、図13、図14を用いて説明する。無機EL素子は、その素子構成により、分散型無機EL素子と薄膜型無機EL素子とに分類される。前者は、発光材料の粒子をバインダ中に分散させた発光層を有し、後者は、発光材料の薄膜からなる発光層を有している点に違いはあるが、高電界で加速された電子を必要とする点では共通である。なお、得られる発光のメカニズムとしては、ドナー準位とアクセプター準位を利用するドナー−アクセプター再結合型発光と、金属イオンの内殻電子遷移を利用する局在型発光とがある。一般的に、分散型無機ELではドナー−アクセプター再結合型発光、薄膜型無機EL素子では局在型発光である場合が多い。   Next, an inorganic EL element will be described with reference to FIGS. Inorganic EL elements are classified into a dispersion-type inorganic EL element and a thin-film inorganic EL element depending on the element structure. The former has a light-emitting layer in which particles of a light-emitting material are dispersed in a binder, and the latter has a light-emitting layer made of a thin film of the light-emitting material. It is common in the point that requires. Note that the obtained light emission mechanism includes donor-acceptor recombination light emission using a donor level and an acceptor level, and localized light emission using inner-shell electron transition of a metal ion. In general, the dispersion-type inorganic EL often has donor-acceptor recombination light emission, and the thin-film inorganic EL element often has localized light emission.

本発明で用いることのできる発光材料は、母体材料と不純物元素とで構成される。不純物元素は、発光中心として機能する。含有させる不純物元素を変化させることで、様々な色の発光を得ることができる。発光材料の作製方法としては、固相法や液相法(共沈法)などの様々な方法を用いることができる。また、噴霧熱分解法、複分解法、プレカーサーの熱分解反応による方法、逆ミセル法やこれらの方法と高温焼成を組み合わせた方法、凍結乾燥法などの液相法なども用いることができる。   A light-emitting material that can be used in the present invention includes a base material and an impurity element. The impurity element functions as a light emission center. By changing the impurity element to be contained, light emission of various colors can be obtained. As a method for manufacturing the light-emitting material, various methods such as a solid phase method and a liquid phase method (coprecipitation method) can be used. Also, spray pyrolysis method, metathesis method, precursor thermal decomposition method, reverse micelle method, method combining these methods with high temperature firing, liquid phase method such as freeze-drying method, etc. can be used.

固相法は、母体材料と、不純物元素又は不純物元素を含む化合物を秤量し、乳鉢で混合、電気炉で加熱、焼成を行い反応させ、母体材料に不純物元素を含有させる方法である。焼成温度は、700℃乃至1500℃が好ましい。温度が低すぎる場合は固相反応が進まず、温度が高すぎる場合は母体材料が分解してしまうからである。なお、粉末状態で焼成を行ってもよいが、ペレット状態で焼成を行うことが好ましい。比較的高温での焼成を必要とするが、簡単な方法であるため、生産性がよく大量生産に適している。   The solid phase method is a method in which a base material and an impurity element or a compound containing the impurity element are weighed, mixed in a mortar, heated and fired in an electric furnace, reacted, and the base material contains the impurity element. The firing temperature is preferably 700 ° C to 1500 ° C. This is because the solid phase reaction does not proceed when the temperature is too low, and the base material is decomposed when the temperature is too high. In addition, although baking may be performed in a powder state, it is preferable to perform baking in a pellet state. Although firing at a relatively high temperature is required, it is a simple method, so it has high productivity and is suitable for mass production.

液相法(共沈法)は、母体材料又は母体材料を含む化合物と、不純物元素又は不純物元素を含む化合物を溶液中で反応させ、乾燥させた後、焼成を行う方法である。発光材料の粒子が均一に分布し、粒径が小さく低い焼成温度でも反応が進むことができる。   The liquid phase method (coprecipitation method) is a method in which a base material or a compound containing the base material and an impurity element or a compound containing the impurity element are reacted in a solution, dried, and then fired. The particles of the luminescent material are uniformly distributed, and the reaction can proceed even at a low firing temperature with a small particle size.

発光材料に用いる母体材料としては、硫化物、酸化物、窒化物を用いることができる。硫化物としては、例えば、硫化亜鉛(ZnS)、硫化カドミウム(CdS)、硫化カルシウム(CaS)、硫化イットリウム(Y)、硫化ガリウム(Ga)、硫化ストロンチウム(SrS)、硫化バリウム(BaS)等を用いることができる。また、酸化物としては、例えば、酸化亜鉛(ZnO)、酸化イットリウム(Y)等を用いることができる。また、窒化物としては、例えば、窒化アルミニウム(AlN)、窒化ガリウム(GaN)、窒化インジウム(InN)等を用いることができる。さらに、セレン化亜鉛(ZnSe)、テルル化亜鉛(ZnTe)等も用いることができ、硫化カルシウム−ガリウム(CaGa)、硫化ストロンチウム−ガリウム(SrGa)、硫化バリウム−ガリウム(BaGa)、等の3元系の混晶であってもよい。 As a base material used for the light-emitting material, sulfide, oxide, or nitride can be used. Examples of the sulfide include zinc sulfide (ZnS), cadmium sulfide (CdS), calcium sulfide (CaS), yttrium sulfide (Y 2 S 3 ), gallium sulfide (Ga 2 S 3 ), strontium sulfide (SrS), sulfide. Barium (BaS) or the like can be used. As the oxide, for example, zinc oxide (ZnO), yttrium oxide (Y 2 O 3 ), or the like can be used. As the nitride, for example, aluminum nitride (AlN), gallium nitride (GaN), indium nitride (InN), or the like can be used. Furthermore, zinc selenide (ZnSe), zinc telluride (ZnTe), and the like can also be used, such as calcium sulfide-gallium sulfide (CaGa 2 S 4 ), strontium sulfide-gallium (SrGa 2 S 4 ), barium sulfide-gallium (BaGa). It may be a ternary mixed crystal such as 2 S 4 ).

局在型発光の不純物元素として、マンガン(Mn)、銅(Cu)、サマリウム(Sm)、テルビウム(Tb)、エルビウム(Er)、ツリウム(Tm)、ユーロピウム(Eu)、セリウム(Ce)、プラセオジウム(Pr)などを用いることができる。なお、フッ素(F)、塩素(Cl)などのハロゲン元素が添加されていてもよい。ハロゲン元素は電荷補償として機能することもできる。   As impurity elements for localized emission, manganese (Mn), copper (Cu), samarium (Sm), terbium (Tb), erbium (Er), thulium (Tm), europium (Eu), cerium (Ce), praseodymium (Pr) or the like can be used. Note that a halogen element such as fluorine (F) or chlorine (Cl) may be added. The halogen element can also function as charge compensation.

一方、ドナー−アクセプター再結合型発光の不純物元素として、ドナー準位を形成する第1の不純物元素及びアクセプター準位を形成する第2の不純物元素を含む発光材料を用いることができる。第1の不純物元素は、例えば、フッ素(F)、塩素(Cl)、アルミニウム(Al)等を用いることができる。第2の不純物元素としては、例えば、銅(Cu)、銀(Ag)等を用いることができる。   On the other hand, a light-emitting material containing a first impurity element that forms a donor level and a second impurity element that forms an acceptor level can be used as the impurity element for donor-acceptor recombination light emission. As the first impurity element, for example, fluorine (F), chlorine (Cl), aluminum (Al), or the like can be used. For example, copper (Cu), silver (Ag), or the like can be used as the second impurity element.

ドナー−アクセプター再結合型発光の発光材料を固相法を用いて合成する場合、母体材料と、第1の不純物元素又は第1の不純物元素を含む化合物と、第2の不純物元素又は第2の不純物元素を含む化合物をそれぞれ秤量し、乳鉢で混合した後、電気炉で加熱、焼成を行う。母体材料としては、上述した母体材料を用いることができ、第1の不純物元素又は第1の不純物元素を含む化合物としては、例えば、フッ素(F)、塩素(Cl)、硫化アルミニウム(Al)等を用いることができ、第2の不純物元素又は第2の不純物元素を含む化合物としては、例えば、銅(Cu)、銀(Ag)、硫化銅(CuS)、硫化銀(AgS)等を用いることができる。焼成温度は、700〜1500℃が好ましい。温度が低すぎる場合は固相反応が進まず、温度が高すぎる場合は母体材料が分解してしまうからである。なお、粉末状態で焼成を行ってもよいが、ペレット状態で焼成を行うことが好ましい。 In the case where a light-emitting material for donor-acceptor recombination light emission is synthesized using a solid-phase method, a base material, a first impurity element or a compound containing the first impurity element, a second impurity element, or a second impurity element Each compound containing an impurity element is weighed and mixed in a mortar, and then heated and fired in an electric furnace. As the base material, the above-described base material can be used, and examples of the first impurity element or the compound containing the first impurity element include fluorine (F), chlorine (Cl), and aluminum sulfide (Al 2 S). 3 ) or the like, and examples of the second impurity element or the compound containing the second impurity element include copper (Cu), silver (Ag), copper sulfide (Cu 2 S), and silver sulfide (Ag). 2 S) or the like can be used. The firing temperature is preferably 700 to 1500 ° C. This is because the solid phase reaction does not proceed when the temperature is too low, and the base material is decomposed when the temperature is too high. In addition, although baking may be performed in a powder state, it is preferable to perform baking in a pellet state.

また、固相反応を利用する場合の不純物元素として、第1の不純物元素と第2の不純物元素で構成される化合物を組み合わせて用いてもよい。この場合、不純物元素が拡散されやすく、固相反応が進みやすくなるため、均一な発光材料を得ることができる。さらに、余分な不純物元素が入らないため、純度の高い発光材料が得ることができる。第1の不純物元素と第2の不純物元素で構成される化合物としては、例えば、塩化銅(CuCl)、塩化銀(AgCl)等を用いることができる。   In addition, as an impurity element in the case of using a solid phase reaction, a compound including a first impurity element and a second impurity element may be used in combination. In this case, since the impurity element is easily diffused and the solid-phase reaction easily proceeds, a uniform light emitting material can be obtained. Further, since no extra impurity element is contained, a light-emitting material with high purity can be obtained. As the compound including the first impurity element and the second impurity element, for example, copper chloride (CuCl), silver chloride (AgCl), or the like can be used.

なお、これらの不純物元素の濃度は、母体材料に対して0.01atom%乃至10atom%の範囲であればよく、好ましくは0.05atom%乃至5atom%の範囲である。   Note that the concentration of these impurity elements may be in the range of 0.01 atom% to 10 atom% with respect to the base material, and is preferably in the range of 0.05 atom% to 5 atom%.

薄膜型無機ELの場合、発光層は、上記発光材料を含む層であり、抵抗加熱蒸着法、電子ビーム蒸着(EB蒸着)法等の真空蒸着法、スパッタリング法等の物理気相成長法(PVD)、有機金属CVD法、ハイドライド輸送減圧CVD法等の化学気相成長法(CVD)、原子エピタキシ法(ALE)等を用いて形成することができる。 In the case of a thin-film inorganic EL, the light emitting layer is a layer containing the above light emitting material, and a physical vapor deposition method (PVD) such as a resistance heating vapor deposition method, a vacuum vapor deposition method such as an electron beam vapor deposition (EB vapor deposition) method, or a sputtering method. ), Chemical vapor deposition (CVD) such as metal organic CVD, hydride transport low pressure CVD, atomic epitaxy (ALE), or the like.

図13(A)乃至(C)に発光素子として用いることのできる薄膜型無機EL素子の一例を示す。図13(A)乃至(C)において、発光素子は、第1の電極層50、層51、第2の電極層53を含む。層51は、少なくとも発光層52を含む構成とする。   FIGS. 13A to 13C illustrate an example of a thin-film inorganic EL element that can be used as a light-emitting element. 13A to 13C, the light-emitting element includes a first electrode layer 50, a layer 51, and a second electrode layer 53. The layer 51 includes at least the light emitting layer 52.

図13(A)に示す発光素子は、第1の電極層50と第2の電極層53との間に、発光層52のみで構成される層51が挟持されている。図13(B)及び図13(C)に示す発光素子は、図13(A)の発光素子において、第1の電極層50又は第2の電極層53と、発光層52と、の間に絶縁層を設ける構造である。図13(B)に示す発光素子は、第1の電極層50と発光層52との間に絶縁層54を有し、図13(C)に示す発光素子は、第1の電極層50と発光層52との間に絶縁層54a、第2の電極層53と発光層52との間に絶縁層54bとを有している。このように絶縁層は発光層を挟持する一対の電極層のうち一方の間にのみ設けてもよいし、両方の間に設けてもよい。また絶縁層は単層構造でもよいし、積層構造でもよい。   In the light-emitting element illustrated in FIG. 13A, a layer 51 including only the light-emitting layer 52 is sandwiched between the first electrode layer 50 and the second electrode layer 53. 13B and 13C is the same as the light-emitting element in FIG. 13A between the first electrode layer 50 or the second electrode layer 53 and the light-emitting layer 52. In this structure, an insulating layer is provided. 13B includes an insulating layer 54 between the first electrode layer 50 and the light-emitting layer 52, and the light-emitting element illustrated in FIG. 13C includes the first electrode layer 50 and the light-emitting element. An insulating layer 54 a is provided between the light emitting layer 52 and an insulating layer 54 b is provided between the second electrode layer 53 and the light emitting layer 52. Thus, the insulating layer may be provided only between one of the pair of electrode layers sandwiching the light emitting layer, or may be provided between both. The insulating layer may have a single layer structure or a laminated structure.

また、図13(B)では第1の電極層50に接するように絶縁層54が設けられているが、絶縁層と発光層の順番を逆にして、第2の電極層53に接するように絶縁層54を設けてもよい。   13B, the insulating layer 54 is provided so as to be in contact with the first electrode layer 50. However, the order of the insulating layer and the light emitting layer is reversed so as to be in contact with the second electrode layer 53. An insulating layer 54 may be provided.

次に、分散型無機EL素子について説明する。分散型無機EL素子の場合、粒子状の発光材料をバインダ中に分散させ膜状の発光層を形成する。発光材料の作製方法によって、十分に所望の大きさの粒子が得られない場合は、乳鉢等で粉砕などによって粒子状に加工すればよい。バインダとは、粒状の発光材料を分散した状態で固定し、発光層としての形状に保持するための物質である。発光材料は、バインダによって発光層中に均一に分散し固定される。   Next, a dispersion-type inorganic EL element will be described. In the case of a dispersion-type inorganic EL element, a particulate light emitting material is dispersed in a binder to form a film light emitting layer. When particles having a desired size cannot be obtained sufficiently by the method for manufacturing a light emitting material, the particles may be processed into particles by pulverization or the like in a mortar or the like. A binder is a substance for fixing a granular light emitting material in a dispersed state and maintaining the shape as a light emitting layer. The light emitting material is uniformly dispersed and fixed in the light emitting layer by the binder.

分散型無機EL素子の場合、発光層の形成方法は、選択的に発光層を形成できる液滴吐出法や、印刷法(スクリーン印刷やオフセット印刷など)、スピンコート法などの塗布法、ディッピング法、ディスペンサ法などを用いることもできる。膜厚は特に限定されることはないが、好ましくは、10nm乃至1000nmの範囲である。また、発光材料及びバインダを含む発光層において、発光材料の割合は50wt%以上80wt%以下とするよい。   In the case of a dispersion-type inorganic EL element, a light emitting layer can be formed by a droplet discharge method capable of selectively forming a light emitting layer, a printing method (screen printing, offset printing, etc.), a coating method such as a spin coating method, or a dipping method. A dispenser method or the like can also be used. The film thickness is not particularly limited, but is preferably in the range of 10 nm to 1000 nm. In the light-emitting layer including the light-emitting material and the binder, the ratio of the light-emitting material may be 50 wt% or more and 80 wt% or less.

図14(A)乃至(C)に発光素子として用いることのできる分散型無機EL素子の一例を示す。図14(A)乃至(C)において、発光素子は、第1の電極層60、層65、第2の電極層63を含む。層65は、少なくとも発光層を含む構成とする。   FIGS. 14A to 14C illustrate an example of a dispersion-type inorganic EL element that can be used as a light-emitting element. 14A to 14C, the light-emitting element includes a first electrode layer 60, a layer 65, and a second electrode layer 63. The layer 65 includes at least a light emitting layer.

図14(A)における発光素子は、第1の電極層60、発光層62、第2の電極層63の積層構造を有し、発光層62中にバインダによって保持された発光材料61を含む。   14A has a stacked structure of a first electrode layer 60, a light emitting layer 62, and a second electrode layer 63, and includes a light emitting material 61 held in the light emitting layer 62 by a binder.

本実施の形態に用いることのできるバインダとしては、絶縁材料を用いることができる。具体的には、有機絶縁材料や無機絶縁材料を用いることができ、有機絶縁材料及び無機絶縁材料の混合材料を用いてもよい。有機絶縁材料としては、シアノエチルセルロース系樹脂のように、比較的誘電率の高いポリマーや、ポリエチレン、ポリプロピレン、ポリスチレン系樹脂、シリコーン樹脂、エポキシ樹脂、フッ化ビニリデンなどの樹脂を用いることができる。また、芳香族ポリアミド、ポリベンゾイミダゾール(polybenzimidazole)などの耐熱性高分子、又はシロキサン樹脂を用いてもよい。なお、シロキサン樹脂とは、Si−O−Si結合を含む樹脂に相当する。シロキサンは、シリコン(Si)と酸素(O)との結合で骨格構造が構成される。置換基として、少なくとも水素を含む有機基(例えばアルキル基、芳香族炭化水素)が用いられる。置換基として、フルオロ基を用いてもよい。または置換基として、少なくとも水素を含む有機基と、フルオロ基とを用いてもよい。また、ポリビニルアルコール、ポリビニルブチラールなどのビニル樹脂、フェノール樹脂、ノボラック樹脂、アクリル樹脂、メラミン樹脂、ウレタン樹脂、オキサゾール樹脂(ポリベンゾオキサゾール)等の樹脂材料を用いてもよい。これらの樹脂に、チタン酸バリウム(BaTiO)やチタン酸ストロンチウム(SrTiO)などの高誘電率の微粒子を適度に混合して誘電率を調整することもできる。 As a binder that can be used in this embodiment mode, an insulating material can be used. Specifically, an organic insulating material or an inorganic insulating material can be used, and a mixed material of an organic insulating material and an inorganic insulating material may be used. As the organic insulating material, a polymer having a relatively high dielectric constant such as a cyanoethyl cellulose resin, or a resin such as polyethylene, polypropylene, polystyrene resin, silicone resin, epoxy resin, or vinylidene fluoride can be used. Alternatively, a heat-resistant polymer such as aromatic polyamide, polybenzimidazole, or siloxane resin may be used. Note that a siloxane resin corresponds to a resin including a Si—O—Si bond. Siloxane has a skeleton structure formed of a bond of silicon (Si) and oxygen (O). As a substituent, an organic group containing at least hydrogen (for example, an alkyl group or an aromatic hydrocarbon) is used. A fluoro group may be used as a substituent. Alternatively, an organic group containing at least hydrogen and a fluoro group may be used as a substituent. Moreover, resin materials such as vinyl resins such as polyvinyl alcohol and polyvinyl butyral, phenol resins, novolac resins, acrylic resins, melamine resins, urethane resins, and oxazole resins (polybenzoxazole) may be used. The dielectric constant can be adjusted by appropriately mixing fine particles of high dielectric constant such as barium titanate (BaTiO 3 ) and strontium titanate (SrTiO 3 ) with these resins.

バインダに含まれる無機絶縁材料としては、酸化シリコン(SiOx)、窒化シリコン(SiNx)、酸素及び窒素を含むシリコン、窒化アルミニウム(AlN)、酸素及び窒素を含むアルミニウムまたは酸化アルミニウム(Al)、酸化チタン(TiO)、BaTiO、SrTiO、チタン酸鉛(PbTiO)、ニオブ酸カリウム(KNbO)、ニオブ酸鉛(PbNbO)、酸化タンタル(Ta)、タンタル酸バリウム(BaTa)、タンタル酸リチウム(LiTaO)、酸化イットリウム(Y)、酸化ジルコニウム(ZrO)、その他の無機絶縁材料を含む物質から選ばれた材料で形成することができる。有機絶縁材料に、誘電率の高い無機絶縁材料を含ませる(添加等によって)ことによって、発光材料及びバインダよりなる発光層の誘電率をより制御することができ、より誘電率を大きくすることができる。バインダに無機絶縁材料と有機絶縁材料との混合層を用い、高い誘電率とすると、発光材料により大きい電荷を誘起することができる。 Examples of the inorganic insulating material contained in the binder include silicon oxide (SiOx), silicon nitride (SiNx), silicon containing oxygen and nitrogen, aluminum nitride (AlN), aluminum containing oxygen and nitrogen, or aluminum oxide (Al 2 O 3 ). , Titanium oxide (TiO 2 ), BaTiO 3 , SrTiO 3 , lead titanate (PbTiO 3 ), potassium niobate (KNbO 3 ), lead niobate (PbNbO 3 ), tantalum oxide (Ta 2 O 5 ), barium tantalate (BaTa 2 O 6 ), lithium tantalate (LiTaO 3 ), yttrium oxide (Y 2 O 3 ), zirconium oxide (ZrO 2 ), and other materials selected from substances including inorganic insulating materials. . By including an inorganic insulating material having a high dielectric constant in the organic insulating material (by addition or the like), the dielectric constant of the light emitting layer made of the light emitting material and the binder can be further controlled, and the dielectric constant can be further increased. it can. When a mixed layer of an inorganic insulating material and an organic insulating material is used for the binder and the dielectric constant is high, a larger charge can be induced in the light emitting material.

作製工程において、発光材料はバインダを含む溶液中に分散されるが、本実施の形態に用いることのできるバインダを含む溶液の溶媒としては、バインダ材料が溶解し、発光層を形成する方法(種々のウエットプロセス)及び所望の膜厚に適した粘度の溶液を作製できるような溶媒を適宜選択すればよい。有機溶媒等を用いることができ、例えばバインダとしてシロキサン樹脂を用いる場合は、プロピレングリコールモノメチルエーテル、プロピレングリコールモノメチルエーテルアセテート(PGMEAともいう)、3−メトシキ−3メチル−1−ブタノール(MMBともいう)などを用いることができる。   In the manufacturing process, the light-emitting material is dispersed in a solution containing a binder. As a solvent for the solution containing a binder that can be used in this embodiment mode, a method for forming a light-emitting layer by dissolving the binder material (various methods) The wet process) and a solvent capable of producing a solution having a viscosity suitable for a desired film thickness may be selected as appropriate. For example, when a siloxane resin is used as a binder, propylene glycol monomethyl ether, propylene glycol monomethyl ether acetate (also referred to as PGMEA), 3-methoxy-3-methyl-1-butanol (also referred to as MMB) can be used. Etc. can be used.

図14(B)及び図14(C)に示す発光素子は、図14(A)の発光素子において、第1の電極層60又は第2の電極層63と、発光層62と、の間に絶縁層を設ける構造である。図14(B)に示す発光素子は、第1の電極層60と発光層62との間に絶縁層64を有し、図14(C)に示す発光素子は、第1の電極層60と発光層62との間に絶縁層64a、第2の電極層63と発光層62との間に絶縁層64bとを有している。このように絶縁層は発光層を挟持する一対の電極層のうち一方の間にのみ設けてもよいし、両方の間に設けてもよい。また絶縁層は単層構造でもよいし、積層構造でもよい。   14B and 14C is the same as the light-emitting element in FIG. 14A between the first electrode layer 60 or the second electrode layer 63 and the light-emitting layer 62. In this structure, an insulating layer is provided. 14B includes an insulating layer 64 between the first electrode layer 60 and the light-emitting layer 62, and the light-emitting element illustrated in FIG. 14C includes the first electrode layer 60 and the light-emitting element. An insulating layer 64 a is provided between the light emitting layer 62 and an insulating layer 64 b is provided between the second electrode layer 63 and the light emitting layer 62. Thus, the insulating layer may be provided only between one of the pair of electrode layers sandwiching the light emitting layer, or may be provided between both. The insulating layer may have a single layer structure or a laminated structure.

また、図14(B)では第1の電極層60に接するように絶縁層64が設けられているが、絶縁層と発光層の順番を逆にして、第2の電極層63に接するように絶縁層64を設けてもよい。   14B, the insulating layer 64 is provided so as to be in contact with the first electrode layer 60, but the order of the insulating layer and the light emitting layer is reversed so as to be in contact with the second electrode layer 63. An insulating layer 64 may be provided.

図13における絶縁層54、図14における絶縁層64のような絶縁層は、特に限定されることはないが、絶縁耐性が高く、緻密な膜質であることが好ましい。さらには、誘電率が高いことが好ましい。例えば、酸化シリコン(SiO)、酸化イットリウム(Y)、酸化チタン(TiO)、酸化アルミニウム(Al)、酸化ハフニウム(HfO)、酸化タンタル(Ta)、チタン酸バリウム(BaTiO)、チタン酸ストロンチウム(SrTiO)、チタン酸鉛(PbTiO)、窒化シリコン(Si)、酸化ジルコニウム(ZrO)等やこれらの混合層又は2種以上の積層を用いることができる。これらの絶縁層は、スパッタリング法、蒸着法、CVD法等により形成することができる。また、絶縁層はこれら絶縁材料の粒子をバインダ中に分散して形成してもよい。バインダ材料は、発光層に含まれるバインダと同様な材料、方法を用いて形成すればよい。膜厚は特に限定されることはないが、好ましくは10nm乃至1000nmの範囲である。 The insulating layers such as the insulating layer 54 in FIG. 13 and the insulating layer 64 in FIG. 14 are not particularly limited, but preferably have high insulation resistance and a dense film quality. Furthermore, it is preferable that the dielectric constant is high. For example, silicon oxide (SiO x ), yttrium oxide (Y 2 O 3 ), titanium oxide (TiO 2 ), aluminum oxide (Al 2 O 3 ), hafnium oxide (HfO 2 ), tantalum oxide (Ta 2 O 5 ), Barium titanate (BaTiO 3 ), strontium titanate (SrTiO 3 ), lead titanate (PbTiO 3 ), silicon nitride (Si 3 N 4 ), zirconium oxide (ZrO 2 ), etc., or a mixed layer thereof or two or more of them Lamination can be used. These insulating layers can be formed by a sputtering method, a vapor deposition method, a CVD method, or the like. The insulating layer may be formed by dispersing particles of these insulating materials in a binder. The binder material may be formed using the same material and method as the binder contained in the light emitting layer. The film thickness is not particularly limited, but is preferably in the range of 10 nm to 1000 nm.

図13、図14に示す無機EL素子は、発光層を挟持する一対の電極層間に電圧を印加することで発光が得られるが、直流駆動又は交流駆動のいずれにおいても動作することができる。   The inorganic EL elements shown in FIGS. 13 and 14 can emit light by applying a voltage between a pair of electrode layers sandwiching the light emitting layer, but can operate in either DC driving or AC driving.

本実施の形態(図12乃至図14)で示した発光素子は、上記実施の形態で示した表示装置の表示素子として具備されることができる。   The light-emitting element described in this embodiment (FIGS. 12 to 14) can be provided as the display element of the display device described in the above embodiment.

例えば、図8に示す表示装置に、図12に示す有機EL素子を適用する場合、第1の電極層7012又は第2の電極層7018は、第1の電極層8270又は第2の電極層8250に相当する。層7016は、層8260に相当する。同様に、図27に示す表示装置の場合も、第1の電極層932又は第2の電極層936は、第1の電極層8270又は第2の電極層8250に相当する。層934は、層8260に相当する。   For example, when the organic EL element illustrated in FIG. 12 is applied to the display device illustrated in FIG. 8, the first electrode layer 7012 or the second electrode layer 7018 is the first electrode layer 8270 or the second electrode layer 8250. It corresponds to. The layer 7016 corresponds to the layer 8260. Similarly, in the display device illustrated in FIG. 27, the first electrode layer 932 or the second electrode layer 936 corresponds to the first electrode layer 8270 or the second electrode layer 8250. The layer 934 corresponds to the layer 8260.

また、図8に示す表示装置に、図13、図14で示す無機EL素子を適用する場合も同様である。第1の電極層7012若しくは第2の電極層7018は、第1の電極層50若しくは第2の電極層53、又は第1の電極層60若しくは第2の電極層63に相当する。層7016は、層51若しくは層65に相当する。同様に、図27に示す表示装置の場合も、第1の電極層932若しくは第2の電極層936は、第1の電極層50若しくは第2の電極層53、又は第1の電極層60若しくは第2の電極層63に相当する。層934は、層51若しくは層65に相当する。   The same applies to the case where the inorganic EL element shown in FIGS. 13 and 14 is applied to the display device shown in FIG. The first electrode layer 7012 or the second electrode layer 7018 corresponds to the first electrode layer 50 or the second electrode layer 53, or the first electrode layer 60 or the second electrode layer 63. The layer 7016 corresponds to the layer 51 or the layer 65. Similarly, in the case of the display device illustrated in FIG. 27, the first electrode layer 932 or the second electrode layer 936 includes the first electrode layer 50 or the second electrode layer 53, or the first electrode layer 60 or This corresponds to the second electrode layer 63. The layer 934 corresponds to the layer 51 or the layer 65.

本実施の形態で示す発光素子及びトランジスタ等を接続するための開口の形成に、本発明を適用することができる。本発明を適用することで、発光素子を有する表示装置の製造工程において、スループットが向上する。   The present invention can be applied to formation of an opening for connecting the light-emitting element, the transistor, and the like described in this embodiment mode. By applying the present invention, throughput is improved in the manufacturing process of a display device having a light-emitting element.

本実施の形態は、実施の形態6乃至8と自由に組み合わせることができる。   This embodiment mode can be freely combined with Embodiment Modes 6 to 8.

(実施の形態10)
本実施の形態では、液晶表示装置について説明する。
(Embodiment 10)
In this embodiment, a liquid crystal display device is described.

図29(A)は、液晶表示装置の上面図であり、図29(B)は図29(A)の線分GHにおける断面図である。   FIG. 29A is a top view of the liquid crystal display device, and FIG. 29B is a cross-sectional view taken along line GH in FIG.

図29(A)で示すように、画素領域606、走査線駆動回路である駆動回路領域608a、走査線駆動領域である駆動回路領域608bが、シール材692によって、基板600と封止基板695との間に封止され、基板600上にICドライバによって形成された信号線駆動回路である駆動回路領域607が設けられている。画素領域606にはトランジスタ622及び容量素子623が設けられ、駆動回路領域608bにはトランジスタ620及びトランジスタ621を有する駆動回路が設けられている。基板600には、上記実施の形態と同様の基板を適用することができる。基板600として合成樹脂からなる基板を用いる場合は、他の基板と比較して耐熱温度が低いことが懸念されるが、耐熱性の高い基板を用いた作製工程の後、転置することによって採用することが可能となる。   As shown in FIG. 29A, a pixel region 606, a driving circuit region 608a which is a scanning line driving circuit, and a driving circuit region 608b which is a scanning line driving region are separated from each other with a substrate 600 and a sealing substrate 695 by a sealant 692. A driving circuit region 607 which is a signal line driving circuit which is sealed between and formed on the substrate 600 by an IC driver is provided. A transistor 622 and a capacitor 623 are provided in the pixel region 606, and a driver circuit including a transistor 620 and a transistor 621 is provided in the driver circuit region 608b. As the substrate 600, a substrate similar to that in the above embodiment can be used. When a substrate made of a synthetic resin is used as the substrate 600, there is a concern that the heat-resistant temperature is lower than that of other substrates. However, the substrate 600 is adopted by transposition after a manufacturing process using a substrate with high heat resistance. It becomes possible.

画素領域606には、下地絶縁層604a、下地絶縁層604bを介してスイッチング素子となるトランジスタ622が設けられている。本実施の形態では、トランジスタ622にマルチゲート型薄膜トランジスタを用いる。トランジスタ622は、ソース領域及びドレイン領域として機能する不純物領域を有する半導体層、ゲート絶縁層、2層の積層構造であるゲート電極層、ソース電極層及びドレイン電極層を有する。ソース電極層又はドレイン電極層は、半導体層の不純物領域と電気的に接続される。また、ソース電極層又はドレイン電極層は画素電極層630と電気的に接続される。   In the pixel region 606, a transistor 622 serving as a switching element is provided through a base insulating layer 604a and a base insulating layer 604b. In this embodiment, a multi-gate thin film transistor is used as the transistor 622. The transistor 622 includes a semiconductor layer having impurity regions functioning as a source region and a drain region, a gate insulating layer, a gate electrode layer having a two-layer structure, a source electrode layer, and a drain electrode layer. The source electrode layer or the drain electrode layer is electrically connected to the impurity region of the semiconductor layer. Further, the source electrode layer or the drain electrode layer is electrically connected to the pixel electrode layer 630.

ソース電極層又はドレイン電極層は積層構造となっている。ソース電極層又はドレイン電極層は、ゲート電極層を覆う絶縁層611、絶縁層611及びゲート絶縁層に形成された開口で、半導体層の不純物領域と接し、電気的に接続されている。   The source electrode layer or the drain electrode layer has a stacked structure. The source electrode layer or the drain electrode layer is an opening formed in the insulating layer 611, the insulating layer 611, and the gate insulating layer that covers the gate electrode layer, and is in contact with and electrically connected to the impurity region of the semiconductor layer.

半導体層の不純物領域に達する開口は、上記実施の形態1乃至5で示したように、レーザビームの照射によるアブレーションを利用して形成することができる。半導体層に吸収される第1レーザビーム及び第2レーザビームの2種類のレーザビームを重畳するように照射し、重畳して照射した領域の一部をアブレーションさせて開口を形成する。第1レーザビーム及び第2レーザビームは、半導体層に吸収されるものを用いる。2種類のレーザビームの一方(第1のレーザビーム)は、半導体層のアブレーション閾値未満のエネルギーを有し、他方のレーザビームよりも高いエネルギー密度を有するレーザビームとする。他方のレーザビーム(第2のレーザビーム)は、パルス発振のレーザビームとし、一方のレーザビームよりもパルス幅が短いレーザビームとする。2種類のレーザビームは、重畳して照射した領域でアブレーションさせることができる程度のエネルギーを有するものとする。なお、2種類のレーザビームは、単独で照射した領域はアブレーションされず、不可逆的な変化も与えない程度のエネルギーを有するものとする。適用可能なレーザの種類等の詳細については、上記実施の形態1乃至5の第1のレーザビーム及び第2のレーザビームの説明に準じる。本実施の形態では、半導体層に吸収される第1レーザビーム及び第2レーザビームの2種類のレーザビームとを重畳するように照射し、重畳して照射した領域をアブレーションさせてゲート絶縁層、絶縁層611及び絶縁層612を除去し、半導体層に達する開口を形成する。   The opening reaching the impurity region of the semiconductor layer can be formed using ablation by laser beam irradiation as described in Embodiment Modes 1 to 5. Irradiation is performed so as to superimpose two types of laser beams, a first laser beam and a second laser beam, which are absorbed by the semiconductor layer, and a part of the superimposed region is ablated to form an opening. The first laser beam and the second laser beam are absorbed by the semiconductor layer. One of the two types of laser beams (first laser beam) is a laser beam having energy lower than the ablation threshold of the semiconductor layer and having a higher energy density than the other laser beam. The other laser beam (second laser beam) is a pulsed laser beam and a laser beam having a shorter pulse width than the one laser beam. The two types of laser beams are assumed to have energy that can be ablated in a region irradiated with overlapping laser beams. Note that the two types of laser beams have such energy that the region irradiated alone is not ablated and does not give irreversible changes. The details of the applicable laser type and the like conform to the description of the first laser beam and the second laser beam in the first to fifth embodiments. In this embodiment mode, irradiation is performed so that two types of laser beams, ie, a first laser beam and a second laser beam absorbed by the semiconductor layer are superimposed, and the overlapping irradiated region is ablated to form a gate insulating layer, The insulating layer 611 and the insulating layer 612 are removed, and an opening reaching the semiconductor layer is formed.

半導体層の不純物領域に達する開口は、フォトレジストを用いてマスク層を形成し、当該マスク層を用いてエッチング加工して形成してもよい。   The opening reaching the impurity region of the semiconductor layer may be formed by forming a mask layer using a photoresist and performing etching using the mask layer.

また、ソース電極層又はドレイン電極層644a、644bは絶縁層615に形成された開口で画素電極層630と接し、電気的に接続される。絶縁層615に形成される開口は、上記実施の形態1乃至5で示したように、第1レーザビーム及び第2レーザビームの2種類のレーザビームを重畳するように照射し、重畳して照射した領域の一部をアブレーションさせて開口を形成する。詳しくは、ソース電極層又はドレイン電極層644bに吸収される2種類のレーザビームを重畳するように照射し、重畳して照射した領域をアブレーションさせ、ソース電極層又はドレイン電極層644b及び絶縁層615を除去して開口を形成することができる。2種類のレーザビームは、ソース電極層又はドレイン電極層644bに吸収されるものを用いる。また、2種類のレーザビームの一方(第1のレーザビーム)は、ソース電極層又はドレイン電極層のアブレーション閾値未満のエネルギーを有し、他方のレーザビームよりも高いエネルギー密度を有するレーザビームとする。他方のレーザビーム(第2のレーザビーム)は、パルス発振のレーザビームとし、一方のレーザビームよりもパルス幅が短いレーザビームとする。なお、2種類のレーザビームは、重畳して照射した領域でアブレーションさせることができる程度のエネルギーを有するものとし、単独で照射した領域はアブレーションされず、不可逆的な変化も生じない程度のエネルギーを有するものとする。本実施の形態は、ソース電極層又はドレイン電極層644bに比較的蒸発し易い低融点金属(本実施の形態ではクロム)を用い、ソース電極層又はドレイン電極層644aにはソース電極層又はドレイン電極層644bよりも蒸発しにくい高融点金属(本実施の形態ではタングステン)を用いる。なお、レーザビームのエネルギーや、ソース電極層又はドレイン電極層の材料を適宜選択することにより、アブレーションにより絶縁層615のみを除去して開口を形成することも可能である。もちろん、レーザアブレーションを利用せず、フォトレジストを用いてマスク層を形成し、当該マスク層を用いてエッチング加工して開口を形成してもよい。   The source or drain electrode layers 644 a and 644 b are in contact with the pixel electrode layer 630 through openings formed in the insulating layer 615 and are electrically connected to each other. As shown in Embodiment Modes 1 to 5, the opening formed in the insulating layer 615 is irradiated so that two kinds of laser beams of the first laser beam and the second laser beam are overlapped, and overlapped irradiation is performed. A part of the region is ablated to form an opening. Specifically, two types of laser beams absorbed by the source electrode layer or the drain electrode layer 644b are irradiated so as to overlap with each other, and the overlapping and irradiated regions are ablated so that the source or drain electrode layer 644b and the insulating layer 615 are ablated. Can be removed to form an opening. Two types of laser beams that are absorbed by the source electrode layer or the drain electrode layer 644b are used. One of the two types of laser beams (first laser beam) is a laser beam having energy lower than the ablation threshold of the source electrode layer or the drain electrode layer and having a higher energy density than the other laser beam. . The other laser beam (second laser beam) is a pulsed laser beam and a laser beam having a shorter pulse width than the one laser beam. Note that the two types of laser beams have energy that can be ablated in the region irradiated with overlapping, and the region irradiated alone is not ablated and has energy that does not cause irreversible changes. Shall have. In this embodiment, a low-melting point metal (chromium in this embodiment) that is relatively easily evaporated is used for the source electrode layer or the drain electrode layer 644b, and the source electrode layer or the drain electrode is used for the source electrode layer or the drain electrode layer 644a. A refractory metal (tungsten in this embodiment) that is harder to evaporate than the layer 644b is used. Note that the opening can be formed by removing only the insulating layer 615 by ablation by appropriately selecting the energy of the laser beam and the material of the source electrode layer or the drain electrode layer. Of course, without using laser ablation, a mask layer may be formed using a photoresist, and an opening may be formed by etching using the mask layer.

ソース電極層又はドレイン電極層644a、644bが露出された開口に画素電極層630を形成して、ソース電極層又はドレイン電極層644a、644bと画素電極層630が電気的に接続される。   A pixel electrode layer 630 is formed in the opening from which the source or drain electrode layers 644a and 644b are exposed, and the source or drain electrode layers 644a and 644b and the pixel electrode layer 630 are electrically connected.

薄膜トランジスタ(TFT)は、種々の方法で作製することができる。例えば、半導体層として、結晶性半導体層を適用する。結晶性半導体層上には、ゲート絶縁層を介してゲート電極層が設けられる。該ゲート電極層を用いて該結晶性半導体層へ不純物元素を添加することができる。このようにゲート電極層を用いた不純物元素の添加により、不純物元素添加のためのマスク層を形成する必要はない。ゲート電極層は、単層構造、又は積層構造とすることができる。不純物領域は、その濃度を制御することにより高濃度不純物領域及び低濃度不純物領域とすることができる。このように低濃度不純物領域を有する薄膜トランジスタを、LDD(Light doped drain)構造と呼ぶ。また低濃度不純物領域は、ゲート電極と重なるように形成することができ、このような薄膜トランジスタを、GOLD(Gate Overlaped LDD)構造と呼ぶ。また薄膜トランジスタの極性は、不純物領域にリン(P)等を用いることによりn型とする。p型とする場合は、ボロン(B)等を添加すればよい。その後、ゲート電極層等を覆う絶縁層611及び絶縁層612を形成する。絶縁層611(及び絶縁層612)に混入された水素により、結晶性半導体層のダングリングボンドを終端することができる。   Thin film transistors (TFTs) can be manufactured by various methods. For example, a crystalline semiconductor layer is used as the semiconductor layer. A gate electrode layer is provided over the crystalline semiconductor layer with a gate insulating layer interposed therebetween. An impurity element can be added to the crystalline semiconductor layer by using the gate electrode layer. Thus, it is not necessary to form a mask layer for adding an impurity element by adding the impurity element using the gate electrode layer. The gate electrode layer can have a single-layer structure or a stacked structure. The impurity region can be a high concentration impurity region and a low concentration impurity region by controlling the concentration thereof. A thin film transistor having such a low concentration impurity region is referred to as an LDD (Light Doped Drain) structure. The low-concentration impurity region can be formed so as to overlap with the gate electrode. Such a thin film transistor is referred to as a GOLD (Gate Overlapped LDD) structure. The polarity of the thin film transistor is n-type by using phosphorus (P) or the like in the impurity region. When p-type is used, boron (B) or the like may be added. After that, an insulating layer 611 and an insulating layer 612 that cover the gate electrode layer and the like are formed. A dangling bond in the crystalline semiconductor layer can be terminated by hydrogen mixed in the insulating layer 611 (and the insulating layer 612).

さらに平坦性を高めるため、層間絶縁層として絶縁層615を形成してもよい。絶縁層615は、有機絶縁材料、又は無機絶縁材料を用いて、単層構造又は積層構造で形成することができる。例えば酸化シリコン、窒化シリコン、酸化窒化シリコン、窒化酸化シリコン、窒化アルミニウム、酸化窒化アルミニウム、窒素含有量が酸素含有量よりも多い窒化酸化アルミニウムまたは酸化アルミニウム、ダイアモンドライクカーボン(DLC)、ポリシラザン、窒素含有炭素(CN)、PSG(リンガラス)、BPSG(リンボロンガラス)、アルミナ、その他の無機絶縁材料を含む物質から選ばれた材料で形成することができる。また、有機絶縁材料を用いてもよく、有機材料としては、感光性、非感光性どちらでも良く、ポリイミド、アクリル、ポリアミド、ポリイミドアミド、レジスト又はベンゾシクロブテン、シロキサン樹脂などを用いることができる。なお、シロキサン樹脂とは、Si−O−Si結合を含む樹脂に相当する。シロキサンは、シリコン(Si)と酸素(O)との結合で骨格構造が構成される。置換基として、少なくとも水素を含む有機基(例えばアルキル基、芳香族炭化水素)が用いられる。置換基として、フルオロ基を用いてもよい。または置換基として、少なくとも水素を含む有機基と、フルオロ基とを用いてもよい。   In order to further improve the flatness, an insulating layer 615 may be formed as an interlayer insulating layer. The insulating layer 615 can be formed with a single-layer structure or a stacked structure using an organic insulating material or an inorganic insulating material. For example, silicon oxide, silicon nitride, silicon oxynitride, silicon nitride oxide, aluminum nitride, aluminum oxynitride, aluminum nitride oxide or aluminum oxide whose nitrogen content is higher than oxygen content, diamond like carbon (DLC), polysilazane, nitrogen content It can be formed of a material selected from substances including carbon (CN), PSG (phosphorus glass), BPSG (phosphorus boron glass), alumina, and other inorganic insulating materials. An organic insulating material may be used, and the organic material may be either photosensitive or non-photosensitive, and polyimide, acrylic, polyamide, polyimide amide, resist, benzocyclobutene, siloxane resin, or the like can be used. Note that a siloxane resin corresponds to a resin including a Si—O—Si bond. Siloxane has a skeleton structure formed of a bond of silicon (Si) and oxygen (O). As a substituent, an organic group containing at least hydrogen (for example, an alkyl group or an aromatic hydrocarbon) is used. A fluoro group may be used as a substituent. Alternatively, an organic group containing at least hydrogen and a fluoro group may be used as a substituent.

また結晶性半導体層を用いることにより、画素領域と駆動回路領域を同一基板上に一体形成することができる。その場合、画素部のトランジスタと、駆動回路領域608bのトランジスタとは同時に形成される。駆動回路領域608bに用いるトランジスタは、CMOS回路を構成する。CMOS回路を構成する薄膜トランジスタは、GOLD構造であるが、トランジスタ622のようなLDD構造を用いることもできる。   In addition, by using a crystalline semiconductor layer, the pixel region and the driver circuit region can be formed over the same substrate. In that case, the transistor in the pixel portion and the transistor in the driver circuit region 608b are formed at the same time. Transistors used for the driver circuit region 608b constitute a CMOS circuit. Although the thin film transistor included in the CMOS circuit has a GOLD structure, an LDD structure such as the transistor 622 can also be used.

本実施の形態に限定されず、画素領域606の薄膜トランジスタはチャネル形成領域が一つ形成されるシングルゲート構造、二つ形成されるダブルゲート構造もしくは三つ形成されるトリプルゲート構造であっても良い。また、周辺駆動回路領域の薄膜トランジスタも、シングルゲート構造、ダブルゲート構造もしくはトリプルゲート構造であっても良い。   Without being limited to this embodiment mode, the thin film transistor in the pixel region 606 may have a single gate structure in which one channel formation region is formed, a double gate structure in which two channel formation regions are formed, or a triple gate structure in which three channel formation regions are formed. . The thin film transistor in the peripheral driver circuit region may have a single gate structure, a double gate structure, or a triple gate structure.

なお、本実施の形態で示した薄膜トランジスタの作製方法に限らず、トップゲート型(例えば順スタガ型)、ボトムゲート型(例えば、逆スタガ型)、あるいはチャネル領域の上下にゲート絶縁膜を介して配置された2つのゲート電極層を有する、デュアルゲート型やその他の構造においても適用できる。   Note that not only the method for manufacturing the thin film transistor described in this embodiment mode, but a top gate type (for example, a forward staggered type), a bottom gate type (for example, an inverted staggered type), or a gate insulating film above and below a channel region is used. The present invention can also be applied to a dual gate type or other structure having two gate electrode layers arranged.

次に、画素電極層630を覆うように、印刷法や液滴吐出法により、配向膜と呼ばれる絶縁層631を形成する。なお、絶縁層631は、スクリーン印刷法やオフセット印刷法を用いれば、選択的に形成することができる。その後、ラビング処理を行う。このラビング処理は液晶のモード、例えばVAモードのときには処理を行わないときがある。配向膜として機能する絶縁層633も絶縁層631と同様である。続いて、シール材692を液滴吐出法により画素を形成した周辺の領域に形成する。   Next, an insulating layer 631 called an alignment film is formed by a printing method or a droplet discharge method so as to cover the pixel electrode layer 630. Note that the insulating layer 631 can be selectively formed by a screen printing method or an offset printing method. Thereafter, a rubbing process is performed. This rubbing process may not be performed in the liquid crystal mode, for example, the VA mode. The insulating layer 633 functioning as an alignment film is similar to the insulating layer 631. Subsequently, a sealant 692 is formed in a peripheral region where pixels are formed by a droplet discharge method.

その後、配向膜として機能する絶縁層633、対向電極として機能する導電層634、カラーフィルタとして機能する着色層635、偏光子641(偏光板ともいう)、及び偏光子642が設けられた封止基板695と、TFT基板である基板600とをスペーサ637を介して貼り合わせ、その空隙に液晶層632を設ける。本実施の形態の液晶表示装置は透過型であるため、基板600の素子を有する面と反対側にも偏光子(偏光板)643を設ける。偏光子は、接着層によって基板に設けることができる。シール材にはフィラーが混入されていても良く、さらに封止基板695には、遮蔽膜(ブラックマトリクス)などが形成されていても良い。なお、カラーフィルタ等は、液晶表示装置をフルカラー表示とする場合、赤色(R)、緑色(G)、青色(B)を呈する材料から形成すればよく、モノカラー表示とする場合、着色層を無くす、もしくは少なくとも一つの色を呈する材料から形成すればよい。   After that, a sealing substrate provided with an insulating layer 633 that functions as an alignment film, a conductive layer 634 that functions as a counter electrode, a colored layer 635 that functions as a color filter, a polarizer 641 (also referred to as a polarizing plate), and a polarizer 642. 695 and a substrate 600 which is a TFT substrate are attached to each other with a spacer 637, and a liquid crystal layer 632 is provided in the gap. Since the liquid crystal display device in this embodiment is a transmissive type, a polarizer (polarizing plate) 643 is provided on the side opposite to the surface of the substrate 600 having elements. The polarizer can be provided on the substrate by an adhesive layer. A filler may be mixed in the sealing material, and a sealing film (black matrix) or the like may be formed on the sealing substrate 695. Note that the color filter or the like may be formed from a material exhibiting red (R), green (G), and blue (B) when the liquid crystal display device is set to full color display. It may be formed of a material that eliminates or exhibits at least one color.

なお、バックライトにRGBの発光ダイオード(LED)等を配置し、時分割によりカラー表示する継続加法混色法(フィールドシーケンシャル法)を採用するときには、カラーフィルタを設けない場合がある。ブラックマトリクスは、トランジスタやCMOS回路の配線による外光の反射を低減するため、トランジスタやCMOS回路と重なるように設けるとよい。なお、ブラックマトリクスは、容量素子に重なるように形成してもよい。容量素子を構成する金属膜による反射を防止することができるからである。   When a continuous additive color mixing method (field sequential method) in which RGB light emitting diodes (LEDs) are arranged in the backlight and color display is performed in a time-sharing manner, a color filter may not be provided. The black matrix is preferably provided so as to overlap with the transistor or the CMOS circuit in order to reduce reflection of external light due to the wiring of the transistor or the CMOS circuit. Note that the black matrix may be formed so as to overlap with the capacitor. This is because reflection by the metal film constituting the capacitor element can be prevented.

液晶層を形成する方法として、ディスペンサ式(滴下式)や、素子を有する基板600と封止基板695とを貼り合わせてから毛細管現象を用いて液晶を注入する注入法を用いることができる。滴下法は、注入法を適用しづらい大面積基板を扱うときに適用するとよい。   As a method for forming the liquid crystal layer, a dispenser method (dropping method) or an injection method in which liquid crystal is injected by using a capillary phenomenon after the substrate 600 having an element and the sealing substrate 695 are bonded to each other can be used. The dropping method is preferably applied when handling a large-area substrate to which it is difficult to apply the implantation method.

スペーサは数μmの粒子を散布して設ける方法でも良いが、本実施の形態では基板全面に樹脂膜を形成した後これをエッチング加工して形成する方法を採用した。このようなスペーサの材料を、スピナーで塗布した後、露光と現像処理によって所定のパターンに形成する。さらにクリーンオーブンなどで150℃乃至200℃で加熱して硬化させる。このようにして作製されるスペーサは露光と現像処理の条件によって形状を異ならせることができるが、好ましくは、スペーサの形状は柱状で頂部が平坦な形状となるようにすると、対向側の基板を合わせたときに液晶表示装置としての機械的な強度を確保することができる。形状は円錐状、角錐状などを用いることができ、特別な限定はない。   The spacer may be provided by spraying particles of several μm, but in this embodiment, a method of forming a resin film on the entire surface of the substrate and then etching it is employed. After applying such a spacer material with a spinner, it is formed into a predetermined pattern by exposure and development processing. Further, it is cured by heating at 150 ° C. to 200 ° C. in a clean oven or the like. The spacers produced in this way can have different shapes depending on the conditions of exposure and development processing, but preferably, the spacers are columnar and the top is flat, so that the opposite substrate is When combined, the mechanical strength of the liquid crystal display device can be ensured. The shape can be a conical shape, a pyramid shape or the like, and there is no particular limitation.

続いて、画素領域と電気的に接続されている端子電極層678a、678bに、異方性導電体層696を介して、接続用の配線基板であるFPC694を設ける。FPC694は、外部からの信号や電位を伝達する役目を担う。上記工程を経て、表示機能を有する液晶表示装置を作製することができる。   Subsequently, an FPC 694 which is a wiring board for connection is provided on the terminal electrode layers 678a and 678b electrically connected to the pixel region with an anisotropic conductive layer 696 interposed therebetween. The FPC 694 plays a role of transmitting an external signal or potential. Through the above steps, a liquid crystal display device having a display function can be manufactured.

なおトランジスタが有する配線層(導電層)、ゲート電極層、画素電極層630、対向電極層である導電層634は、インジウム錫酸化物(ITO)、酸化インジウムに酸化亜鉛(ZnO)を混合したIZO(indium zinc oxide)、酸化インジウムに酸化シリコン(SiO)を混合した導電材料、有機インジウム、有機スズ、酸化タングステンを含むインジウム酸化物、酸化タングステンを含むインジウム亜鉛酸化物、酸化チタンを含むインジウム酸化物、酸化チタンを含むインジウム錫酸化物、タングステン(W)、モリブデン(Mo)、ジルコニウム(Zr)、ハフニウム(Hf)、バナジウム(V)、ニオブ(Nb)、タンタル(Ta)、クロム(Cr)、コバルト(Co)、ニッケル(Ni)、チタン(Ti)、白金(Pt)、アルミニウム(Al)、銅(Cu)、銀(Ag)等の金属元素又は当該金属元素を主成分とする合金材料若しくは金属窒化物から選ぶことができる。 Note that a wiring layer (conductive layer) included in the transistor, a gate electrode layer, a pixel electrode layer 630, and a conductive layer 634 which is a counter electrode layer are indium tin oxide (ITO), indium oxide and zinc oxide (ZnO). (Indium zinc oxide), conductive material in which silicon oxide (SiO 2 ) is mixed with indium oxide, organic indium, organic tin, indium oxide containing tungsten oxide, indium zinc oxide containing tungsten oxide, indium oxide containing titanium oxide , Indium tin oxide including titanium oxide, tungsten (W), molybdenum (Mo), zirconium (Zr), hafnium (Hf), vanadium (V), niobium (Nb), tantalum (Ta), chromium (Cr) , Cobalt (Co), nickel (Ni), titanium (Ti), It can be selected from a metal element such as platinum (Pt), aluminum (Al), copper (Cu), silver (Ag), or an alloy material or metal nitride containing the metal element as a main component.

偏光板と、液晶層との間に位相差板を有した状態で積層してもよい。   You may laminate | stack in the state which had the phase difference plate between the polarizing plate and the liquid-crystal layer.

なお、本実施の形態ではTN型の液晶パネルについて示しているが、上記のプロセスは他の方式の液晶パネルに対しても同様に適用することができる。例えば、ガラス基板と平行に電界を印加して液晶を配向させる横電界方式の液晶パネルに本実施の形態を適用することができる。また、VA(Vertical Aligment)方式の液晶パネルに本実施の形態を適用することができる。   Note that although a TN liquid crystal panel is described in this embodiment mode, the above process can be similarly applied to other types of liquid crystal panels. For example, the present embodiment can be applied to a horizontal electric field type liquid crystal panel in which an electric field is applied in parallel with a glass substrate to align liquid crystals. Further, the present embodiment can be applied to a VA (Vertical Alignment) liquid crystal panel.

図17と図18は、VA型液晶パネルの画素構造を示している。図17は平面図であり、図中に示す線分IJに対応する断面構造を図18に表している。以下の説明ではこの両図を参照して説明する。   17 and 18 show the pixel structure of the VA liquid crystal panel. FIG. 17 is a plan view, and FIG. 18 shows a cross-sectional structure corresponding to the line segment IJ shown in the figure. The following description will be given with reference to both the drawings.

この画素構造は、一つの画素に複数の画素電極が有り、それぞれの画素電極にTFTが接続されている。各TFTは、異なるゲート信号で駆動されるように構成されている。すなわち、マルチドメイン設計された画素において、個々の画素電極に印加する信号を、独立して制御する構成を有している。   In this pixel structure, a single pixel has a plurality of pixel electrodes, and a TFT is connected to each pixel electrode. Each TFT is configured to be driven by a different gate signal. In other words, a multi-domain designed pixel has a configuration in which signals applied to individual pixel electrodes are controlled independently.

画素電極層1624は開口1623(コンタクトホール)により、配線層1618でTFT1628と接続している。また、画素電極層1626は開口1627(コンタクトホール)により、配線層1619でTFT1629と接続している。TFT1628のゲート電極層1602と、TFT1629のゲート電極層1603には、異なるゲート信号を与えることができるように分離されている。一方、データ線として機能する配線層1616は、TFT1628とTFT1629で共通に用いられている。   The pixel electrode layer 1624 is connected to the TFT 1628 through a wiring layer 1618 through an opening 1623 (contact hole). The pixel electrode layer 1626 is connected to the TFT 1629 through the wiring layer 1619 through an opening 1627 (contact hole). The gate electrode layer 1602 of the TFT 1628 and the gate electrode layer 1603 of the TFT 1629 are separated so that different gate signals can be given. On the other hand, the wiring layer 1616 functioning as a data line is used in common by the TFT 1628 and the TFT 1629.

開口1623、開口1627は、上記実施の形態1乃至5で示したように、レーザビームの照射によるアブレーションを利用して形成することができる。詳しくは、第1レーザビーム及び第2レーザビームの2種類のレーザビームを重畳するように照射し、重畳して照射した領域の一部をアブレーションさせて開口を形成する。2種類のレーザビームの一方(第1のレーザビーム)は、配線層のアブレーション閾値未満のエネルギーを有し、他方のレーザビームよりも高いエネルギー密度を有するレーザビームとする。他方のレーザビーム(第2のレーザビーム)は、パルス発振のレーザビームとし、一方のレーザビームよりもパルス幅が短いレーザビームとする。適用可能なレーザの種類等の詳細については、上記実施の形態1乃至5の第1のレーザビーム及び第2のレーザビームの説明に準じる。本実施の形態では、配線層1618、1619に吸収される第1レーザビーム及び第2レーザビームを重畳するように照射し、重畳して照射した領域をアブレーションさせて絶縁層1620、1622を除去して開口を形成する。2種類のレーザビームは、重畳して照射した領域でアブレーションさせることができる程度のエネルギーを有するものとする。なお、2種類のレーザビームは、単独で照射した領域はアブレーションされず、不可逆的な変化も生じない程度のエネルギーを有するものとする。ここでは、絶縁層1620、1622を除去して開口を形成したが、第1レーザビーム及び第2レーザビームのエネルギーや、配線層1618、1619を構成する材料等を適宜選択することにより、配線層1618、1619の上層部に掛かる又は貫通するように開口を形成してもよい。この場合でも、開口の側面(又は側面及び底面)で配線層1618、1619は露出するため、画素電極層1624、1626と電気的に接続されることは可能である。   The openings 1623 and 1627 can be formed by utilizing ablation by laser beam irradiation as described in Embodiment Modes 1 to 5. Specifically, two types of laser beams, a first laser beam and a second laser beam, are irradiated so as to overlap, and a part of the overlapped and irradiated region is ablated to form an opening. One of the two types of laser beams (first laser beam) is a laser beam having an energy less than the ablation threshold of the wiring layer and having a higher energy density than the other laser beam. The other laser beam (second laser beam) is a pulsed laser beam and a laser beam having a shorter pulse width than the one laser beam. The details of the applicable laser type and the like conform to the description of the first laser beam and the second laser beam in the first to fifth embodiments. In this embodiment mode, irradiation is performed so that the first laser beam and the second laser beam absorbed by the wiring layers 1618 and 1619 are superimposed, and the overlapping irradiation regions are ablated to remove the insulating layers 1620 and 1622. To form an opening. The two types of laser beams are assumed to have energy that can be ablated in a region irradiated with overlapping laser beams. Note that the two types of laser beams have such energy that the region irradiated alone is not ablated and no irreversible change occurs. Although the openings are formed by removing the insulating layers 1620 and 1622 here, the wiring layer can be selected by appropriately selecting the energy of the first laser beam and the second laser beam, the material constituting the wiring layers 1618 and 1619, and the like. You may form an opening so that it may hang over or penetrate the upper layer part of 1618, 1619. Even in this case, the wiring layers 1618 and 1619 are exposed at the side surfaces (or the side surfaces and the bottom surface) of the opening, and thus can be electrically connected to the pixel electrode layers 1624 and 1626.

画素電極層1624と画素電極層1626は、導電材料を全面に形成した後、選択的にエッチングして形成することができる。画素電極層1624と画素電極層1626の形状は異なっており、スリット1625によって分離されている。V字型に広がる画素電極層1624の外側を囲むように画素電極層1626が形成されている。画素電極層1624と画素電極層1626に印加する電圧のタイミングを、TFT1628及びTFT1629により異ならせることで、液晶の配向を制御している。対向基板1601には、遮光層1632、着色層1636、対向電極層1640が形成されている。また、着色層1636と対向電極層1640の間には平坦化層1637が形成され、液晶の配向乱れを防いでいる。図19に対向基板側の構造を示す。対向電極層1640は異なる画素間で共通化されている電極であるが、スリット1641が形成されている。このスリット1641と、画素電極層1624及び画素電極層1626側のスリット1625とを交互に咬み合うように配置することで、斜め電界を効果的に発生させて液晶の配向を制御することができる。これにより、液晶が配向する方向を場所によって異ならせることができ、視野角を広げている。   The pixel electrode layer 1624 and the pixel electrode layer 1626 can be formed by being selectively etched after a conductive material is formed over the entire surface. The pixel electrode layer 1624 and the pixel electrode layer 1626 have different shapes and are separated by a slit 1625. A pixel electrode layer 1626 is formed so as to surround the outside of the V-shaped pixel electrode layer 1624. The timing of the voltage applied to the pixel electrode layer 1624 and the pixel electrode layer 1626 is varied depending on the TFT 1628 and the TFT 1629, thereby controlling the alignment of the liquid crystal. A counter substrate 1601 is provided with a light-blocking layer 1632, a coloring layer 1636, and a counter electrode layer 1640. In addition, a planarization layer 1637 is formed between the colored layer 1636 and the counter electrode layer 1640 to prevent alignment disorder of the liquid crystal. FIG. 19 shows a structure on the counter substrate side. The counter electrode layer 1640 is a common electrode between different pixels, but a slit 1641 is formed. By arranging the slits 1641 and the slits 1625 on the pixel electrode layer 1624 side and the pixel electrode layer 1626 side to alternately engage with each other, an oblique electric field can be effectively generated to control the alignment of the liquid crystal. Thereby, the direction in which the liquid crystal is aligned can be varied depending on the location, and the viewing angle is widened.

本発明を適用することで、フォトレジストを用いたリソグラフィー工程を用いることなく、所望の領域を加工することができる。また、第1レーザビーム及び第2レーザビームの2種類のレーザビームを重畳するように照射することで、微細な開口を精度良く形成することも可能である。よって、リソグラフィー工程を削減・簡略化することが可能になり、表示装置の製造工程において、製造コストを低減させ、スループットを向上させることが可能になる。   By applying the present invention, a desired region can be processed without using a lithography process using a photoresist. In addition, by irradiating the two types of laser beams of the first laser beam and the second laser beam so as to overlap each other, a fine opening can be formed with high accuracy. Accordingly, the lithography process can be reduced and simplified, and the manufacturing cost can be reduced and the throughput can be improved in the manufacturing process of the display device.

本実施の形態は、上記の実施の形態1乃至5と自由に組み合わせることができる。   This embodiment mode can be freely combined with any of Embodiment Modes 1 to 5.

(実施の形態11)
本実施の形態では、表示素子に液晶表示素子を用いる液晶表示装置について説明する。
(Embodiment 11)
In this embodiment, a liquid crystal display device using a liquid crystal display element as a display element will be described.

図30に示す表示装置は、基板450上に、画素領域に逆スタガ型トランジスタであるトランジスタ420、画素電極層451、絶縁層452、絶縁層453、液晶層454、スペーサ481、絶縁層435、対向電極層456、カラーフィルタ458、ブラックマトリクス457、対向基板410、偏光板(偏光子)431、偏光板(偏光子)433、封止領域にシール材482、端子電極層487、異方性導電層488、FPC486が設けられている。   The display device illustrated in FIG. 30 includes a transistor 420 which is an inverted staggered transistor, a pixel electrode layer 451, an insulating layer 452, an insulating layer 453, a liquid crystal layer 454, a spacer 481, an insulating layer 435, and a pixel region. Electrode layer 456, color filter 458, black matrix 457, counter substrate 410, polarizing plate (polarizer) 431, polarizing plate (polarizer) 433, sealing material 482 in the sealing region, terminal electrode layer 487, anisotropic conductive layer 488 and FPC 486 are provided.

本実施の形態で作製されるトランジスタ420のゲート電極層、半導体層、ソース電極層、ドレイン電極層、及び画素電極層451は、上記実施の形態6、8、10等で示すように、導電材料又は半導体材料からなる材料層を形成し、当該材料層を適宜選択的にエッチングして形成することができる。   The gate electrode layer, the semiconductor layer, the source electrode layer, the drain electrode layer, and the pixel electrode layer 451 of the transistor 420 manufactured in this embodiment are formed using conductive materials as described in Embodiments 6, 8, 10, and the like. Alternatively, a material layer made of a semiconductor material can be formed, and the material layer can be selectively etched as appropriate.

本実施の形態では、チャネルを形成する半導体層として非晶質半導体層を用いている。チャネルを形成する半導体層とソース電極層又はドレイン電極層との間に設けられる一導電性を有する半導体層は、必要に応じて形成すればよい。本実施の形態では、半導体層と一導電性を有する半導体層として非晶質n型半導体層を積層する。また一導電性を有する半導体層としてn型半導体層を形成し、nチャネル型薄膜トランジスタのNMOS構造、p型半導体層を形成したpチャネル型薄膜トランジスタのPMOS構造、nチャネル型薄膜トランジスタとpチャネル型薄膜トランジスタとのCMOS構造を作製することができる。   In this embodiment mode, an amorphous semiconductor layer is used as a semiconductor layer for forming a channel. A semiconductor layer having one conductivity provided between the semiconductor layer forming the channel and the source or drain electrode layer may be formed as necessary. In this embodiment mode, an amorphous n-type semiconductor layer is stacked as a semiconductor layer and a semiconductor layer having one conductivity. In addition, an n-type semiconductor layer is formed as a semiconductor layer having one conductivity, an NMOS structure of an n-channel thin film transistor, a PMOS structure of a p-channel thin film transistor in which a p-type semiconductor layer is formed, an n-channel thin film transistor and a p-channel thin film transistor, The CMOS structure can be manufactured.

導電性を付与するために、導電性を付与する元素をドーピングによって添加し、不純物領域を半導体層に形成することで、nチャネル型トランジスタ、Pチャネル型トランジスタを形成することもできる。また、PHガスによるプラズマ処理を行うことによって、半導体層に導電性を付与してもよい。 In order to impart conductivity, an element imparting conductivity is added by doping, and an impurity region is formed in the semiconductor layer, whereby an n-channel transistor or a P-channel transistor can be formed. Alternatively, conductivity may be imparted to the semiconductor layer by performing plasma treatment with a PH 3 gas.

本実施の形態では、トランジスタ420はnチャネル型の逆スタガ型薄膜トランジスタとなっている。また、半導体層のチャネル領域上に保護層を設けたチャネル保護型の逆スタガ型薄膜トランジスタを用いることもできる。   In this embodiment, the transistor 420 is an n-channel inverted staggered thin film transistor. Alternatively, a channel-protective inverted staggered thin film transistor in which a protective layer is provided over the channel region of the semiconductor layer can be used.

次いで、バックライトユニット352の構成について説明する。バックライトユニット352は、蛍光を発する光源361として冷陰極管、熱陰極管、発光ダイオード、無機EL、有機ELが、蛍光を効率よく導光板365に導くためのランプリフレクタ362、蛍光が全反射しながら全面に光を導くための導光板365、明度のムラを低減するための拡散板366、導光板365の下に漏れた光を再利用するための反射板364を有するように構成されている。   Next, the configuration of the backlight unit 352 will be described. The backlight unit 352 includes a cold cathode tube, a hot cathode tube, a light emitting diode, an inorganic EL, and an organic EL as a light source 361 that emits fluorescence, a lamp reflector 362 that efficiently guides the fluorescence to the light guide plate 365, and total reflection of the fluorescence. However, a light guide plate 365 for guiding light to the entire surface, a diffusion plate 366 for reducing unevenness in brightness, and a reflection plate 364 for reusing light leaked under the light guide plate 365 are provided. .

バックライトユニット352には、光源361の輝度を調整するための制御回路が接続されている。制御回路からの信号供給により、光源361の輝度を制御することができる。   A control circuit for adjusting the luminance of the light source 361 is connected to the backlight unit 352. The luminance of the light source 361 can be controlled by supplying a signal from the control circuit.

トランジスタ420のソース電極層又はドレイン電極層及び画素電極層451は、絶縁層452に形成された開口で電気的に接続される。当該開口は、上記実施の形態1乃至5で示したように、レーザビームの照射によるアブレーションを利用して形成することができる。詳しくは、第1レーザビーム及び第2レーザビームの2種類のレーザビームを重畳するように照射し、重畳して照射した領域の一部をアブレーションさせて開口を形成する。2種類のレーザビームの一方(第1のレーザビーム)は、ソース電極層又はドレイン電極層のアブレーション閾値未満のエネルギーを有し、他方のレーザビームよりも高いエネルギー密度を有するレーザビームとする。他方のレーザビーム(第2のレーザビーム)は、パルス発振のレーザビームとし、一方のレーザビームよりもパルス幅が短いレーザビームとする。適用可能なレーザの種類等の詳細については、上記実施の形態1乃至5の第1のレーザビーム及び第2のレーザビームの説明に準じる。2種類のレーザビームは、トランジスタ420のソース電極層又はドレイン電極層に吸収されるものを用いる。また、2種類のレーザビームは、重畳して照射した領域でアブレーションさせることができる程度のエネルギーを有し、それぞれを単独で照射した領域はアブレーションされず、不可逆的な変化も生じない程度のエネルギーを有するものとする。本実施の形態では、第1レーザビーム及び第2レーザビームを重畳するように照射し、重畳して照射した領域をアブレーションさせ、ソース電極層又はドレイン電極層及び絶縁層452を除去して開口を形成する。開口の側面及び底面では、ソース電極層又はドレイン電極層及び一導電性を有する半導体層が露出する。レーザビームの照射によるアブレーションを利用して開口を形成する場合には、ソース電極層又はドレイン電極層に比較的蒸発し易い低融点金属(本実施の形態ではクロム)を用いることが好ましい。なお、本実施の形態では、絶縁層452及びソース電極層又はドレイン電極層を貫通するように開口を形成する例を示すが、第1レーザビーム及び第2レーザビームのエネルギーや、ソース電極層又はドレイン電極層及び絶縁層等を構成する材料を適宜選択することにより、絶縁層452のみを貫通する、又はソース電極層又はドレイン電極層の上層部に掛かるように開口を形成することも可能である。   The source or drain electrode layer and the pixel electrode layer 451 of the transistor 420 are electrically connected to each other through an opening formed in the insulating layer 452. The opening can be formed using ablation by laser beam irradiation as described in Embodiment Modes 1 to 5. Specifically, two types of laser beams, a first laser beam and a second laser beam, are irradiated so as to overlap, and a part of the overlapped and irradiated region is ablated to form an opening. One of the two types of laser beams (first laser beam) is a laser beam having energy lower than the ablation threshold of the source electrode layer or the drain electrode layer and having an energy density higher than that of the other laser beam. The other laser beam (second laser beam) is a pulsed laser beam and a laser beam having a shorter pulse width than the one laser beam. The details of the applicable laser type and the like conform to the description of the first laser beam and the second laser beam in the first to fifth embodiments. Two types of laser beams that are absorbed by the source electrode layer or the drain electrode layer of the transistor 420 are used. In addition, the two types of laser beams have such energy that they can be ablated in the overlapping irradiated region, and the region irradiated with each of them is not ablated and does not cause irreversible changes. It shall have. In this embodiment mode, the first laser beam and the second laser beam are irradiated so as to overlap with each other, the region irradiated with the overlapping is ablated, and the source electrode layer, the drain electrode layer, and the insulating layer 452 are removed to form an opening. Form. On the side and bottom surfaces of the opening, the source or drain electrode layer and the semiconductor layer having one conductivity are exposed. In the case where the opening is formed by utilizing ablation by laser beam irradiation, it is preferable to use a low-melting point metal (chromium in this embodiment) that is relatively easily evaporated in the source electrode layer or the drain electrode layer. Note that although an example in which an opening is formed so as to penetrate the insulating layer 452 and the source or drain electrode layer is described in this embodiment, the energy of the first laser beam and the second laser beam, the source electrode layer or By appropriately selecting materials constituting the drain electrode layer, the insulating layer, and the like, it is also possible to form an opening so as to penetrate only the insulating layer 452 or to cover the upper layer portion of the source electrode layer or the drain electrode layer. .

絶縁層452に形成された開口に画素電極層451を形成し、画素電極層451及びソース電極層又はドレイン電極層は電気的に接続される。   A pixel electrode layer 451 is formed in the opening formed in the insulating layer 452, and the pixel electrode layer 451 and the source or drain electrode layer are electrically connected.

本発明を適用することで、フォトレジストを用いたリソグラフィー工程を用いることなく、所望の領域を加工することができる。また、第1レーザビーム及び第2レーザビームの2種類のレーザビームを重畳するように照射することで、微細な開口を精度良く形成することも可能である。よって、リソグラフィー工程を削減・簡略化することが可能になり、表示装置の製造工程において、製造コストを低減させ、スループットを向上させることが可能になる。   By applying the present invention, a desired region can be processed without using a lithography process using a photoresist. In addition, by irradiating the two types of laser beams of the first laser beam and the second laser beam so as to overlap each other, a fine opening can be formed with high accuracy. Accordingly, the lithography process can be reduced and simplified, and the manufacturing cost can be reduced and the throughput can be improved in the manufacturing process of the display device.

本実施の形態は、実施の形態1乃至5、10と自由に組み合わせることができる。   This embodiment mode can be freely combined with Embodiment Modes 1 to 5.

(実施の形態12)
本実施の形態では、上記実施の形態と異なる表示装置の一例について説明する。
(Embodiment 12)
In this embodiment, an example of a display device which is different from the above embodiment will be described.

図20は、本発明を適用したアクティブマトリクス型の電子ペーパーを示す。なお、図20ではアクティブマトリクス型の電子ペーパーを示すが、本発明はパッシブマトリクス型の電子ぺーパーにも適用することができる。   FIG. 20 shows active matrix electronic paper to which the present invention is applied. Note that FIG. 20 illustrates active matrix electronic paper; however, the present invention can also be applied to a passive matrix electronic paper.

電子ペーパーとしてツイストボール表示方式を用いることができる。ツイストボール表示方式とは、白と黒に塗り分けられた球形粒子を第1の電極層及び第2の電極層の間に配置し、第1の電極層及び第2の電極層に電位差を生じさせての球形粒子の向きを制御することにより、表示を行う方法である。   A twist ball display system can be used as the electronic paper. In the twist ball display system, spherical particles that are separately painted in white and black are arranged between the first electrode layer and the second electrode layer, and a potential difference is generated between the first electrode layer and the second electrode layer. In this method, display is performed by controlling the orientation of the spherical particles.

トランジスタ5801は逆コプラナ型の薄膜トランジスタであり、ゲート電極層5802、ゲート絶縁層5804、配線層5805a、配線層5805b、半導体層5806を含む。配線層5805a、配線層5805bは、ソース電極層又はドレイン電極層として機能する。また配線層5805bは第1の電極層5807と絶縁層5908に形成される開口で接して電気的に接続されている。第1の電極層5807と第2の電極層5808との間には黒色領域5900a及び白色領域5900bを有し、周りが液体で満たされているキャビティ5904を含む球形粒子5809が設けられており、球形粒子5809の周囲は樹脂等の充填材5905で充填されている。   The transistor 5801 is an inverse coplanar thin film transistor and includes a gate electrode layer 5802, a gate insulating layer 5804, a wiring layer 5805a, a wiring layer 5805b, and a semiconductor layer 5806. The wiring layer 5805a and the wiring layer 5805b function as a source electrode layer or a drain electrode layer. The wiring layer 5805b is in contact with and electrically connected to the first electrode layer 5807 through an opening formed in the insulating layer 5908. Between the first electrode layer 5807 and the second electrode layer 5808, spherical particles 5809 including a cavity 5904 having a black region 5900a and a white region 5900b and being filled with a liquid are provided. The periphery of the spherical particle 5809 is filled with a filler 5905 such as a resin.

本実施の形態において、トランジスタ5801を構成するゲート電極層5802、半導体層5806、配線層5805a、5805bなどは、上記実施の形態で示すように、導電材料又は半導体材料からなる材料層を形成し、当該材料層を適宜選択的にエッチングして形成することができる。   In this embodiment, the gate electrode layer 5802, the semiconductor layer 5806, the wiring layers 5805a, 5805b, and the like included in the transistor 5801 form a material layer formed using a conductive material or a semiconductor material as described in the above embodiment mode. The material layer can be formed by being selectively etched as appropriate.

配線層5805bは絶縁層5908に形成された開口で第1の電極層5807と電気的に接続される。当該開口は、上記実施の形態1乃至5で示したように、レーザビームの照射によるアブレーションを利用して形成することができる。詳しくは、第1レーザビーム及び第2レーザビームの2種類のレーザビームを重畳するように照射し、重畳して照射した領域の一部をアブレーションさせて開口を形成する。2種類のレーザビームの一方(第1のレーザビーム)は、配線層5805bのアブレーション閾値未満のエネルギーを有し、他方のレーザビームよりも高いエネルギー密度を有するレーザビームとする。他方のレーザビーム(第2のレーザビーム)は、パルス発振のレーザビームとし、一方のレーザビームよりもパルス幅が短いレーザビームとする。2種類のレーザビームは、配線層5805bに吸収されるものを用いる。適用可能なレーザの種類等の詳細については、上記実施の形態1乃至5の第1のレーザビーム及び第2のレーザビームの説明に準じる。また、2種類のレーザビームは、重畳して照射した領域でアブレーションさせることができる程度のエネルギーを有するものとし、それぞれ単独で照射した領域はアブレーションされず、不可逆的な変化も生じない程度のエネルギーを有するものとする。本実施の形態では、配線層5805bに吸収される第1レーザビーム及び第2レーザビームを重畳するように照射し、重畳して照射した領域をアブレーションさせ、絶縁層5908及び配線層5805bを除去して開口を形成する。レーザビームの照射によるアブレーションを利用して開口を形成する場合には、配線層5805bに比較的蒸発し易い低融点金属(本実施の形態ではクロム)を用いることが好ましい。なお、本実施の形態では、絶縁層5908及び配線層5805bを貫通するように開口を形成する例を示すが、第1レーザビーム及び第2レーザビームのエネルギーや、配線層及び絶縁層等を構成する材料を適宜選択することにより、絶縁層5908のみを貫通する、又は配線層5805bの上層部に掛かるように開口を形成することも可能である。   The wiring layer 5805b is electrically connected to the first electrode layer 5807 through an opening formed in the insulating layer 5908. The opening can be formed using ablation by laser beam irradiation as described in Embodiment Modes 1 to 5. Specifically, two types of laser beams, a first laser beam and a second laser beam, are irradiated so as to overlap, and a part of the overlapped and irradiated region is ablated to form an opening. One of the two types of laser beams (first laser beam) is a laser beam having energy lower than the ablation threshold of the wiring layer 5805b and higher energy density than the other laser beam. The other laser beam (second laser beam) is a pulsed laser beam and a laser beam having a shorter pulse width than the one laser beam. Two types of laser beams that are absorbed by the wiring layer 5805b are used. The details of the applicable laser type and the like conform to the description of the first laser beam and the second laser beam in the first to fifth embodiments. In addition, the two types of laser beams have energy that can be ablated in the overlapping irradiated region, and the regions that are irradiated independently are not ablated and do not cause irreversible changes. It shall have. In this embodiment mode, irradiation is performed so that the first laser beam and the second laser beam absorbed by the wiring layer 5805b are overlapped, and the overlapped and irradiated region is ablated, and the insulating layer 5908 and the wiring layer 5805b are removed. To form an opening. In the case where an opening is formed using ablation by laser beam irradiation, it is preferable to use a low-melting-point metal (chromium in this embodiment mode) that is relatively easy to evaporate for the wiring layer 5805b. Note that although an example in which an opening is formed so as to penetrate the insulating layer 5908 and the wiring layer 5805b is described in this embodiment, the energy of the first laser beam and the second laser beam, the wiring layer, the insulating layer, and the like are formed. By appropriately selecting a material to be used, an opening can be formed so as to penetrate only the insulating layer 5908 or to cover the upper layer portion of the wiring layer 5805b.

絶縁層5908に形成された開口に第1の電極層5807を形成し、配線層5805bと第1の電極層5807は電気的に接続される。   A first electrode layer 5807 is formed in the opening formed in the insulating layer 5908, and the wiring layer 5805b and the first electrode layer 5807 are electrically connected.

レーザアブレーションを利用することで、複雑なリソグラフィー工程を行うことなく、絶縁層に開口を形成することができる。   By utilizing laser ablation, an opening can be formed in the insulating layer without performing a complicated lithography process.

また、ツイストボールの代わりに、電気泳動素子を用いることも可能である。透明な液体と、正に帯電した白い微粒子と負に帯電した黒い微粒子とを封入した直径10μm乃至200μm程度のマイクロカプセルを用いる。第1の電極層と第2の電極層との間に設けられるマイクロカプセルは、第1の電極層と第2の電極層によって、電場が与えられると、白い微粒子と、黒い微粒子が逆の方向に移動し、白または黒を表示することができる。この原理を応用した表示素子が電気泳動表示素子であり、一般的に電子ペーパーとよばれている。電気泳動表示素子は、液晶表示素子に比べて反射率が高いため、補助ライトは不要であり、また消費電力が小さく、薄暗い場所でも表示部を認識することが可能である。また、表示部に電源が供給されない場合であっても、一度表示した像を保持することが可能であるため、電波発信源から表示機能付き表示装置を遠ざけた場合であっても、表示された像を保存しておくことが可能となる。   Further, instead of the twisting ball, an electrophoretic element can be used. A microcapsule having a diameter of about 10 μm to 200 μm in which transparent liquid, positively charged white fine particles, and negatively charged black fine particles are enclosed is used. In the microcapsule provided between the first electrode layer and the second electrode layer, when an electric field is applied by the first electrode layer and the second electrode layer, the white particles and the black particles are in opposite directions. And can display white or black. A display element using this principle is an electrophoretic display element, and is generally called electronic paper. Since the electrophoretic display element has higher reflectance than the liquid crystal display element, an auxiliary light is unnecessary, power consumption is small, and the display portion can be recognized even in a dim place. In addition, even when power is not supplied to the display unit, it is possible to retain the image once displayed. Therefore, even when the display device with a display function is moved away from the radio wave source, it is displayed. The image can be stored.

トランジスタはスイッチング素子として機能し得るものであれば、どのような構成で設けてもよい。半導体層も非晶質半導体、結晶性半導体、多結晶半導体、微結晶半導体など様々な半導体を用いることができ、有機化合物を用いて有機トランジスタを形成してもよい。   The transistor may have any structure as long as it can function as a switching element. As the semiconductor layer, various semiconductors such as an amorphous semiconductor, a crystalline semiconductor, a polycrystalline semiconductor, and a microcrystalline semiconductor can be used, and an organic transistor may be formed using an organic compound.

本実施の形態では、具体的には、表示装置の構成がアクティブマトリクス型の場合に関して示すが、勿論本発明はパッシブマトリクス型の表示装置にも適用できる。   In this embodiment mode, specifically, the case where the structure of the display device is an active matrix type is shown; however, the present invention can also be applied to a passive matrix type display device.

本発明を適用することで、フォトレジストを用いたリソグラフィー工程を用いることなく、所望の領域を加工することができる。また、第1レーザビーム及び第2レーザビームの2種類のレーザビームを重畳するように照射することで、微細な開口を精度良く形成することも可能である。よって、リソグラフィー工程を削減・簡略化することが可能になり、表示装置の製造工程において、製造コストを低減させ、スループットを向上させることが可能になる。   By applying the present invention, a desired region can be processed without using a lithography process using a photoresist. In addition, by irradiating the two types of laser beams of the first laser beam and the second laser beam so as to overlap each other, a fine opening can be formed with high accuracy. Accordingly, the lithography process can be reduced and simplified, and the manufacturing cost can be reduced and the throughput can be improved in the manufacturing process of the display device.

本実施の形態は、上記の実施の形態1乃至5と自由に組み合わせることができる。   This embodiment mode can be freely combined with any of Embodiment Modes 1 to 5.

(実施の形態13)
次に、実施の形態6乃至11によって作製される表示パネルに駆動用のドライバ回路を実装する態様について説明する。
(Embodiment 13)
Next, a mode in which a driver circuit for driving is mounted on the display panel manufactured according to Embodiments 6 to 11 will be described.

まず、COG方式を採用した表示装置について、図16(A)を用いて説明する。基板2700上には、文字や画像などの情報を表示する画素部2701が設けられる。複数の駆動回路が設けられた基板を、矩形状に分断し、分断後の駆動回路(ドライバICとも表記)2751は、基板2700上に実装される。図16(A)は複数のドライバIC2751、ドライバIC2751の先にFPC2750を実装する形態を示す。また、分割する大きさを画素部の信号線側の辺の長さとほぼ同じにし、単数のドライバICに、該ドライバICの先にテープを実装してもよい。   First, a display device employing a COG method is described with reference to FIG. A pixel portion 2701 for displaying information such as characters and images is provided over the substrate 2700. A substrate provided with a plurality of drive circuits is divided into a rectangular shape, and a divided drive circuit (also referred to as a driver IC) 2751 is mounted on the substrate 2700. FIG. 16A illustrates a form in which an FPC 2750 is mounted on the tip of a plurality of driver ICs 2751 and driver ICs 2751. Further, the size to be divided may be substantially the same as the length of the side of the pixel portion on the signal line side, and a tape may be mounted on the tip of the driver IC on a single driver IC.

また、TAB方式を採用してもよく、その場合は、図16(B)で示すように複数のテープを貼り付けて、該テープにドライバICを実装すればよい。COG方式の場合と同様に、単数のテープに単数のドライバICを実装してもよく、この場合には、強度の問題から、ドライバICを固定する金属片等を一緒に貼り付けるとよい。   Alternatively, a TAB method may be employed. In that case, a plurality of tapes may be attached and driver ICs may be mounted on the tapes as shown in FIG. As in the case of the COG method, a single driver IC may be mounted on a single tape. In this case, a metal piece or the like for fixing the driver IC may be attached together due to strength problems.

これらの表示パネルに実装されるドライバICは、生産性を向上させる観点から、一辺が300mm乃至1000mm以上の矩形状の基板上に複数個作り込むとよい。   A plurality of driver ICs mounted on these display panels may be formed on a rectangular substrate having a side of 300 mm to 1000 mm or more from the viewpoint of improving productivity.

つまり、基板上に駆動回路部と入出力端子を一つのユニットとする回路パターンを複数個形成し、最後に分割して取り出せばよい。ドライバICの長辺の長さは、画素部の一辺の長さや画素ピッチを考慮して、長辺が15mm乃至80mm、短辺が1mm乃至6mmの矩形状に形成してもよいし、画素領域の一辺、又は画素部の一辺と各駆動回路の一辺とを足した長さに形成してもよい。   That is, a plurality of circuit patterns having a drive circuit portion and an input / output terminal as one unit may be formed on the substrate, and finally divided and taken out. The long side of the driver IC may be formed in a rectangular shape having a long side of 15 mm to 80 mm and a short side of 1 mm to 6 mm in consideration of the length of one side of the pixel portion and the pixel pitch. Or a length obtained by adding one side of the pixel portion and one side of each driver circuit.

ドライバICのICチップに対する外形寸法の優位性は長辺の長さにあり、長辺が15mm乃至80mmで形成されたドライバICを用いると、画素部に対応して実装するのに必要な数がICチップを用いる場合よりも少なくて済み、製造上の歩留まりを向上させることができる。また、ガラス基板上にドライバICを形成すると、母体として用いる基板の形状に限定されないので生産性を損なうことがない。これは、円形のシリコンウエハからICチップを取り出す場合と比較すると、大きな優位点である。   The advantage of the external dimensions of the driver IC over the IC chip is the length of the long side. When a driver IC having a long side of 15 mm to 80 mm is used, the number necessary for mounting corresponding to the pixel portion is obtained. This is less than when an IC chip is used, and the manufacturing yield can be improved. Further, when a driver IC is formed over a glass substrate, the shape of the substrate used as a base is not limited, and thus productivity is not impaired. This is a great advantage compared with the case where the IC chip is taken out from the circular silicon wafer.

また、図15(B)のように走査線側駆動回路3702は基板上に一体形成される場合、画素部3701の外側の領域には、信号線側の駆動回路駆動回路が形成されたドライバICが実装される。これらのドライバICは、信号線側の駆動回路である。RGBフルカラーに対応した画素領域を形成するためには、XGAクラスで信号線の本数が3072本必要であり、UXGAクラスでは4800本が必要となる。このような本数で形成された信号線は、画素部3701の端部で数ブロック毎に区分して引出線を形成し、ドライバICの出力端子のピッチに合わせて集められる。   In the case where the scan line driver circuit 3702 is formed over the substrate as shown in FIG. 15B, a driver IC in which a driver circuit driver circuit on the signal line side is formed in a region outside the pixel portion 3701. Is implemented. These driver ICs are drive circuits on the signal line side. In order to form a pixel region corresponding to RGB full color, the number of signal lines in the XGA class is 3072 and the number in the UXGA class is 4800. The signal lines formed in such a number are divided into several blocks at the end of the pixel portion 3701 to form lead lines, and are collected according to the pitch of the output terminals of the driver IC.

ドライバICは、基板上に形成された結晶性半導体により形成されることが好適であり、該結晶性半導体は連続発振のレーザビームを照射することで形成されることが好適である。従って、当該レーザビームを発生させる発振器としては、連続発振の固体レーザ又は気体レーザを用いることが好ましい。連続発振のレーザビームを用いると、結晶欠陥が少なく、大粒径の多結晶半導体層を得ることができる。このような半導体層を用いてトランジスタを作製すると、移動度や応答速度が良好なために高速駆動が可能で、従来よりも素子の動作周波数を向上させることができ、特性バラツキが少ないために高い信頼性を得ることができる。なお、さらなる動作周波数の向上を目的として、トランジスタのチャネル長方向とレーザビームの走査方向と一致させるとよい。これは、連続発振レーザビームによるレーザ結晶化工程では、トランジスタのチャネル長方向とレーザビームの基板に対する走査方向とが概ね並行(好ましくは−30度以上30度以下)であるときに、最も高い移動度が得られるためである。なおチャネル長方向とは、チャネル形成領域において、電流が流れる方向、換言すると電荷が移動する方向と一致する。このように作製したトランジスタは、結晶粒がチャネル方向に延在する多結晶半導体層によって構成される半導体層を有し、このことは結晶粒界が概ねチャネル方向に沿って形成されていることを意味する。   The driver IC is preferably formed using a crystalline semiconductor formed over a substrate, and the crystalline semiconductor is preferably formed by irradiation with a continuous wave laser beam. Therefore, it is preferable to use a continuous wave solid-state laser or a gas laser as an oscillator for generating the laser beam. When a continuous wave laser beam is used, a polycrystalline semiconductor layer having a large grain size with few crystal defects can be obtained. When a transistor is manufactured using such a semiconductor layer, high speed driving is possible because of good mobility and response speed, the operating frequency of the element can be improved as compared with the conventional technology, and there is little variation in characteristics. Reliability can be obtained. Note that for the purpose of further improving the operating frequency, the channel length direction of the transistor and the scanning direction of the laser beam are preferably matched. This is because, in the laser crystallization process using a continuous wave laser beam, the highest movement occurs when the channel length direction of the transistor and the scanning direction of the laser beam with respect to the substrate are substantially parallel (preferably between −30 degrees and 30 degrees). This is because the degree is obtained. Note that the channel length direction corresponds to the direction in which current flows in the channel formation region, in other words, the direction in which charges move. The transistor manufactured in this manner has a semiconductor layer composed of a polycrystalline semiconductor layer in which crystal grains extend in the channel direction, which means that the crystal grain boundaries are formed substantially along the channel direction. means.

レーザ結晶化を行うには、レーザビームの大幅な絞り込みを行うことが好ましく、そのレーザビームの形状(ビームスポット)の幅は、ドライバICの短辺と同じ幅の1mm以上3mm以下程度とすることがよい。また、被照射体に対して、十分に且つ効率的なエネルギー密度を確保するために、レーザビームの照射領域は、線状であることが好ましい。但し、ここでいう線状とは、厳密な意味で線を意味しているのではなく、アスペクト比の大きい長方形もしくは長楕円形を意味する。例えば、アスペクト比が2以上(好ましくは10以上10000以下)のものを指す。このように、レーザビームの形状(ビームスポット)の幅をドライバICの短辺と同じ長さとすることで、生産性を向上させた表示装置の作製方法を提供することができる。   In order to perform laser crystallization, it is preferable to significantly narrow the laser beam, and the width of the laser beam shape (beam spot) should be about 1 mm to 3 mm, which is the same width as the short side of the driver IC. Is good. In order to ensure a sufficient and efficient energy density for the irradiated object, the laser beam irradiation region is preferably linear. However, the line shape here does not mean a line in a strict sense, but means a rectangle or an ellipse having a large aspect ratio. For example, the aspect ratio is 2 or more (preferably 10 or more and 10,000 or less). In this manner, a method for manufacturing a display device with improved productivity can be provided by setting the width of the shape of the laser beam (beam spot) to the same length as the short side of the driver IC.

図16(A)、(B)のように走査線駆動回路及び信号線駆動回路の両方として、ドライバICを実装してもよい。その場合には、走査線側と信号線側で用いるドライバICの仕様を異なるものにするとよい。   As shown in FIGS. 16A and 16B, driver ICs may be mounted as both the scanning line driver circuit and the signal line driver circuit. In that case, the specifications of the driver ICs used on the scanning line side and the signal line side may be different.

画素領域は、信号線と走査線が交差してマトリクスを形成し、各交差部に対応してトランジスタが配置される。本実施の形態は、画素領域に配置されるトランジスタとして、非晶質半導体又はセミアモルファス半導体をチャネル部としたTFTを用いることを特徴とする。非晶質半導体は、プラズマCVD法やスパッタリング法等の方法により形成する。セミアモルファス半導体は、プラズマCVD法で300℃以下の温度で形成することが可能であり、例えば、外寸550mm×650mmの無アルカリガラス基板であっても、トランジスタを形成するのに必要な膜厚を短時間で形成できるという特徴を有する。このような製造技術の特徴は、大画面の表示装置を作製する上で有効である。また、セミアモルファスTFTは、SASでチャネル形成領域を構成することにより2cm/V・sec乃至10cm/V・secの電界効果移動度を得ることができる。このように、システムオンパネル化を実現した表示パネルを作製することができる。 In the pixel region, signal lines and scanning lines intersect to form a matrix, and transistors are arranged corresponding to the respective intersections. This embodiment is characterized in that a TFT having an amorphous semiconductor or a semi-amorphous semiconductor as a channel portion is used as a transistor arranged in a pixel region. The amorphous semiconductor is formed by a method such as a plasma CVD method or a sputtering method. A semi-amorphous semiconductor can be formed at a temperature of 300 ° C. or less by a plasma CVD method. For example, even a non-alkali glass substrate having an outer dimension of 550 mm × 650 mm has a film thickness necessary for forming a transistor. Can be formed in a short time. Such a feature of the manufacturing technique is effective in manufacturing a large-screen display device. Further, a semi-amorphous TFT can obtain field effect mobility of 2cm 2 / V · sec to 10cm 2 / V · sec by forming a channel formation region using a SAS. Thus, a display panel that realizes system-on-panel can be manufactured.

半導体層をSASで形成したTFTを用いることにより、走査線側駆動回路も基板上に一体形成することができ、半導体層をSASで形成したTFTを用いる場合には、走査線側駆動回路及び信号線側駆動回路の両方をドライバICを実装するとよい。   By using a TFT in which the semiconductor layer is formed of SAS, the scanning line side driver circuit can also be integrally formed on the substrate. In the case of using a TFT in which the semiconductor layer is formed of SAS, the scanning line side driver circuit and the signal are provided. A driver IC may be mounted on both the line side driver circuits.

その場合には、走査線側と信号線側で用いるドライバICの仕様を異なるものにすることが好適である。例えば、走査線側のドライバICを構成するトランジスタには30V程度の耐圧が要求されるものの、駆動周波数は100kHz以下であり、比較的高速動作は要求されない。従って、走査線側のドライバを構成するトランジスタのチャネル長(L)は十分大きく設定することが好適である。一方、信号線側のドライバICのトランジスタには、12V程度の耐圧があれば十分であるが、駆動周波数は3Vにて65MHz程度であり、高速動作が要求される。そのため、ドライバを構成するトランジスタのチャネル長などはミクロンルールで設定することが好適である。   In that case, it is preferable that the specifications of the driver ICs used on the scanning line side and the signal line side are different. For example, although a transistor constituting the driver IC on the scanning line side is required to have a withstand voltage of about 30 V, the driving frequency is 100 kHz or less and a relatively high speed operation is not required. Therefore, it is preferable to set the channel length (L) of the transistors forming the driver on the scanning line side to be sufficiently large. On the other hand, it is sufficient for the transistor of the driver IC on the signal line side to have a withstand voltage of about 12V, but the drive frequency is about 65 MHz at 3V, and high speed operation is required. Therefore, it is preferable to set the channel length and the like of the transistors constituting the driver on the micron rule.

ドライバICの実装方法は、特に限定されるものではなく、COG方法やワイヤボンディング方法、或いはTAB方法を用いることができる。   The method for mounting the driver IC is not particularly limited, and a COG method, a wire bonding method, or a TAB method can be used.

ドライバICの厚さは、対向基板と同じ厚さとすることで、両者の間の高さはほぼ同じものとなり、表示装置全体としての薄型化に寄与する。また、それぞれの基板を同じ材質のもので作製することにより、この表示装置に温度変化が生じても熱応力が発生することなく、TFTで作製された回路の特性を損なうことはない。その他にも、本実施形態で示すようにICチップよりも長尺のドライバICで駆動回路を実装することにより、1つの画素領域に対して、実装されるドライバICの個数を減らすことができる。   By setting the thickness of the driver IC to be the same as that of the counter substrate, the height between the two becomes substantially the same, which contributes to the reduction in thickness of the entire display device. In addition, since each substrate is made of the same material, thermal stress is not generated even when a temperature change occurs in the display device, and the characteristics of a circuit made of TFTs are not impaired. In addition, the number of driver ICs to be mounted in one pixel region can be reduced by mounting the drive circuit with a driver IC that is longer than the IC chip as shown in this embodiment.

以上のようにして、表示パネルに駆動回路を組み入れることができる。   As described above, a driver circuit can be incorporated in the display panel.

(実施の形態14)
実施の形態6乃至11によって作製される表示パネル(EL表示パネル、液晶表示パネル)において、半導体層を非晶質半導体、又はSASで形成し、走査線側の駆動回路を基板上に形成する例を示す。
(Embodiment 14)
Examples of display panels (EL display panels and liquid crystal display panels) manufactured according to Embodiments 6 to 11 in which a semiconductor layer is formed using an amorphous semiconductor or SAS and a driver circuit on the scan line side is formed over a substrate. Indicates.

図22は、1cm/V・sec乃至15cm/V・secの電界効果移動度が得られるSASを使ったnチャネル型のTFTで構成する走査線側駆動回路のブロック図を示している。 FIG. 22 is a block diagram of a scanning line side driver circuit including n-channel TFTs using SAS that can obtain a field effect mobility of 1 cm 2 / V · sec to 15 cm 2 / V · sec.

図22において8500で示すブロックが1段分のサンプリングパルスを出力するパルス出力回路に相当し、シフトレジスタはn個のパルス出力回路により構成される。8501はバッファ回路であり、その先に画素8502が接続される。   In FIG. 22, a block denoted by reference numeral 8500 corresponds to a pulse output circuit that outputs a sampling pulse for one stage, and the shift register includes n pulse output circuits. Reference numeral 8501 denotes a buffer circuit to which a pixel 8502 is connected.

図23は、パルス出力回路8500の具体的な構成を示したものであり、nチャネル型のTFT8601、TFT8602、TFT8603、TFT8604、TFT8605、TFT8606、TFT8607、TFT8608、TFT8609、TFT8610、TFT8611、TFT8612、TFT8613で回路が構成されている。このとき、SASを使ったnチャネル型のTFTの動作特性を考慮して、TFTのサイズを決定すれば良い。例えば、チャネル長を8μmとすると、チャネル幅は10μm乃至80μmの範囲で設定することができる。   FIG. 23 shows a specific structure of the pulse output circuit 8500, which includes an n-channel TFT 8601, TFT 8602, TFT 8603, TFT 8604, TFT 8605, TFT 8606, TFT 8607, TFT 8608, TFT 8609, TFT 8610, TFT 8611, TFT 8612, and TFT 8613. A circuit is configured. At this time, the size of the TFT may be determined in consideration of the operating characteristics of the n-channel TFT using SAS. For example, when the channel length is 8 μm, the channel width can be set in the range of 10 μm to 80 μm.

また、バッファ回路8501の具体的な構成を図24に示す。バッファ回路も同様にnチャネル型のTFT8620、TFT8621、TFT8622、TFT8623、TFT8624、TFT8625、TFT8626、TFT8627、TFT8628、TFT8629、TFT8630、TFT8631、TFT8632、TFT8633、TFT8634、TFT8635で構成されている。このとき、SASを使ったnチャネル型のTFTの動作特性を考慮して、TFTのサイズを決定すれば良い。例えば、チャネル長を10μmとすると、チャネル幅は10μm乃至1800μmの範囲で設定することとなる。   A specific structure of the buffer circuit 8501 is shown in FIG. Similarly, the buffer circuit includes n-channel TFTs 8620, TFT 8621, TFT 8622, TFT 8623, TFT 8624, TFT 8625, TFT 8626, TFT 8627, TFT 8628, TFT 8629, TFT 8630, TFT 8631, TFT 8632, TFT 8633, TFT 8634, and TFT 8635. At this time, the size of the TFT may be determined in consideration of the operating characteristics of the n-channel TFT using SAS. For example, if the channel length is 10 μm, the channel width is set in the range of 10 μm to 1800 μm.

このような回路を実現するには、TFT相互を配線によって接続する必要がある。   In order to realize such a circuit, it is necessary to connect the TFTs by wiring.

以上のようにして、表示パネルに駆動回路を組み入れることができる。   As described above, a driver circuit can be incorporated in the display panel.

(実施の形態15)
本実施の形態を図28を用いて説明する。図28は、本発明を適用して作製されるTFT基板2800を用いてEL表示モジュールを構成する一例を示している。図28において、TFT基板2800上には、画素により構成された画素部が形成されている。
(Embodiment 15)
This embodiment will be described with reference to FIG. FIG. 28 shows an example in which an EL display module is formed using a TFT substrate 2800 manufactured by applying the present invention. In FIG. 28, a pixel portion including pixels is formed over the TFT substrate 2800.

図28では、画素部の外側であって、駆動回路と画素との間に、画素に形成されたものと同様なTFT又はそのTFTのゲート電極層とソース電極層若しくはドレイン電極層の一方とを接続してダイオードと同様に動作させた保護回路部2801が備えられている。駆動回路2809は、単結晶半導体で形成されたドライバIC、ガラス基板上に多結晶半導体層で形成されたスティックドライバIC、若しくはSASで形成された駆動回路などが適用されている。   In FIG. 28, outside the pixel portion and between the driver circuit and the pixel, a TFT similar to that formed in the pixel or a gate electrode layer of the TFT and one of the source electrode layer or the drain electrode layer is provided. A protection circuit portion 2801 that is connected and operated in the same manner as a diode is provided. As the driver circuit 2809, a driver IC formed of a single crystal semiconductor, a stick driver IC formed of a polycrystalline semiconductor layer over a glass substrate, a driver circuit formed of SAS, or the like is applied.

TFT基板2800は、液滴吐出法で形成されたスペーサ2806a、スペーサ2806bを介して封止基板2820と固着されている。スペーサは、基板の厚さが薄く、また画素部の面積が大型化した場合にも、2枚の基板の間隔を一定に保つために設けておくことが好ましい。TFT2802、TFT2803とそれぞれ接続する発光素子2804、発光素子2805上であって、TFT基板2800と封止基板2820との間にある空隙には少なくとも可視領域の光に対して透光性を有する樹脂材料を充填して固体化しても良いし、無水化した窒素若しくは不活性気体を充填させても良い。   The TFT substrate 2800 is fixed to the sealing substrate 2820 through spacers 2806a and 2806b formed by a droplet discharge method. The spacer is preferably provided to keep the distance between the two substrates constant even when the substrate is thin and the area of the pixel portion is increased. Resin material having light-transmitting property at least in the visible region in the gap between the TFT substrate 2800 and the sealing substrate 2820 on the light-emitting element 2804 and the light-emitting element 2805 connected to the TFT 2802 and the TFT 2803, respectively. May be solidified by filling, or may be filled with anhydrous nitrogen or inert gas.

図28では発光素子2804、発光素子2805を上方放射型(トップエミッション型)の構成とした場合を示し、図中に示す矢印の方向に光を放射する構成としている。各画素は、画素を赤色、緑色、青色として発光色を異ならせておくことで、多色表示を行うことができる。また、このとき封止基板2820側に各色に対応した着色層2807a、着色層2807b、着色層2807cを形成しておくことで、外部に放射される発光の色純度を高めることができる。また、画素を白色発光素子として着色層2807a、着色層2807b、着色層2807cと組み合わせても良い。   FIG. 28 shows a case where the light-emitting element 2804 and the light-emitting element 2805 are configured as an upward emission type (top emission type), in which light is emitted in the direction of the arrow shown in the drawing. Each pixel can perform multicolor display by changing the emission color of the pixels to red, green, and blue. At this time, by forming the colored layer 2807a, the colored layer 2807b, and the colored layer 2807c corresponding to each color on the sealing substrate 2820 side, the color purity of the emitted light can be increased. Alternatively, the pixel may be combined with a colored layer 2807a, a colored layer 2807b, or a colored layer 2807c as a white light emitting element.

外部回路である駆動回路2809は、外部回路基板2811の一端に設けられた走査線若しくは信号線接続端子と、配線基板2810で接続される。また、TFT基板2800に接して若しくは近接させて、熱を機器の外部へ伝えるために使われる、パイプ状の高効率な熱伝導デバイスであるヒートパイプ2813と放熱板2812を設け、放熱効果を高める構成としても良い。   A driver circuit 2809 which is an external circuit is connected to a scanning line or a signal line connection terminal provided at one end of the external circuit board 2811 through a wiring board 2810. Further, a heat pipe 2813 and a heat radiating plate 2812 which are pipe-like high-efficiency heat conduction devices used for transferring heat to the outside of the device in contact with or close to the TFT substrate 2800 are provided to enhance the heat radiation effect. It is good also as a structure.

なお、図28では、トップエミッショ型のEL表示モジュールとしたが、発光素子の構成や外部回路基板の配置を変えてボトムエミッション構造、もちろん上面、下面両方から光が放射する両方放射構造としても良い。トップエミッション型の構成の場合、隔壁となる絶縁層を着色しブラックマトリクスとして用いてもよい。この隔壁は液滴吐出法により形成することができ、ポリイミドなどの樹脂材料に、顔料系の黒色樹脂やカーボンブラック等を混合させて形成すればよく、その積層でもよい。   In FIG. 28, the top emission type EL display module is used. However, the configuration of the light emitting element and the arrangement of the external circuit board may be changed to have a bottom emission structure, and of course, a dual emission structure in which light is emitted from both the top and bottom surfaces. . In the case of a top emission type structure, an insulating layer serving as a partition wall may be colored and used as a black matrix. The partition walls can be formed by a droplet discharge method, and may be formed by mixing a resin material such as polyimide with a pigment-based black resin, carbon black, or the like, or may be a laminate thereof.

また、EL表示モジュールは、位相差板や偏光板を用いて、外部から入射する光の反射光を遮断するようにしてもよい。また上方放射型の表示装置ならば、隔壁となる絶縁層を着色しブラックマトリクスとして用いてもよい。この隔壁は液滴吐出法などによっても形成することができ、顔料系の黒色樹脂や、ポリイミドなどの樹脂材料に、カーボンブラック等を混合させてもよく、その積層でもよい。液滴吐出法によって、異なった材料を同領域に複数回吐出し、隔壁を形成してもよい。位相差板、位相差板としてはλ/4板とλ/2板とを用い、光を制御できるように設計すればよい。構成としては、TFT素子基板側から順に、発光素子、封止基板(封止材)、位相差板、位相差板(λ/4板、λ/2板)、偏光板という構成になり、発光素子から放射された光は、これらを通過し偏光板側より外部に放射される。この位相差板や偏光板は光が放射される側に設置すればよく、両方放射される両方放射型の表示装置であれば両方に設置することもできる。また、偏光板の外側に反射防止膜を有していても良い。これにより、より高繊細で精密な画像を表示することができる。   In addition, the EL display module may block reflected light of light incident from the outside using a retardation plate or a polarizing plate. In the case of an upward emission display device, an insulating layer serving as a partition wall may be colored and used as a black matrix. This partition wall can also be formed by a droplet discharge method or the like. Carbon black or the like may be mixed with a pigment-based black resin or a resin material such as polyimide, or may be laminated. A different material may be discharged to the same region a plurality of times by a droplet discharge method to form a partition wall. As the phase difference plate and the phase difference plate, a λ / 4 plate and a λ / 2 plate may be used and designed so that light can be controlled. As a structure, it becomes a structure called a light emitting element, a sealing substrate (sealing material), a phase difference plate, a phase difference plate (λ / 4 plate, λ / 2 plate), and a polarizing plate in order from the TFT element substrate side. The light emitted from the element passes through them and is emitted to the outside from the polarizing plate side. The retardation plate and the polarizing plate may be installed on the side from which light is emitted, and may be installed on both in the case of a dual emission type display device that emits both. Further, an antireflection film may be provided outside the polarizing plate. This makes it possible to display a higher-definition and precise image.

TFT基板2800において、画素部が形成された側にシール材や接着性の樹脂を用いて樹脂フィルムを貼り付けて封止構造を形成してもよい。本実施の形態では、ガラス基板を用いるガラス封止を示したが、樹脂による樹脂封止、プラスチックによるプラスチック封止、フィルムによるフィルム封止、など様々な封止方法を用いることができる。樹脂フィルムの表面には水蒸気の透過を防止するガスバリア膜を設けておくと良い。フィルム封止構造とすることで、さらなる薄型化及び軽量化を図ることができる。   In the TFT substrate 2800, a sealing structure may be formed by attaching a resin film to the side where the pixel portion is formed using a sealing material or an adhesive resin. Although glass sealing using a glass substrate is described in this embodiment mode, various sealing methods such as resin sealing using a resin, plastic sealing using a plastic, and film sealing using a film can be used. A gas barrier film for preventing the permeation of water vapor may be provided on the surface of the resin film. By adopting a film sealing structure, further reduction in thickness and weight can be achieved.

本発明を適用して作製したTFT基板等を備えた表示装置は、工程が一部簡略化し、その製造においてスループットが向上する。したがって、量産性良く表示モジュールを製造することが可能になる。   In a display device including a TFT substrate or the like manufactured by applying the present invention, a part of the process is simplified, and the throughput is improved in manufacturing the display device. Therefore, a display module can be manufactured with high productivity.

本実施の形態は、実施の形態1乃至9、実施の形態13、14と自由に組み合わせることができる。   This embodiment mode can be freely combined with any of Embodiment Modes 1 to 9 and Embodiments 13 and 14.

(実施の形態16)
本実施の形態を図31(A)及び図31(B)を用いて説明する。図31(A)、図31(B)は、本発明を適用して作製されるTFT基板2600を用いて液晶表示モジュールを構成する一例を示している。
(Embodiment 16)
This embodiment will be described with reference to FIGS. 31A and 31B. FIG. 31A and FIG. 31B illustrate an example in which a liquid crystal display module is formed using a TFT substrate 2600 manufactured by applying the present invention.

図31(A)は液晶表示モジュールの一例であり、TFT基板2600と対向基板2601がシール材2602により固着され、その間に画素部2603と液晶層2604が設けられ表示領域を形成している。着色層2605はカラー表示を行う場合に必要であり、RGB方式の場合は、赤、緑、青の各色に対応した着色層が各画素に対応して設けられている。TFT基板2600と対向基板2601の外側には偏光板2606、2607、拡散板2613が配設されている。光源は冷陰極管2610と反射板2611により構成され、回路基板2612は、フレキシブル配線基板2609によりTFT基板2600と接続され、コントロール回路や電源回路などの外部回路が組みこまれている。また偏光板と、液晶層との間に位相差板を有した状態で積層してもよい。   FIG. 31A illustrates an example of a liquid crystal display module. A TFT substrate 2600 and a counter substrate 2601 are fixed to each other with a sealant 2602, and a pixel portion 2603 and a liquid crystal layer 2604 are provided therebetween to form a display region. The colored layer 2605 is necessary for color display. In the case of the RGB method, a colored layer corresponding to each color of red, green, and blue is provided corresponding to each pixel. Polarizing plates 2606 and 2607 and a diffusion plate 2613 are disposed outside the TFT substrate 2600 and the counter substrate 2601. The light source is composed of a cold cathode tube 2610 and a reflection plate 2611. The circuit board 2612 is connected to the TFT substrate 2600 by a flexible wiring board 2609, and an external circuit such as a control circuit or a power supply circuit is incorporated. Moreover, you may laminate | stack in the state which had the phase difference plate between the polarizing plate and the liquid-crystal layer.

液晶表示モジュールには、TN(Twisted Nematic)モード、IPS(In−Plane−Switching)モード、FFS(Fringe Field Switching)モード、MVA(Multi−domain Vertical Alignment)モード、PVA(Patterned Vertical Alignment)モード、ASM(Axially Symmetric aligned Micro−cell)モード、OCB(Optical Compensated Birefringence)モード、FLC(Ferroelectric Liquid Crystal)モード、AFLC(AntiFerroelectric Liquid Crystal)モードなどを用いることができる。   The liquid crystal display module includes a TN (Twisted Nematic) mode, an IPS (In-Plane-Switching) mode, an FFS (Fringe Field Switching) mode, an MVA (Multi-domain Vertical Alignment) mode, and a PVA (Pattern Vertical Alignment) mode. (Axial Symmetrical Aligned Micro-cell) mode, OCB (Optical Compensated Birefringence) mode, FLC (Ferroelectric Liquid Crystal) mode, AFLC (Anti-Ferroelectric Crystal) mode, etc. It is possible to have.

図31(B)は図31(A)の液晶表示モジュールにOCBモードを適用した一例であり、FS−LCD(Field sequential−LCD)となっている。FS−LCDは、1フレーム期間に赤色発光と緑色発光と青色発光をそれぞれ行うものであり、時間分割を用いて画像を合成しカラー表示を行うことが可能である。また、各発光を発光ダイオードまたは冷陰極管等で行うので、カラーフィルタが不要である。よって、3原色のカラーフィルタを並べ、各色の表示領域を限定する必要がなく、どの領域でも3色全ての表示を行うことができる。一方、1フレーム期間に3色の発光を行うため、液晶の高速な応答が求められる。本発明の表示装置に、FS方式を用いたFLCモード、及びOCBモードを適用し、高性能で高画質な表示装置、また液晶テレビジョン装置を完成させることができる。   FIG. 31B is an example in which the OCB mode is applied to the liquid crystal display module of FIG. 31A, and is an FS-LCD (Field sequential-LCD). The FS-LCD emits red light, green light, and blue light in one frame period, and can perform color display by combining images using time division. Further, since each light emission is performed by a light emitting diode or a cold cathode tube, a color filter is unnecessary. Therefore, it is not necessary to arrange the color filters of the three primary colors and limit the display area of each color, and it is possible to display all three colors in any area. On the other hand, since three colors of light are emitted in one frame period, a high-speed response of the liquid crystal is required. By applying the FLC mode using the FS method and the OCB mode to the display device of the present invention, a high-performance and high-quality display device and a liquid crystal television device can be completed.

OCBモードの液晶層は、いわゆるπセル構造を有している。πセル構造とは、液晶分子のプレチルト角がアクティブマトリクス基板と対向基板との基板間の中心面に対して面対称の関係で配向された構造である。πセル構造の配向状態は、基板間に電圧が印加されていない時はスプレイ配向となり、電圧を印加するとベンド配向に移行する。このベンド配向が白表示となる。さらに電圧を印加するとベンド配向の液晶分子が両基板と垂直に配向し、光が透過しない状態となる。なお、OCBモードにすると、従来のTNモードより約10倍速い高速応答性を実現できる。   The liquid crystal layer in the OCB mode has a so-called π cell structure. The π cell structure is a structure in which the pretilt angles of liquid crystal molecules are aligned in a plane-symmetric relationship with respect to the center plane between the active matrix substrate and the counter substrate. The alignment state of the π cell structure is splay alignment when no voltage is applied between the substrates, and shifts to bend alignment when a voltage is applied. This bend orientation is white. When a voltage is further applied, the bend-aligned liquid crystal molecules are aligned perpendicularly to both substrates, and light is not transmitted. In the OCB mode, high-speed response that is about 10 times faster than the conventional TN mode can be realized.

また、FS方式に対応するモードとして、高速動作が可能な強誘電性液晶(FLC:Ferroelectric Liquid Crystal)を用いたHV(Half V)−FLC、SS(Surface Stabilized)−FLCなども用いることができる。OCBモードは粘度の比較的低いネマチック液晶を用い、HV−FLC、SS−FLCには、強誘電相を有するスメクチック液晶を用いることができる。   Further, as a mode corresponding to the FS method, HV (Half V) -FLC using a ferroelectric liquid crystal (FLC) capable of high-speed operation, SS (Surface Stabilized) -FLC, or the like can be used. . A nematic liquid crystal having a relatively low viscosity is used for the OCB mode, and a smectic liquid crystal having a ferroelectric phase can be used for HV-FLC and SS-FLC.

また、液晶表示モジュールの高速光学応答速度は、液晶表示モジュールのセルギャップを狭くすることで高速化する。また液晶材料の粘度を下げることでも高速化できる。上記高速化は、TNモードの液晶表示モジュールの画素領域の画素ピッチが30μm以下の場合に、より効果的である。また、印加電圧を一瞬だけ高く(または低く)するオーバードライブ法により、より高速化が可能である。   In addition, the high-speed optical response speed of the liquid crystal display module is increased by narrowing the cell gap of the liquid crystal display module. The speed can also be increased by reducing the viscosity of the liquid crystal material. The increase in speed is more effective when the pixel pitch of the pixel region of the TN mode liquid crystal display module is 30 μm or less. Further, the speed can be further increased by the overdrive method in which the applied voltage is increased (or decreased) for a moment.

図31(B)の液晶表示モジュールは透過型の液晶表示モジュールを示しており、光源として赤色光源2910a、緑色光源2910b、青色光源2910cが設けられている。光源は赤色光源2910a、緑色光源2910b、青色光源2910cのそれぞれオンオフを制御するために、制御部2912が設置されている。制御部2912によって、各色の発光は制御され、液晶に光は入射し、時間分割を用いて画像を合成し、カラー表示が行われる。   The liquid crystal display module in FIG. 31B is a transmissive liquid crystal display module, and is provided with a red light source 2910a, a green light source 2910b, and a blue light source 2910c as light sources. The light source is provided with a controller 2912 for controlling on / off of the red light source 2910a, the green light source 2910b, and the blue light source 2910c. The light emission of each color is controlled by the control unit 2912, light enters the liquid crystal, an image is synthesized using time division, and color display is performed.

以上に示す液晶表示モジュールは、TFT基板2600の作製に本発明を適用することができる。また、本発明を適用して、TFT基板2600と画素部等を接続するための開口を形成することができる。したがって、一部工程を簡略化することができ、スループットも向上するため、量産性良く製造することができる。   The liquid crystal display module described above can apply the present invention to the manufacture of the TFT substrate 2600. In addition, by applying the present invention, an opening for connecting the TFT substrate 2600 to a pixel portion or the like can be formed. Accordingly, part of the steps can be simplified and the throughput can be improved, so that it can be manufactured with high productivity.

本実施の形態は、実施の形態1乃至5、実施の形態7、10、11、13、14と自由に組み合わせて用いることが可能である。   This embodiment mode can be freely combined with Embodiment Modes 1 to 5 and Embodiments 7, 10, 11, 13, and 14.

(実施の形態17)
本発明によって形成される表示装置によって、テレビジョン装置(単にテレビ、又はテレビジョン受信機ともよぶ)を完成させることができる。図21はテレビジョン装置の主要な構成を示すブロック図を示している。
(Embodiment 17)
With the display device formed according to the present invention, a television device (also simply referred to as a television or a television receiver) can be completed. FIG. 21 is a block diagram illustrating a main configuration of the television device.

本実施の形態に係るテレビジョン装置を構成する表示パネルは、画素部9011、信号線側駆動回路9012、走査線側駆動回路9013で構成される。該表示パネルにおいて、信号線側駆動回路9012及び走査線側駆動回路9013は、図15(A)で示すように外付けの駆動回路としてもよいし、図16(A)に示すようにCOG方式により別途ドライバICとして実装してもよいし、図16(B)に示すようにTAB方式によりドライバICとして実装してもよい。また、図15(B)に示すように走査線側駆動回路をTFTで形成して基板上に画素部と一体形成してもよいし、図15(C)に示すように信号線側駆動回路及び走査線側駆動回路をTFTで形成して基板上に画素部と一体形成してもよい。図15、図16の詳細な説明は、上記実施の形態で説明したので、ここでは省略する。   A display panel included in the television device according to this embodiment includes a pixel portion 9011, a signal line side driver circuit 9012, and a scan line side driver circuit 9013. In the display panel, the signal line side driver circuit 9012 and the scan line side driver circuit 9013 may be external driver circuits as shown in FIG. 15A or COG type as shown in FIG. May be separately mounted as a driver IC, or may be mounted as a driver IC by the TAB method as shown in FIG. Further, the scanning line side driver circuit may be formed of TFTs as shown in FIG. 15B and formed integrally with the pixel portion on the substrate, or the signal line side driver circuit as shown in FIG. 15C. Alternatively, the scanning line side driver circuit may be formed of TFTs and formed integrally with the pixel portion on the substrate. The detailed description of FIGS. 15 and 16 has been described in the above embodiment, and is omitted here.

図21において、その他の外部回路の構成として、映像信号の入力側では、チューナ9014で受信した信号のうち、映像信号を増幅する映像信号増幅回路9015と、そこから出力される信号を赤、緑、青の各色に対応した色信号に変換する映像信号処理回路9016と、その映像信号をドライバICの入力仕様に変換するためのコントロール回路9017などからなっている。コントロール回路9017は、走査線側と信号線側にそれぞれ信号が出力する。デジタル駆動する場合には、信号線側に信号分割回路9018を設け、入力デジタル信号をm個に分割して供給する構成としても良い。   In FIG. 21, as the configuration of other external circuits, on the video signal input side, among the signals received by the tuner 9014, the video signal amplification circuit 9015 for amplifying the video signal and the signal output therefrom are red, green The video signal processing circuit 9016 converts the color signal into a color signal corresponding to each blue color, and the control circuit 9017 converts the video signal into the input specifications of the driver IC. The control circuit 9017 outputs a signal to each of the scanning line side and the signal line side. In the case of digital driving, a signal dividing circuit 9018 may be provided on the signal line side so that an input digital signal is divided into m pieces and supplied.

チューナ9014で受信した信号のうち、音声信号は、音声信号増幅回路9019に送られ、その出力は音声信号処理回路9110を経てスピーカー9113に供給される。制御回路9111は受信局(受信周波数)や音量の制御情報を入力部9112から受け、チューナ9014や音声信号処理回路9110に信号を送出する。   Of the signals received by the tuner 9014, the audio signal is sent to the audio signal amplifier circuit 9019, and the output is supplied to the speaker 9113 through the audio signal processing circuit 9110. The control circuit 9111 receives control information on the receiving station (reception frequency) and volume from the input unit 9112, and sends a signal to the tuner 9014 and the audio signal processing circuit 9110.

これらの表示モジュールを、図25(A)、(B)に示すように、筐体に組みこんで、テレビジョン装置を完成させることができる。表示モジュールとして液晶表示モジュールを用いれば液晶テレビジョン装置、EL表示モジュールを用いればELテレビジョン装置、またプラズマテレビジョン、電子ぺーパーなども作製することができる。図25(A)において、表示モジュールにより主画面2403が形成され、その他付属設備としてスピーカー部2409、操作スイッチなどが備えられている。このように、本発明によりテレビジョン装置を完成させることができる。   As shown in FIGS. 25A and 25B, these display modules can be incorporated into a housing to complete a television device. If a liquid crystal display module is used as the display module, a liquid crystal television device can be manufactured. If an EL display module is used, an EL television device, a plasma television, an electronic paper, or the like can be manufactured. In FIG. 25A, a main screen 2403 is formed by a display module, and a speaker portion 2409, operation switches, and the like are provided as accessory equipment. Thus, a television device can be completed according to the present invention.

図25(A)において、筐体2401に表示用パネル2402が組みこまれ、受信機2405により一般のテレビ放送の受信をはじめ、モデム2404を介して有線又は無線による通信ネットワークに接続することにより一方向(送信者から受信者)又は双方向(送信者と受信者間、又は受信者間同士)の情報通信をすることもできる。テレビジョン装置の操作は、筐体に組みこまれたスイッチ又は別体のリモコン装置2406により行うことが可能であり、このリモコン装置にも出力する情報を表示する表示部2407が設けられていても良い。   In FIG. 25A, a display panel 2402 is incorporated in a housing 2401, and by receiving a general television broadcast by a receiver 2405, it is connected to a wired or wireless communication network through a modem 2404. Information communication can be performed in the direction (from the sender to the receiver) or in both directions (between the sender and the receiver, or between the receivers). The television device can be operated by a switch incorporated in the housing or a separate remote control device 2406. Even if this remote control device is provided with a display portion 2407 for displaying information to be output. good.

また、テレビジョン装置にも、主画面2403の他にサブ画面2408を第2の表示用パネルで形成し、チャネルや音量などを表示する構成が付加されていても良い。この構成において、主画面2403及びサブ画面2408を本発明の液晶表示用パネル又はEL表示用パネルで形成しても良いし、主画面2403を視野角の優れたEL表示用パネルで形成し、サブ画面を低消費電力で表示可能な液晶表示用パネルで形成しても良い。また、低消費電力化を優先させるためには、主画面2403を液晶表示用パネルで形成し、サブ画面をEL表示用パネルで形成し、サブ画面は点滅可能とする構成としても良い。本発明を用いると、このような大面積基板を用いて、多くのTFTや電子部品を用いても、製造コストを抑えて表示装置を製造することができる。   In addition, the television device may have a configuration in which a sub screen 2408 is formed using the second display panel in addition to the main screen 2403 to display a channel, a volume, and the like. In this structure, the main screen 2403 and the sub screen 2408 may be formed of the liquid crystal display panel or the EL display panel of the present invention, and the main screen 2403 is formed of an EL display panel having an excellent viewing angle. The screen may be formed of a liquid crystal display panel that can display with low power consumption. In order to prioritize low power consumption, the main screen 2403 may be formed of a liquid crystal display panel, the sub screen may be formed of an EL display panel, and the sub screen may be blinkable. When the present invention is used, a display device can be manufactured at a low manufacturing cost even when a large area substrate is used and a large number of TFTs and electronic components are used.

図25(B)は例えば20インチ〜80インチの大型の表示部を有するテレビジョン装置であり、筐体2410、表示部2411、操作部であるリモコン装置2412、スピーカー部2413等を含む。本発明は、表示部2411の作製に適用される。図25(B)のテレビジョン装置は、壁かけ型となっており、設置するスペースを広く必要としない。   FIG. 25B illustrates a television device having a large display portion of 20 inches to 80 inches, for example, which includes a housing 2410, a display portion 2411, a remote control device 2412 that is an operation portion, a speaker portion 2413, and the like. The present invention is applied to manufacturing the display portion 2411. The television device in FIG. 25B is a wall-hanging type and does not require a large installation space.

勿論、本発明はテレビジョン装置に限定されず、パーソナルコンピュータのモニタをはじめ、鉄道の駅や空港などにおける情報表示盤や、街頭における広告表示盤など特に大面積の表示媒体として様々な用途に適用することができる。   Of course, the present invention is not limited to a television device, but can be applied to various uses such as a monitor for a personal computer, an information display board in a railway station or airport, an advertisement display board in a street, etc. can do.

本発明を適用して、表示装置のTFTと画素を接続する開口等を形成することができる。その結果、簡略化した工程とすることができ、表示装置の製造において、スループットを向上させることができる。   By applying the present invention, an opening or the like for connecting a TFT and a pixel of a display device can be formed. As a result, the process can be simplified, and the throughput can be improved in manufacturing the display device.

本実施の形態は、上記の実施の形態1乃至16と自由に組み合わせることができる。   This embodiment mode can be freely combined with any of Embodiment Modes 1 to 16.

(実施の形態18)
本発明に係る電子機器として、テレビジョン装置(単にテレビ、又はテレビジョン受信機ともよぶ)、デジタルカメラ、デジタルビデオカメラ、携帯電話装置(単に携帯電話機、携帯電話ともよぶ)、PDA等の携帯情報端末、携帯型ゲーム機、コンピュータ用のモニタ、コンピュータ、カーオーディオ等の音響再生装置、家庭用ゲーム機等の記録媒体を備えた画像再生装置等が挙げられる。その具体例について、図26を参照して説明する。
(Embodiment 18)
As electronic devices according to the present invention, portable information such as a television device (also simply referred to as a television or a television receiver), a digital camera, a digital video camera, a cellular phone device (also simply referred to as a cellular phone or a cellular phone), a PDA, etc. Examples include a terminal, a portable game machine, a computer monitor, a computer, an audio playback device such as a car audio, and an image playback device including a recording medium such as a home game machine. A specific example will be described with reference to FIG.

図26(A)に示す携帯情報端末機器は、本体9201、表示部9202等を含んでいる。表示部9202は、本発明に係る表示装置を適用することができる。その結果、簡略化した工程で作製でき、スループットが向上するため、携帯情報端末機器を量産性良く製造することができる。   A portable information terminal device illustrated in FIG. 26A includes a main body 9201, a display portion 9202, and the like. The display device according to the present invention can be applied to the display portion 9202. As a result, the portable information terminal device can be manufactured with high productivity because it can be manufactured through a simplified process and throughput is improved.

図26(B)に示すデジタルビデオカメラは、表示部9701、表示部9702等を含んでいる。表示部9701は本発明に係る表示装置を適用することができる。その結果、簡略化した工程で作製でき、スループットが向上するため、携帯情報端末機器を量産性良く製造することができる。   A digital video camera shown in FIG. 26B includes a display portion 9701, a display portion 9702, and the like. The display device according to the present invention can be applied to the display portion 9701. As a result, the portable information terminal device can be manufactured with high productivity because it can be manufactured through a simplified process and throughput is improved.

図26(C)に示す携帯電話機は、本体9101、表示部9102等を含んでいる。表示部9102は、本発明に係る表示装置を適用することができる。その結果、簡略化した工程で作製でき、スループットが向上するため、携帯情報端末機器を量産性良く製造することができる。   A cellular phone shown in FIG. 26C includes a main body 9101, a display portion 9102, and the like. The display device according to the present invention can be applied to the display portion 9102. As a result, the portable information terminal device can be manufactured with high productivity because it can be manufactured through a simplified process and throughput is improved.

図26(D)に示す携帯型のテレビジョン装置は、本体9301、表示部9302等を含んでいる。表示部9302は、本発明に係る表示装置を適用することができる。その結果、その結果、簡略化した工程で作製でき、スループットが向上するため、携帯情報端末機器を量産性良く製造することができる。またテレビジョン装置としては、携帯電話機などの携帯端末に搭載する小型のものから、持ち運びをすることができる中型のもの、また、大型のもの(例えば40インチ以上)まで、幅広いものに、本発明に係る表示装置を適用することができる。   A portable television device illustrated in FIG. 26D includes a main body 9301, a display portion 9302, and the like. The display device according to the present invention can be applied to the display portion 9302. As a result, the portable information terminal device can be manufactured with high productivity because it can be manufactured in a simplified process and the throughput is improved. In addition, the present invention can be applied to a wide variety of television devices, from a small one mounted on a portable terminal such as a cellular phone to a medium-sized one that can be carried and a large one (for example, 40 inches or more). A display device according to the above can be applied.

図26(E)に示す携帯型のコンピュータは、本体9401、表示部9402等を含んでいる。表示部9402は、本発明に係るの表示装置を適用することができる。その結果、簡略化した工程で作製でき、スループットが向上するため、携帯情報端末機器を量産性良く製造することができる。   A portable computer shown in FIG. 26E includes a main body 9401, a display portion 9402, and the like. The display device according to the present invention can be applied to the display portion 9402. As a result, the portable information terminal device can be manufactured with high productivity because it can be manufactured through a simplified process and throughput is improved.

このように、本発明に係る表示装置を適用することで、電子機器を量産性良く製造することができる。   Thus, by applying the display device according to the present invention, an electronic device can be manufactured with high productivity.

本実施の形態は、上記の実施の形態1乃至17と自由に組み合わせることができる。   This embodiment mode can be freely combined with any of Embodiment Modes 1 to 17.

本発明を説明する概念図。The conceptual diagram explaining this invention. 本発明を説明する概念図。The conceptual diagram explaining this invention. 本発明に係るレーザ加工装置を説明する図。The figure explaining the laser processing apparatus which concerns on this invention. 本発明の半導体装置の作製方法の例を示す図。4A and 4B illustrate an example of a method for manufacturing a semiconductor device of the present invention. 本発明の半導体装置の作製方法の例を示す図。4A and 4B illustrate an example of a method for manufacturing a semiconductor device of the present invention. 本発明の半導体装置の作製方法の例を示す図。4A and 4B illustrate an example of a method for manufacturing a semiconductor device of the present invention. 本発明の半導体装置の作製方法の例を示す図。4A and 4B illustrate an example of a method for manufacturing a semiconductor device of the present invention. 本発明の半導体装置の作製方法の例を示す図。4A and 4B illustrate an example of a method for manufacturing a semiconductor device of the present invention. 本発明を説明する概念図。The conceptual diagram explaining this invention. 本発明を説明する概念図。The conceptual diagram explaining this invention. 本発明に係るレーザ加工装置を説明する図。The figure explaining the laser processing apparatus which concerns on this invention. 本発明に適用できる発光素子の構成を示す図。FIG. 6 illustrates a structure of a light-emitting element that can be applied to the present invention. 本発明に適用できる発光素子の構成を示す図。FIG. 6 illustrates a structure of a light-emitting element that can be applied to the present invention. 本発明に適用できる発光素子の構成を示す図。FIG. 6 illustrates a structure of a light-emitting element that can be applied to the present invention. 本発明の表示装置の上面図。The top view of the display apparatus of this invention. 本発明の表示装置の上面図。The top view of the display apparatus of this invention. 本発明の表示装置の例を示す図。FIG. 11 illustrates an example of a display device of the present invention. 本発明の表示装置の例を示す図。FIG. 11 illustrates an example of a display device of the present invention. 本発明の表示装置の例を示す図。FIG. 11 illustrates an example of a display device of the present invention. 本発明の表示装置の例を示す図。FIG. 11 illustrates an example of a display device of the present invention. 本発明が適用される電子機器の主要な構成を示すブロック図。1 is a block diagram illustrating a main configuration of an electronic device to which the present invention is applied. 本発明の表示装置の回路構成の例を示す図。FIG. 11 illustrates an example of a circuit configuration of a display device of the present invention. 本発明の表示装置の回路構成の例を示す図。FIG. 11 illustrates an example of a circuit configuration of a display device of the present invention. 本発明の表示装置の回路構成の例を示す図。FIG. 11 illustrates an example of a circuit configuration of a display device of the present invention. 本発明が適用される電子機器の例を示す図。FIG. 11 illustrates an example of an electronic device to which the present invention is applied. 本発明が適用される電子機器の例を示す図。FIG. 11 illustrates an example of an electronic device to which the present invention is applied. 本発明の表示装置の例を示す図。FIG. 11 illustrates an example of a display device of the present invention. 本発明の表示モジュールの構成例を示す図。The figure which shows the structural example of the display module of this invention. 本発明の表示装置の例を示す図。FIG. 11 illustrates an example of a display device of the present invention. 本発明の表示装置の例を示す図。FIG. 11 illustrates an example of a display device of the present invention. 本発明の表示モジュールの構成例を示す図。The figure which shows the structural example of the display module of this invention. 本発明を説明する概念図。The conceptual diagram explaining this invention. 本発明を説明する概念図。The conceptual diagram explaining this invention. 本発明を説明する概念図。The conceptual diagram explaining this invention.

符号の説明Explanation of symbols

10 基板
12 導電層
14 第1材料層
16 第2材料層
18 第2レーザビーム
19 第2ビームスポット
20 第1レーザビーム
21 第1ビームスポット
22 重畳照射領域
24 開口
26 導電層
DESCRIPTION OF SYMBOLS 10 Substrate 12 Conductive layer 14 First material layer 16 Second material layer 18 Second laser beam 19 Second beam spot 20 First laser beam 21 First beam spot 22 Superposed irradiation region 24 Opening 26 Conductive layer

Claims (6)

絶縁表面上に、ゲート電極層、ゲート絶縁層、第1半導体層、n型を有する一対の第2半導体層、及びソース電極又はドレイン電極として機能する一対の電極層が順に積層されたトランジスタを有する半導体装置の作製方法であって、
前記絶縁表面上に第1導電層を形成し、
前記第1導電層上に第1材料層と第2材料層とを順に積層して第1積層体を形成し
前記第2材料層側から第1レーザビーム及び第2レーザビームを照射することにより、前記第1積層体のうち、前記第1レーザビーム及び前記第2レーザビームが重畳して照射された領域を除去し、
残存した前記第1積層体をマスクとし、前記第1導電層をエッチングすることにより前記ゲート電極層を形成し、
前記第1レーザビームはパルス発振のレーザビームであり、前記第1材料層のアブレーション閾値未満のエネルギーを有し、かつ、前記第2レーザビームよりもエネルギー密度が高く、
前記第2レーザビームはパルス発振のレーザビームであり、かつ、前記第1レーザビームよりもパルス幅が短く、
前記第1レーザビーム及び前記第2レーザビームの合成されたエネルギーは前記第1積層体が除去されるアブレーションが起きるエネルギーの閾値以上であることを特徴とする半導体装置の作製方法。
A transistor in which a gate electrode layer, a gate insulating layer, a first semiconductor layer, a pair of n-type second semiconductor layers, and a pair of electrode layers functioning as a source electrode or a drain electrode are sequentially stacked over an insulating surface; A method for manufacturing a semiconductor device, comprising:
Forming a first conductive layer on the insulating surface;
A first material layer and a second material layer are sequentially laminated on the first conductive layer to form a first laminate;
By irradiating the second material layer side or we first laser beam及beauty second laser beam, of the first stack, the first laser beam and said second laser beam is irradiated so as to overlap Remove the area,
The gate electrode layer is formed by etching the first conductive layer using the remaining first stacked body as a mask ,
The first laser beam is a pulsed laser beam, has energy less than an ablation threshold of the first material layer, and has an energy density higher than that of the second laser beam,
The second laser beam is a pulsed laser beam and has a shorter pulse width than the first laser beam,
A method for manufacturing a semiconductor device, wherein the combined energy of the first laser beam and the second laser beam is equal to or higher than an energy threshold value at which ablation that removes the first stacked body occurs .
請求項において、
前記第1材料層は、前記第1レーザビーム及び前記第2レーザビームを吸収する層を形成し、
前記第2材料層は、前記第1レーザビーム及び前記第2レーザビームを透過する層を形成することを特徴とする半導体装置の作製方法。
In claim 1 ,
The first material layer forms a layer that absorbs the first laser beam and the second laser beam;
The method for manufacturing a semiconductor device, wherein the second material layer forms a layer that transmits the first laser beam and the second laser beam.
請求項1または請求項2において、
前記第2半導体層上に第2導電層を形成し、
前記第2導電層上に第3材料層と第4材料層とを順に積層して第2積層体を形成し
前記第4材料層側から前記第1レーザビーム及び前記第2レーザビームを照射することにより、前記第2積層体のうち、前記第1レーザビーム及び前記第2レーザビームが重畳して照射された領域を除去し、
残存した前記第2積層体をマスクとし、前記第2導電層をエッチングすることにより、前記ソース電極又はドレイン電極として機能する一対の電極層を形成し、
前記第1レーザビームはパルス発振のレーザビームであり、前記第3材料層のアブレーション閾値未満のエネルギーを有し、かつ、前記第2レーザビームよりもエネルギー密度が高く、
前記第2レーザビームはパルス発振のレーザビームであり、かつ、前記第1レーザビームよりもパルス幅が短く、
前記第1レーザビーム及び前記第2レーザビームの合成されたエネルギーは前記第2積層体が除去されるアブレーションが起きるエネルギーの閾値以上であることを特徴とする半導体装置の作製方法。
In claim 1 or claim 2 ,
Forming a second conductive layer on the second semiconductor layer;
A third material layer and a fourth material layer are sequentially laminated on the second conductive layer to form a second laminate;
By irradiating the first laser beam and the second laser beam from the fourth material layer side, the first laser beam and the second laser beam of the second stacked body are superimposed and irradiated. Remove the area,
Using the remaining second stacked body as a mask, the second conductive layer is etched to form a pair of electrode layers functioning as the source electrode or drain electrode ,
The first laser beam is a pulsed laser beam, has an energy less than an ablation threshold of the third material layer, and has a higher energy density than the second laser beam,
The second laser beam is a pulsed laser beam and has a shorter pulse width than the first laser beam,
A method for manufacturing a semiconductor device, wherein the combined energy of the first laser beam and the second laser beam is equal to or higher than an energy threshold value at which ablation that removes the second stacked body occurs .
請求項において、
前記第3材料層は、前記第1レーザビーム及び前記第2レーザビームを吸収する層を形成し、
前記第4材料層は、前記第1レーザビーム及び前記第2レーザビームを透過する層を形成することを特徴とする半導体装置の作製方法。
In claim 3 ,
The third material layer forms a layer that absorbs the first laser beam and the second laser beam,
The method for manufacturing a semiconductor device, wherein the fourth material layer forms a layer that transmits the first laser beam and the second laser beam.
請求項1乃至請求項のいずれか一において、
前記第1レーザビーム及び前記第2レーザビームは同時に照射することを特徴とする半導体装置の作製方法。
In any one of Claims 1 thru | or 4 ,
A method for manufacturing a semiconductor device, wherein the first laser beam and the second laser beam are irradiated simultaneously.
請求項1乃至請求項のいずれか一において、
前記第1レーザビームはマルチモード発振のパルス発振のレーザビームであり
前記第2レーザビームはパルス幅がピコ秒又はフェムト秒であるパルス発振のレーザビームであることを特徴とする半導体装置の作製方法。
In any one of Claims 1 thru | or 5 ,
The first laser beam is a laser beam of a pulsed multi-mode oscillation,
The method for manufacturing a semiconductor device, wherein the second laser beam is a laser beam of pulse oscillation pulse width of picoseconds or femtoseconds.
JP2006299363A 2006-11-02 2006-11-02 Method for manufacturing semiconductor device Expired - Fee Related JP5030535B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2006299363A JP5030535B2 (en) 2006-11-02 2006-11-02 Method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006299363A JP5030535B2 (en) 2006-11-02 2006-11-02 Method for manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
JP2008114250A JP2008114250A (en) 2008-05-22
JP5030535B2 true JP5030535B2 (en) 2012-09-19

Family

ID=39500654

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006299363A Expired - Fee Related JP5030535B2 (en) 2006-11-02 2006-11-02 Method for manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP5030535B2 (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012218049A (en) * 2011-04-12 2012-11-12 Key Tranding Co Ltd Resin panel and method for manufacturing the same
CN103268064B (en) * 2013-03-18 2015-04-29 大连理工大学 Analog calculation method of ablating silicon nitride by ultrashort pulse laser
JP2015159277A (en) 2014-01-23 2015-09-03 パナソニック株式会社 Manufacturing method of electronic device
JP6552948B2 (en) * 2015-11-27 2019-07-31 株式会社ディスコ Wafer processing method and processing apparatus
KR102178626B1 (en) * 2018-10-30 2020-11-16 에이피시스템 주식회사 Method of Peeling Lamination Structure, Method of Repairing Organic Light Emitting Device and Apparatus of Peeling Lamination Structure
JP7452448B2 (en) * 2021-01-21 2024-03-19 トヨタ自動車株式会社 Method for forming a bismuth-containing gallium oxide semiconductor film on a base material, bismuth-containing gallium oxide semiconductor film, and bismuth-containing gallium oxide semiconductor component
JP7461988B2 (en) 2022-06-22 2024-04-04 シャープディスプレイテクノロジー株式会社 Active matrix substrate and display device

Also Published As

Publication number Publication date
JP2008114250A (en) 2008-05-22

Similar Documents

Publication Publication Date Title
JP5205042B2 (en) Method for manufacturing semiconductor device
KR101491981B1 (en) Manufacturing method of semiconductor device
JP5227563B2 (en) Method for manufacturing semiconductor device
US7732351B2 (en) Manufacturing method of semiconductor device and laser processing apparatus
JP5268304B2 (en) Method for manufacturing semiconductor device
KR101420173B1 (en) Method for manufacturing semiconductor device
US7867907B2 (en) Method for manufacturing semiconductor device
KR101439103B1 (en) Method for manufacturing semiconductor device
TWI427682B (en) Method for manufacturing display device
TWI427702B (en) Method for manufacturing display device
JP5147330B2 (en) Method for manufacturing semiconductor device
JP4954836B2 (en) Method for manufacturing semiconductor device
JP5110830B2 (en) Method for manufacturing semiconductor device
JP5030535B2 (en) Method for manufacturing semiconductor device
JP5329784B2 (en) Method for manufacturing semiconductor device
JP2008085313A (en) Method of manufacturing display device
JP5314842B2 (en) Method for manufacturing semiconductor device
JP5127338B2 (en) Method for manufacturing display device
JP5041903B2 (en) Method for manufacturing display device
JP2008034832A (en) Process for fabricating display
JP2008052268A (en) Method for manufacturing display device

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091022

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091022

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110907

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110913

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111108

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120619

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120626

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150706

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150706

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees