JP4895634B2 - Substrate processing equipment - Google Patents

Substrate processing equipment Download PDF

Info

Publication number
JP4895634B2
JP4895634B2 JP2006041424A JP2006041424A JP4895634B2 JP 4895634 B2 JP4895634 B2 JP 4895634B2 JP 2006041424 A JP2006041424 A JP 2006041424A JP 2006041424 A JP2006041424 A JP 2006041424A JP 4895634 B2 JP4895634 B2 JP 4895634B2
Authority
JP
Japan
Prior art keywords
gas
holding
wafer
cassette
boat
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2006041424A
Other languages
Japanese (ja)
Other versions
JP2007221000A5 (en
JP2007221000A (en
Inventor
信人 嶋
英樹 堀田
崇 中川
忠司 紺谷
謙和 水野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2006041424A priority Critical patent/JP4895634B2/en
Publication of JP2007221000A publication Critical patent/JP2007221000A/en
Publication of JP2007221000A5 publication Critical patent/JP2007221000A5/ja
Application granted granted Critical
Publication of JP4895634B2 publication Critical patent/JP4895634B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

本発明は、基板処理装置に関し、特に、基板を保持する技術に係り、例えば、半導体集積回路装置(以下、ICという。)の製造方法において、半導体素子を含む集積回路が作り込まれる半導体ウエハ(以下、ウエハという。)に酸化膜を形成したり、ウエハに原子層成膜(Atomic layer Deposition )法によって成膜したり、ウエハの表面をクリーニング(エッチング)したりするのに利用して有効なものに関する。   The present invention relates to a substrate processing apparatus, and more particularly to a technique for holding a substrate. For example, in a method of manufacturing a semiconductor integrated circuit device (hereinafter referred to as an IC), a semiconductor wafer in which an integrated circuit including semiconductor elements is fabricated ( This is effective for forming an oxide film on the wafer), forming a film on the wafer by an atomic layer deposition method, and cleaning (etching) the surface of the wafer. About things.

ICの製造方法において、ウエハにCVD膜を形成する基板処理装置としては、バッチ式縦形ホットウオール形CVD装置(以下、CVD装置という。)が使用されることがある。   In the IC manufacturing method, a batch type vertical hot wall type CVD apparatus (hereinafter referred to as a CVD apparatus) may be used as a substrate processing apparatus for forming a CVD film on a wafer.

従来のこの種のCVD装置として、減圧可能な垂直の処理室を形成したプロセスチューブと、複数枚のウエハをそれぞれ間隔を置いて積層させた状態で保持するボートと、垂直方向に延在しガスを水平方向に噴出するガス噴出口が多数開設されたガスノズルと、ボートを回転させる回転装置とを備えており、ボートが上下で一対の端板(end plate )の間に三本の保持柱が立脚されて構築されているとともに、各保持柱にはウエハを保持する保持溝が形成されているものがある(例えば、特許文献1参照)。
特開2004−6551号公報
As a conventional CVD apparatus of this type, a process tube in which a vertical processing chamber capable of depressurization is formed, a boat that holds a plurality of wafers stacked at intervals, and a gas extending vertically It has a gas nozzle with a lot of gas outlets that blow out horizontally and a rotating device that rotates the boat, and the boat has three holding pillars between a pair of end plates at the top and bottom. Some of the holding pillars are constructed with standing legs, and each holding pillar is formed with a holding groove for holding a wafer (see, for example, Patent Document 1).
Japanese Patent Laid-Open No. 2004-6551

しかしながら、前記したCVD装置においては、ガスノズルのガス噴出口から噴出したガスの流れがボートの保持柱に遮られることにより、上下のウエハ間へのガスの拡散が損なわれるために、ウエハ面内の膜厚均一性が低下するという問題点がある。   However, in the above-described CVD apparatus, the gas flow ejected from the gas ejection port of the gas nozzle is blocked by the holding pillar of the boat, so that the diffusion of gas between the upper and lower wafers is impaired. There is a problem that the film thickness uniformity decreases.

本発明の目的は、基板面内の膜厚均一性を向上させることができる基板処理装置を提供することにある。   The objective of this invention is providing the substrate processing apparatus which can improve the film thickness uniformity in a substrate surface.

本願が開示する発明のうち代表的なものは、次の通りである。
(1)複数枚の基板をそれぞれ間隔を置いて積層させた状態で減圧下の処理室に載置し、前記積層方向に延在し多数のガス噴出口を有するガスノズルによって前記基板の側方から処理ガスを供給して、前記基板を回転させながら処理する基板処理装置であって、
前記複数枚の基板をそれぞれ間隔を置いて積層させた状態で保持する保持具を備えており、この保持具を構成する保持柱における前記基板のそれぞれを載置する載置部は、前記処理ガスの噴出方向の下流側部分もしくは上流側部分またはそのいずれにも、前記処理ガスの流れおよび拡散を妨げない整流部を備えている基板処理装置。
(2)前記(1)において、整流部はC面取りまたはR面取りによって形成されている基板処理装置。
(3)複数枚の基板をそれぞれ間隔を置いて積層させた状態で減圧下の処理室に載置し、前記積層方向に延在し多数のガス噴出口を有するガスノズルによって前記基板の側方から処理ガスを供給して、前記基板を回転させながら処理する基板処理装置であって、
前記複数枚の基板をそれぞれ間隔を置いて積層させた状態で保持する保持具を備えており、この保持具を構成する保持柱の周方向の寸法が、前記複数枚の基板の積層ピッチよりも小さい基板処理装置。
(4)複数枚の基板をそれぞれ間隔を置いて積層させた状態で減圧下の処理室に載置し、前記積層方向に延在し多数のガス噴出口を有するガスノズルによって前記基板の側方から処理ガスを供給して、前記基板を回転させながら処理する基板処理装置であって、
前記複数枚の基板をそれぞれ間隔を置いて積層させた状態で保持する保持具を備えており、この保持具を構成する保持柱における前記基板のそれぞれを保持する保持溝の凹部には、前記処理ガスの噴出方向の下流側部分に、前記処理ガスの流れおよび拡散を妨げない整流部を備えている基板処理装置。
(5)前記(4)において、整流部はC面取りまたはR面取りによって形成されている基板処理装置。
(6)複数枚の基板をそれぞれ間隔を置いて積層させた状態で減圧下の処理室に載置し、前記積層方向に延在し多数のガス噴出口を有するガスノズルによって前記基板の側方から処理ガスを供給して、前記基板を回転させながら処理する基板処理装置であって、
前記複数枚の基板をそれぞれ間隔を置いて積層させた状態で保持する保持具を備えており、この保持具は前記基板のそれぞれを保持する複数本の保持柱と、前記基板のそれぞれを保持しない少なくとも1本の支柱とを備えている基板処理装置。
(7)複数枚の基板をそれぞれ間隔を置いて積層させた状態で減圧下の処理室に載置し、前記積層方向に延在し多数のガス噴出口を有するガスノズルによって前記基板の側方から処理ガスを供給して、前記基板を回転させながら処理する基板処理装置であって、
前記複数枚の基板をそれぞれ間隔を置いて積層させた状態で保持する保持具を備えており、この保持具の前記基板をそれぞれ載置する載置部の厚さが3mm以下になっている基板処理装置。
(8)複数枚の基板をそれぞれ間隔を置いて積層させた状態で減圧下の処理室に載置し、前記積層方向に延在し多数のガス噴出口を有するガスノズルによって前記基板の側方から処理ガスを供給して、前記基板を回転させながら処理する基板処理装置であって、
前記複数枚の基板をそれぞれ間隔を置いて積層させた状態で保持する保持具を備えており、この保持具を構成する保持柱は、前記基板の中心方向に貫通する通風孔を備えている基板処理装置。
Typical inventions disclosed in the present application are as follows.
(1) A plurality of substrates are placed in a processing chamber under reduced pressure in a state where the substrates are laminated at intervals, and from the side of the substrate by a gas nozzle extending in the laminating direction and having a number of gas ejection ports. A substrate processing apparatus for supplying a processing gas and processing the substrate while rotating the substrate,
A holding unit configured to hold the plurality of substrates in a state of being stacked at intervals, and the mounting unit for mounting each of the substrates in a holding column constituting the holding unit includes the processing gas A substrate processing apparatus provided with a rectifying unit that does not hinder the flow and diffusion of the processing gas in the downstream portion or the upstream portion in the ejection direction of the gas.
(2) The substrate processing apparatus according to (1), wherein the rectifying unit is formed by C chamfering or R chamfering.
(3) A plurality of substrates are placed in a processing chamber under reduced pressure in a state of being laminated at intervals, respectively, and from the side of the substrate by a gas nozzle extending in the laminating direction and having a number of gas ejection ports. A substrate processing apparatus for supplying a processing gas and processing the substrate while rotating the substrate,
A holding tool for holding the plurality of substrates in a state of being stacked with a space between each other is provided, and the circumferential dimension of the holding pillar constituting the holding tool is larger than the stacking pitch of the plurality of substrates. Small substrate processing equipment.
(4) A plurality of substrates are placed in a processing chamber under reduced pressure in a state where they are laminated at intervals, and from the side of the substrate by a gas nozzle extending in the laminating direction and having a number of gas jets. A substrate processing apparatus for supplying a processing gas and processing the substrate while rotating the substrate,
A holding tool for holding the plurality of substrates in a state of being stacked with a space between each other is provided, and in the recess of the holding groove for holding each of the substrates in a holding column constituting the holding tool, the processing is performed. A substrate processing apparatus comprising a rectifying unit that does not hinder the flow and diffusion of the processing gas in a downstream portion in a gas ejection direction.
(5) The substrate processing apparatus according to (4), wherein the rectifying unit is formed by C chamfering or R chamfering.
(6) A plurality of substrates are placed in a processing chamber under reduced pressure in a state where they are laminated at intervals, and from the side of the substrate by a gas nozzle extending in the laminating direction and having a number of gas ejection ports. A substrate processing apparatus for supplying a processing gas and processing the substrate while rotating the substrate,
A holding tool is provided for holding the plurality of substrates in a state of being laminated at intervals, and this holding tool does not hold each of the substrates and a plurality of holding pillars for holding each of the substrates. A substrate processing apparatus comprising at least one support.
(7) A plurality of substrates are placed in a processing chamber under reduced pressure in a state where the substrates are stacked at intervals, and from the side of the substrate by a gas nozzle extending in the stacking direction and having a number of gas jets. A substrate processing apparatus for supplying a processing gas and processing the substrate while rotating the substrate,
A substrate having a holder for holding the plurality of substrates in a state of being stacked at intervals, and a substrate having a thickness of 3 mm or less on which the substrates of the holder are respectively mounted Processing equipment.
(8) A plurality of substrates are placed in a processing chamber under reduced pressure in a state where they are laminated at intervals, and from the side of the substrate by a gas nozzle extending in the laminating direction and having a large number of gas ejection ports. A substrate processing apparatus for supplying a processing gas and processing the substrate while rotating the substrate,
A holding tool for holding the plurality of substrates in a state where they are stacked at intervals, and a holding column constituting the holding tool has a ventilation hole penetrating in the center direction of the substrate. Processing equipment.

前記した手段によれば、ガスノズルのガス噴出口から噴出したガスが保持具に保持された上下の基板間へ良好に流れるために、基板面内の膜厚均一性が低下するのを防止することができる。   According to the above-described means, since the gas ejected from the gas ejection port of the gas nozzle flows favorably between the upper and lower substrates held by the holder, the film thickness uniformity in the substrate plane is prevented from being lowered. Can do.

以下、本発明の一実施の形態を図面に即して説明する。   Hereinafter, an embodiment of the present invention will be described with reference to the drawings.

本実施の形態において、本発明に係る基板処理装置は、ICの製造方法に使用される半導体製造装置として構成されている。
本実施の形態に係る半導体製造装置は、基板としてのウエハに酸化工程や拡散工程およびCVD工程等を施すものとして構成されている。
In the present embodiment, the substrate processing apparatus according to the present invention is configured as a semiconductor manufacturing apparatus used in an IC manufacturing method.
The semiconductor manufacturing apparatus according to the present embodiment is configured to perform an oxidation process, a diffusion process, a CVD process, and the like on a wafer as a substrate.

図1に示されているように、基板としてのウエハ1はシリコン等の半導体材料が使用されて薄い円板形状に形成されており、キャリアとしてのオープンカセット(以下、カセットという。)2に収納された状態で半導体製造装置10に供給される。
本実施の形態に係る半導体製造装置10は筐体11を備えており、筐体11はステンレス鋼材やステンレス鋼板等が使用されて略直方体の箱形状に構築されている。
筐体11の正面壁にはメンテナンス可能なように設けられた正面メンテナンス口12が開設され、正面メンテナンス口12には正面メンテナンス扉13がこれを開閉するように建て付けられている。
正面メンテナンス扉13にはカセット搬入搬出口14が筐体11の内外を連通するように開設されており、カセット搬入搬出口14はフロントシャッタ15によって開閉されるようになっている。
As shown in FIG. 1, a wafer 1 as a substrate is formed in a thin disk shape using a semiconductor material such as silicon, and is stored in an open cassette (hereinafter referred to as a cassette) 2 as a carrier. In this state, it is supplied to the semiconductor manufacturing apparatus 10.
A semiconductor manufacturing apparatus 10 according to the present embodiment includes a housing 11, and the housing 11 is constructed in a substantially rectangular parallelepiped box shape using a stainless steel material, a stainless steel plate, or the like.
A front maintenance port 12 is provided in the front wall of the housing 11 so that maintenance can be performed, and a front maintenance door 13 is built in the front maintenance port 12 so as to open and close it.
A cassette loading / unloading port 14 is opened at the front maintenance door 13 so as to communicate between the inside and outside of the housing 11, and the cassette loading / unloading port 14 is opened and closed by a front shutter 15.

カセット搬入搬出口14の筐体11内側にはカセットステージ16が設置されている。カセット2はカセットステージ16の上に工程内搬送装置(図示せず)によって搬入され、かつまた、カセットステージ16の上から搬出されるようになっている。
カセットステージ16にはカセット2が工程内搬送装置によって、カセット2内のウエハ1が垂直姿勢となり、カセット2のウエハ出し入れ口が上方向を向くように載置される。カセットステージ16はカセット2を筐体後方に右回り縦方向90度回転させるように構成されており、カセット2内のウエハ1が水平姿勢となり、カセット2のウエハ出し入れ口が筐体後方を向くように動作させるようになっている。
A cassette stage 16 is installed inside the housing 11 of the cassette loading / unloading port 14. The cassette 2 is loaded onto the cassette stage 16 by an in-process transfer device (not shown) and is also unloaded from the cassette stage 16.
The cassette 2 is placed on the cassette stage 16 by the in-process transfer device so that the wafer 1 in the cassette 2 is in a vertical posture and the wafer loading / unloading port of the cassette 2 faces upward. The cassette stage 16 is configured to rotate the cassette 2 clockwise 90 degrees to the rear of the casing, so that the wafer 1 in the cassette 2 is in a horizontal posture, and the wafer loading / unloading port of the cassette 2 faces the rear of the casing. Is supposed to work.

筐体11内の前後方向の略中央部には、カセット棚17が設置されており、カセット棚17は複数段複数列にて複数個のカセット2を保管するように構成されている。カセット棚17にはカセット2が収納される移載棚18が設けられている。
また、カセットステージ16の上方には予備カセット棚19が設けられており、予備カセット棚19はカセット2を予備的に保管するように構成されている。
カセットステージ16とカセット棚17との間には、カセット搬送装置20が設置されている。カセット搬送装置20はカセットエレベータ20aとカセット搬送機構20bとを備えている。カセットエレベータ20aはカセット搬送機構20bを昇降可能に構成されており、カセット搬送機構20bはカセット2を保持して搬送可能なように構成されている。カセット搬送装置20はカセットエレベータ20aとカセット搬送機構20bとの連続動作により、カセットステージ16、カセット棚17、予備カセット棚19との間で、カセット2を搬送するように構成されている。
A cassette shelf 17 is installed at a substantially central portion in the front-rear direction in the housing 11, and the cassette shelf 17 is configured to store a plurality of cassettes 2 in a plurality of stages and a plurality of rows. The cassette shelf 17 is provided with a transfer shelf 18 in which the cassette 2 is stored.
Further, a spare cassette shelf 19 is provided above the cassette stage 16, and the spare cassette shelf 19 is configured to preliminarily store the cassette 2.
A cassette carrying device 20 is installed between the cassette stage 16 and the cassette shelf 17. The cassette carrying device 20 includes a cassette elevator 20a and a cassette carrying mechanism 20b. The cassette elevator 20a is configured to be able to move up and down the cassette transport mechanism 20b, and the cassette transport mechanism 20b is configured to hold and transport the cassette 2. The cassette carrying device 20 is configured to carry the cassette 2 between the cassette stage 16, the cassette shelf 17, and the spare cassette shelf 19 by continuous operation of the cassette elevator 20a and the cassette carrying mechanism 20b.

カセット棚17の後方にはウエハ移載機構21が設置されている。ウエハ移載機構21はウエハ移載装置エレベータ21aおよびウエハ移載装置21bとを備えており、ウエハ移載装置エレベータ21aは筐体11の右側端部に設置されている。ウエハ移載装置エレベータ21aはウエハ移載装置21bを昇降させるように構成されている。ウエハ移載装置21bはツィーザ21cによってウエハ1を保持して、ウエハ1を水平方向に回転ないし直動可能なように構成されている。
ウエハ移載機構21はウエハ移載装置エレベータ21aおよびウエハ移載装置21bの連続動作により、ウエハ移載装置21bのツィーザ21cによってウエハ1を掬い取り、所定の位置に搬送した後に、ウエハ1を所定の位置に受け渡すように構成されている。
A wafer transfer mechanism 21 is installed behind the cassette shelf 17. The wafer transfer mechanism 21 includes a wafer transfer device elevator 21 a and a wafer transfer device 21 b, and the wafer transfer device elevator 21 a is installed at the right end of the housing 11. The wafer transfer device elevator 21a is configured to raise and lower the wafer transfer device 21b. The wafer transfer device 21b is configured to hold the wafer 1 by means of a tweezer 21c so that the wafer 1 can be rotated or moved in the horizontal direction.
The wafer transfer mechanism 21 picks up the wafer 1 by a tweezer 21c of the wafer transfer device 21b by a continuous operation of the wafer transfer device elevator 21a and the wafer transfer device 21b, conveys the wafer 1 to a predetermined position, and then transfers the wafer 1 to a predetermined position. It is configured to deliver to the position of.

筐体11内における後端部の片側にはボートエレベータ22が設置されている。ボートエレベータ22の昇降台に連結された連結具としてのアーム23には、蓋体としてのシールキャップ24が水平に据え付けられている。シールキャップ24は後記する炉口を開閉するように構成されているとともに、後記するボートを垂直に支持するように構成されている。   A boat elevator 22 is installed on one side of the rear end in the housing 11. A seal cap 24 serving as a lid is horizontally installed on an arm 23 serving as a coupling tool coupled to a lifting platform of the boat elevator 22. The seal cap 24 is configured to open and close a furnace port described later, and is configured to vertically support a boat described later.

図1に示されているように、カセット棚17の上方には前側クリーンユニット25が設置されている。前側クリーンユニット25は供給ファンや防塵フィルタ等によって構築されており、清浄化した雰囲気であるクリーンエアを筐体11内の前側エリアに流通させるように構成されている。
また、図1に便宜的に想像線で示されているように、ウエハ移載装置エレベータ21aおよびボートエレベータ22側と反対側である筐体11の左側端部には、後側クリーンユニット26が設置されている。後側クリーンユニット26は供給ファンや防塵フィルタ等によって構築されており、清浄化した雰囲気であるクリーンエアを筐体11内の後側エリアに流通させた後に、排気装置によって筐体11の外部に排気させるように構成されている。
As shown in FIG. 1, a front clean unit 25 is installed above the cassette shelf 17. The front clean unit 25 is constructed by a supply fan, a dustproof filter, and the like, and is configured to distribute clean air, which is a cleaned atmosphere, to the front area in the housing 11.
Further, as indicated by imaginary lines in FIG. 1 for the sake of convenience, a rear clean unit 26 is provided at the left end portion of the housing 11 opposite to the wafer transfer device elevator 21a and the boat elevator 22 side. is set up. The rear clean unit 26 is constructed by a supply fan, a dust filter, and the like. After clean air, which is a cleaned atmosphere, is circulated in the rear area in the casing 11, the rear clean unit 26 is outside the casing 11 by the exhaust device. It is configured to exhaust.

ここで、以上の構成に係る半導体製造装置10のウエハ1の搬送作動を説明する。
図1に示されているように、カセット2がカセットステージ16に供給されるに先立って、カセット搬入搬出口14がフロントシャッタ15によって開放される。
その後、カセット2はカセット搬入搬出口14から搬入され、カセットステージ16の上にウエハ1が垂直姿勢であって、カセット2のウエハ出し入れ口が上方向を向くように載置される。
その後、カセット2はカセットステージ16によって、カセット2内のウエハ1が水平姿勢となり、カセット2のウエハ出し入れ口が筐体11の後方を向くように、筐体11の後方に右周り縦方向90度回転させられる。
次に、カセット2はカセット棚17ないし予備カセット棚19の指定された棚位置へカセット搬送装置20によって自動的に搬送されて受け渡され、一時的に保管される。
その後、カセット2はカセット棚17ないし予備カセット棚19からカセット搬送装置20によって移載棚18に移載される。
なお、カセット2はカセット搬送装置20によって移載棚18に直接的に搬送されることもある。
カセット2が移載棚18に移載されると、ウエハ1はウエハ移載装置21bのツィーザ21cによってカセット2からウエハ出し入れ口を通じてピックアップされ、後記するボートに装填(チャージング)される。ウエハ1を受け渡したウエハ移載装置21bはカセット2に戻り、次のウエハ1をボート70に装填する。
Here, the transfer operation of the wafer 1 of the semiconductor manufacturing apparatus 10 according to the above configuration is described.
As shown in FIG. 1, the cassette loading / unloading port 14 is opened by the front shutter 15 before the cassette 2 is supplied to the cassette stage 16.
Thereafter, the cassette 2 is loaded from the cassette loading / unloading port 14 and mounted on the cassette stage 16 so that the wafer 1 is in a vertical posture and the wafer loading / unloading port of the cassette 2 faces upward.
Thereafter, the cassette 2 is rotated 90 degrees clockwise in the clockwise direction to the rear of the casing 11 so that the wafer 1 in the cassette 2 is placed in a horizontal posture by the cassette stage 16 and the wafer loading / unloading port of the cassette 2 faces the rear of the casing 11. Rotated.
Next, the cassette 2 is automatically conveyed by the cassette conveying device 20 to the designated shelf position of the cassette shelf 17 or the spare cassette shelf 19 and is delivered and temporarily stored.
Thereafter, the cassette 2 is transferred from the cassette shelf 17 or the spare cassette shelf 19 to the transfer shelf 18 by the cassette carrying device 20.
The cassette 2 may be directly conveyed to the transfer shelf 18 by the cassette conveying device 20.
When the cassette 2 is transferred to the transfer shelf 18, the wafer 1 is picked up from the cassette 2 through the wafer loading / unloading port by the tweezer 21c of the wafer transfer device 21b and loaded (charged) into a boat described later. The wafer transfer device 21 b that has transferred the wafer 1 returns to the cassette 2 and loads the next wafer 1 into the boat 70.

本実施の形態において、本発明に係る基板処理装置は機能的には、ウエハ等の基板へのプラズマ処理例としてのプラズマCVD法の一つである原子層成膜(Atomic Layer Deposition 。以下、ALDという。)法を実施するALD装置として構成されている。
ALD法は、ある成膜条件(温度、時間等)の下で、成膜に用いる2種類(またはそれ以上)の原料となるガスを1種類ずつ順次に基板上に供給し、1原子層単位で吸着させ、表面反応を利用して成膜を行う方法、である。
例えば、酸化アルミニウム(Al23 )膜を形成する場合のALD法においては、トリメチルアルミニウム[Al(CH33 。以下、TMAという。]ガスと、オゾンガスとを交互に供給することによって、250〜450℃の低温下において、高品質の成膜が可能である。
そして、ALD法においては、複数種類の反応性ガスを1種類ずつ交互に供給することによって成膜を行う。また、膜厚の制御は、反応性ガス供給のサイクル数によって実行することができる。例えば、成膜速度が1Å/サイクルとすると、20Åの膜を形成する場合には、複数種類のガスの供給は20サイクル実行される。
In the present embodiment, the substrate processing apparatus according to the present invention functionally is an atomic layer deposition (hereinafter referred to as ALD) which is one of plasma CVD methods as an example of plasma processing on a substrate such as a wafer. It is configured as an ALD apparatus that implements the method.
In the ALD method, under one film formation condition (temperature, time, etc.), two kinds (or more) of raw material gases used for film formation are sequentially supplied onto the substrate one by one, in units of one atomic layer. The film is formed by using a surface reaction.
For example, in the ALD method for forming an aluminum oxide (Al 2 O 3 ) film, trimethylaluminum [Al (CH 3 ) 3 . Hereinafter referred to as TMA. By alternately supplying the gas and the ozone gas, a high-quality film can be formed at a low temperature of 250 to 450 ° C.
In the ALD method, film formation is performed by alternately supplying a plurality of types of reactive gases one by one. The film thickness can be controlled by the number of cycles of the reactive gas supply. For example, assuming that the film formation rate is 1 liter / cycle, when a 20 liter film is formed, the supply of a plurality of types of gases is executed 20 cycles.

図1に示されているように、筐体11の後端部の上にはALD法を実施する処理炉(以下、ALD装置という。)30が設置されている。
図2〜図4に示されているように、ALD装置30はプロセスチューブ31を備えており、プロセスチューブ31は石英(SiO2 )が用いられて一体的に形成されている。プロセスチューブ31は一端が開口し他端が閉塞した円筒形状に形成されており、プロセスチューブ31は中心線が垂直になるように縦に配されて固定的に支持されている。プロセスチューブ31の筒中空部は複数枚のウエハ1を収容して処理する処理室32を形成している。
プロセスチューブ31はステンレス鋼等によって上下両端が開口した円筒形状に形成されたマニホールド33の上にシールリング33Aを挟設されて載置されており、マニホールド33が筐体11に据え付けられることにより、筐体11に水平に支持されている。マニホールド33およびプロセスチューブ31の内径は、取り扱うウエハ1の最大外径(例えば、300mm以上)よりも大きくなるように設定されている。
マニホールド33の下端には炉口34が形成されている。炉口34は図1に示されたシャッタ27によって開閉されるようになっている。
As shown in FIG. 1, a processing furnace (hereinafter referred to as an ALD apparatus) 30 for performing the ALD method is installed on the rear end portion of the housing 11.
As shown in FIGS. 2 to 4, the ALD apparatus 30 includes a process tube 31, and the process tube 31 is integrally formed using quartz (SiO 2 ). The process tube 31 is formed in a cylindrical shape having one end opened and the other end closed. The process tube 31 is vertically arranged so that the center line is vertical and is fixedly supported. A cylindrical hollow portion of the process tube 31 forms a processing chamber 32 that accommodates and processes a plurality of wafers 1.
The process tube 31 is placed by placing a seal ring 33A on a manifold 33 formed in a cylindrical shape whose upper and lower ends are opened by stainless steel or the like. The housing 11 is supported horizontally. The inner diameters of the manifold 33 and the process tube 31 are set to be larger than the maximum outer diameter (for example, 300 mm or more) of the wafer 1 to be handled.
A furnace port 34 is formed at the lower end of the manifold 33. The furnace port 34 is opened and closed by a shutter 27 shown in FIG.

マニホールド33の側壁の一部には、処理室32を真空引きする排気管35の一端が接続されている。図3に示されているように、排気管35の他端は真空ポンプ36に可変流量制御弁37を介して接続されている。
なお、可変流量制御弁37は、弁体を開閉することによって処理室32の真空排気および真空排気停止を実行するように、かつ、弁体の開度を調節して排気量を調整することによって処理室32の圧力を制御するように、構成されている。
One end of an exhaust pipe 35 that evacuates the processing chamber 32 is connected to a part of the side wall of the manifold 33. As shown in FIG. 3, the other end of the exhaust pipe 35 is connected to the vacuum pump 36 via a variable flow rate control valve 37.
Note that the variable flow rate control valve 37 opens and closes the valve body to execute evacuation and stop evacuation of the processing chamber 32, and adjusts the opening of the valve body to adjust the exhaust amount. The pressure in the processing chamber 32 is controlled.

図2および図3に示されているように、マニホールド33の側壁における排気管35と略180度反対側の位置にはガスノズル38が垂直に敷設されている。ガスノズル38には複数個のガス噴出口39が垂直方向に等間隔に配置されて、それぞれ径方向内向きに開設されている。ガスノズル38の下端には第一ガス供給管40の一端が接続されており、第一ガス供給管40はマニホールド33の側壁を貫通して外部に突き出されている。ガスノズル38は第一ガス供給管40に支持されることにより、垂直に立脚されている。   As shown in FIGS. 2 and 3, a gas nozzle 38 is laid vertically at a position on the side wall of the manifold 33 that is approximately 180 degrees opposite to the exhaust pipe 35. In the gas nozzle 38, a plurality of gas ejection ports 39 are arranged at equal intervals in the vertical direction, and are opened inward in the radial direction. One end of a first gas supply pipe 40 is connected to the lower end of the gas nozzle 38, and the first gas supply pipe 40 penetrates the side wall of the manifold 33 and protrudes to the outside. The gas nozzle 38 is vertically supported by being supported by the first gas supply pipe 40.

図3に示されているように、第一ガス供給管40の他端はALD法における所定のガス種を供給する第一ガス供給源としてのオゾナイザ41に接続されている。オゾナイザ41の上流側には、オゾンガスの原料ガスである酸素ガスをオゾナイザ41に供給する酸素ガス供給源42が接続されている。第一ガス供給管40の途中には可変流量制御弁43と開閉弁44とが、オゾナイザ41の側から順に介設されている。
第一ガス供給管40の開閉弁44の下流側には、他端が不活性ガス供給源45に接続された第一不活性ガス供給管46の一端が接続されており、第一不活性ガス供給管46の途中には開閉弁47が介設されている。
As shown in FIG. 3, the other end of the first gas supply pipe 40 is connected to an ozonizer 41 as a first gas supply source for supplying a predetermined gas type in the ALD method. An upstream side of the ozonizer 41 is connected to an oxygen gas supply source 42 that supplies oxygen gas, which is a raw material gas of ozone gas, to the ozonizer 41. In the middle of the first gas supply pipe 40, a variable flow rate control valve 43 and an opening / closing valve 44 are provided in order from the ozonizer 41 side.
One end of a first inert gas supply pipe 46 whose other end is connected to an inert gas supply source 45 is connected to the downstream side of the on-off valve 44 of the first gas supply pipe 40. An opening / closing valve 47 is interposed in the middle of the supply pipe 46.

図3で参照されるように、マニホールド33の側壁における第一ガス供給管40に近接した部位には、第二ガス供給管50がマニホールド33の側壁を径方向に貫通して敷設されている。第二ガス供給管50の内側端は第一ガス供給管40に合流するように接続されており、ガスノズル38に連通している。
ここで、ガスノズル38の上部は第二ガス供給管50から供給される後述するTMAガスの分解温度以上の領域に延在しているが、第二ガス供給管50が処理室32内で第一ガス供給管40と合流している箇所は、TMAの分解温度未満の領域であり、ウエハ1およびウエハ1付近の温度よりも低い温度の領域になっている。すなわち、第二ガス供給管50はガスノズル38の下端部に接続されている。
As shown in FIG. 3, a second gas supply pipe 50 is laid in the side wall of the manifold 33 near the first gas supply pipe 40 so as to penetrate the side wall of the manifold 33 in the radial direction. The inner end of the second gas supply pipe 50 is connected to join the first gas supply pipe 40 and communicates with the gas nozzle 38.
Here, the upper portion of the gas nozzle 38 extends to a region equal to or higher than the decomposition temperature of TMA gas to be described later supplied from the second gas supply pipe 50, but the second gas supply pipe 50 is first in the processing chamber 32. The location where the gas supply pipe 40 is joined is a region below the decomposition temperature of TMA, and is a region having a temperature lower than the temperatures of the wafer 1 and the vicinity of the wafer 1. That is, the second gas supply pipe 50 is connected to the lower end portion of the gas nozzle 38.

第二ガス供給管50の他端は、ALD法における所定のガス種を供給する第二ガス供給源としてのTMA容器51に開閉弁52を介して接続されている。第二ガス供給管50にはヒータ53が敷設されており、ヒータ53は第二ガス供給管50を50〜60℃に保つように構成されている。TMA容器51には窒素ガスやその他の不活性ガス等のキャリアガスを供給するキャリアガス供給源54が、可変流量制御弁55および開閉弁56を介して接続されている。
第二ガス供給管50の下流側の開閉弁52の下流側には、他端が不活性ガス供給源45に接続された第二不活性ガス供給管57の一端が接続されており、第二不活性ガス供給管57の途中には開閉弁58が介設されている。
The other end of the second gas supply pipe 50 is connected to a TMA container 51 as a second gas supply source for supplying a predetermined gas type in the ALD method via an open / close valve 52. A heater 53 is laid on the second gas supply pipe 50, and the heater 53 is configured to keep the second gas supply pipe 50 at 50 to 60 ° C. A carrier gas supply source 54 for supplying a carrier gas such as nitrogen gas or other inert gas is connected to the TMA container 51 via a variable flow rate control valve 55 and an opening / closing valve 56.
One end of a second inert gas supply pipe 57 whose other end is connected to the inert gas supply source 45 is connected to the downstream side of the on-off valve 52 downstream of the second gas supply pipe 50. An on-off valve 58 is interposed in the middle of the inert gas supply pipe 57.

ALD装置30はコントローラ59を備えており、コントローラ59はパネルコンピュータやパーソナルコンピュータ等によって構築されている。便宜上、一部の図示は省略するが、図3に示されているように、コントローラ59は、真空ポンプ36、可変流量制御弁37、43、55、開閉弁44、47、52、56、58等に接続され、これらを制御するように構成されている。   The ALD apparatus 30 includes a controller 59, and the controller 59 is constructed by a panel computer, a personal computer, or the like. Although part of the illustration is omitted for convenience, as shown in FIG. 3, the controller 59 includes a vacuum pump 36, variable flow rate control valves 37, 43, 55, on-off valves 44, 47, 52, 56, 58. Etc., and is configured to control them.

また、ALD装置30はヒータユニット60を備えており、ヒータユニット60はプロセスチューブ31の外部にプロセスチューブ31の周囲を包囲するように同心円に設備されている。ヒータユニット60は処理室32を全体にわたって均一または所定の温度分布に加熱するように構成されている。   Further, the ALD apparatus 30 includes a heater unit 60, and the heater unit 60 is provided concentrically outside the process tube 31 so as to surround the process tube 31. The heater unit 60 is configured to heat the processing chamber 32 to be uniform or have a predetermined temperature distribution throughout.

図2に示されているように、シールキャップ24は炉口34の内径よりも大径の外径を有する円盤形状に形成されている。シールキャップ24はシールリング24aによって炉口34を気密シールするように構成されている。
シールキャップ24の中心線上には、回転駆動装置61によって回転駆動される回転軸62が挿通されており、回転軸62はシールキャップ24と共に昇降するように構成されている。回転軸62の上端には支持台63が垂直に設置されており、支持台63の上には保持具としてのボート70が垂直に立脚されて支持されている。
As shown in FIG. 2, the seal cap 24 is formed in a disk shape having an outer diameter larger than the inner diameter of the furnace port 34. The seal cap 24 is configured to hermetically seal the furnace port 34 with a seal ring 24a.
A rotation shaft 62 that is rotationally driven by the rotation drive device 61 is inserted on the center line of the seal cap 24, and the rotation shaft 62 is configured to move up and down together with the seal cap 24. A support base 63 is vertically installed on the upper end of the rotating shaft 62, and a boat 70 as a holder is vertically supported on and supported on the support base 63.

ボート70は上下で一対の端板71、72と、両端板71と72との間に架設されて垂直に配設された三本の保持柱73、73、73とを備えている。三本の保持柱73、73、73には多数条の保持溝74が長手方向に等間隔に配されて、同一平面内で互いに対向して開口するように没設されている。
そして、ウエハ1の外周縁辺が各保持柱73の多数条の保持溝74間にそれぞれ挿入されることにより、複数枚のウエハ1がボート70に水平にかつ互いに中心を揃えられた状態で整列されて保持されるようになっている。
The boat 70 includes a pair of upper and lower end plates 71 and 72, and three holding pillars 73, 73, and 73 that are provided between the both end plates 71 and 72 and arranged vertically. A large number of holding grooves 74 are arranged at equal intervals in the longitudinal direction on the three holding pillars 73, 73, 73 and are laid so as to open facing each other in the same plane.
Then, by inserting the outer peripheral edge of the wafer 1 between the multiple holding grooves 74 of the holding pillars 73, the plurality of wafers 1 are aligned on the boat 70 in a state where the centers are aligned with each other. Are to be held.

本実施の形態においては、図5に示されているように、保持柱73は横断面形状が長方形の角柱形状に形成されており、保持柱73のウエハ1と反対側の側面すなわちガスノズル38のガス噴出口39から吹き出されたガス流の上流側部分には、ガスの流れおよび拡散を妨げない横断面台形形状の整流部75が形成されている。
すなわち、台形形状の整流部75は、保持柱73の外側部分の両方のコーナ部に一対のC面取り75a、75aを対称形にそれぞれ加工されることにより形成されている。
図5(a)に示されているように、ガスノズル38のガス噴出口39から噴出したガス流は、この台形形状の整流部75に吹き当たると、一対のC面取り75a、75aに沿って流れることにより、実線矢印Bで示されている通り、下流に行くに従って次第に拡散するように整流されることになる。
すなわち、この台形形状の整流部75は、ガスノズル38のガス噴出口39から噴出したガス流が保持柱73によって妨げられて、図5(a)の二点鎖線矢印Aで示されているように直角に偏向されてしまう現象を、防止することができる。
なお、台形形状の整流部75は一対のC面取り75a、75aによって形成するに限らず、一対のR面取りによって形成してもよい。この実施の形態においては、台形の斜辺は直線ではなく曲線になるが、ガスの流れおよび拡散を妨げない作用は均等である。
ちなみに、C面取りの傾斜角度は45度に限らない。
In the present embodiment, as shown in FIG. 5, the holding column 73 is formed in a rectangular column shape having a rectangular cross section, and the side surface of the holding column 73 opposite to the wafer 1, that is, the gas nozzle 38. A rectifying section 75 having a trapezoidal cross section that does not hinder the flow and diffusion of the gas is formed in the upstream side portion of the gas flow blown out from the gas outlet 39.
That is, the trapezoidal rectifying unit 75 is formed by processing a pair of C chamfers 75 a and 75 a symmetrically at both corner portions of the outer portion of the holding column 73.
As shown in FIG. 5A, the gas flow ejected from the gas ejection port 39 of the gas nozzle 38 flows along a pair of C chamfers 75a and 75a when it blows against the trapezoidal rectifying unit 75. As a result, as indicated by the solid line arrow B, rectification is performed so as to gradually diffuse toward the downstream.
That is, in the trapezoidal rectifying unit 75, as indicated by a two-dot chain arrow A in FIG. 5A, the gas flow ejected from the gas ejection port 39 of the gas nozzle 38 is blocked by the holding column 73. The phenomenon of being deflected at right angles can be prevented.
The trapezoidal rectifying unit 75 is not limited to being formed by a pair of C chamfers 75a and 75a, but may be formed by a pair of R chamfers. In this embodiment, the hypotenuse of the trapezoid is not a straight line but a curved line, but the action that does not hinder the flow and diffusion of gas is equivalent.
Incidentally, the inclination angle of C chamfering is not limited to 45 degrees.

次に、以上の構成に係るALD装置30を使用したICの製造方法における成膜工程を説明する。
まず、基板処理装置としての全体の流れを説明する。
図2に示されているように、ALD装置30の被処理基板としてのウエハ1は複数枚がボート70にウエハ移載装置21bによって装填(チャージング)される。
複数枚のウエハ1が装填されたボート70は、シールキャップ24および回転軸62と共にボートエレベータ22によって上昇されて、プロセスチューブ31の処理室32に搬入(ボートローディング)される。
Next, a film forming process in an IC manufacturing method using the ALD apparatus 30 having the above configuration will be described.
First, the overall flow as a substrate processing apparatus will be described.
As shown in FIG. 2, a plurality of wafers 1 as substrates to be processed of the ALD apparatus 30 are loaded (charged) into the boat 70 by the wafer transfer apparatus 21b.
The boat 70 loaded with the plurality of wafers 1 is lifted by the boat elevator 22 together with the seal cap 24 and the rotating shaft 62 and is loaded into the processing chamber 32 of the process tube 31 (boat loading).

図4に示されているように、ウエハ1群を保持したボート70が処理室32に搬入されて、処理室32がシールキャップ24によってシールされると、処理室32は排気管35に接続された真空ポンプ36によって所定の圧力以下に排気され、ヒータユニット60への供給電力が上昇されることにより、処理室32の温度が所定の温度に上昇される。
ホットウオール式の炉構造であることにより、処理室32の温度は全体にわたって均一に維持された状態になるため、ボート70に保持されたウエハ1群の温度分布は全長にわたって均一になるとともに、各ウエハ1の面内の温度分布も均一かつ同一になる。
As shown in FIG. 4, when the boat 70 holding the wafer group 1 is loaded into the processing chamber 32 and the processing chamber 32 is sealed by the seal cap 24, the processing chamber 32 is connected to the exhaust pipe 35. The vacuum pump 36 evacuates to a predetermined pressure or lower, and the power supplied to the heater unit 60 is increased, whereby the temperature of the processing chamber 32 is increased to a predetermined temperature.
Since the temperature of the processing chamber 32 is maintained uniformly throughout the hot wall type furnace structure, the temperature distribution of the group of wafers held in the boat 70 is uniform over the entire length. The temperature distribution in the surface of the wafer 1 is also uniform and the same.

処理室32の温度が予め設定された値に達して安定した後に、後述するALD法による成膜作業が実施される。   After the temperature of the processing chamber 32 reaches a preset value and stabilizes, a film forming operation by the ALD method described later is performed.

所定の成膜作業が完了すると、シールキャップ24がボートエレベータ22によって下降されることにより炉口34が開口されるとともに、ボート70に保持された状態でウエハ1群が炉口34から処理室32の外部に搬出(ボートアンローディング)される。
処理室32の外部に搬出されたウエハ1群は、ボート70からウエハ移載装置21bによってディスチャージングされる(搬出される)。
以降、前記した作動が繰り返されることにより、複数枚のウエハ1が一括してバッチ処理される。
When a predetermined film forming operation is completed, the seal cap 24 is lowered by the boat elevator 22 to open the furnace port 34, and the group of wafers is held from the furnace port 34 to the processing chamber 32 while being held by the boat 70. Unloading (boat unloading).
The group of wafers unloaded from the processing chamber 32 is discharged (unloaded) from the boat 70 by the wafer transfer device 21b.
Thereafter, the plurality of wafers 1 are batch processed by repeating the above-described operation.

次に、ALD法による成膜作業を、TMAガスとオゾンガスとを用いて酸化アルミニウム膜を形成する場合について説明する。
TMAガスとオゾンガスとを用いて酸化アルミニウム膜を形成する場合には、次の第一ステップ、第二ステップおよび第三ステップが順に実施される。
Next, the film forming operation by the ALD method will be described in the case where an aluminum oxide film is formed using TMA gas and ozone gas.
When an aluminum oxide film is formed using TMA gas and ozone gas, the following first step, second step, and third step are sequentially performed.

第一ステップにおいては、オゾンガスが流される。
すなわち、第一ガス供給管40に設けた開閉弁44および排気管35に設けた可変流量制御弁37が共に開けられる。酸素ガスがオゾナイザ41に酸素ガス供給源42から供給されると、オゾナイザ41から可変流量制御弁43によって流量調整されたオゾンガスが、第一ガス供給管40を経由してガスノズル38へ供給され、ガスノズル38のガス噴出口39から処理室32へ噴出する。
オゾンガスを処理室32に供給し排気するときは、可変流量制御弁37を適正に調整することにより、処理室32の圧力が10〜1000Paの範囲内の所定の圧力に設定される。また、可変流量制御弁43によって制御されるオゾンガスの供給流量は、1000〜10000sccmである。
オゾンガスにウエハ1を晒す時間は、2〜120秒間である。
このときのヒータユニット60の制御温度は、ウエハの温度が250〜450℃になるように設定されている。
In the first step, ozone gas is flowed.
That is, the on-off valve 44 provided in the first gas supply pipe 40 and the variable flow rate control valve 37 provided in the exhaust pipe 35 are both opened. When oxygen gas is supplied to the ozonizer 41 from the oxygen gas supply source 42, ozone gas whose flow rate is adjusted by the variable flow rate control valve 43 from the ozonizer 41 is supplied to the gas nozzle 38 via the first gas supply pipe 40, and the gas nozzle The gas is ejected from the 38 gas ejection ports 39 to the processing chamber 32.
When ozone gas is supplied to the processing chamber 32 and exhausted, the pressure in the processing chamber 32 is set to a predetermined pressure within the range of 10 to 1000 Pa by appropriately adjusting the variable flow rate control valve 37. The ozone gas supply flow rate controlled by the variable flow rate control valve 43 is 1000 to 10000 sccm.
The time for exposing the wafer 1 to ozone gas is 2 to 120 seconds.
The control temperature of the heater unit 60 at this time is set so that the wafer temperature is 250 to 450 ° C.

同時に、第二ガス供給管50の途中につながっている第二不活性ガス供給管57の開閉弁58が開けられて、不活性ガスが流される。この不活性ガスによって、TMAガスを流すための第二ガス供給管50側にオゾンガスが回り込むことを防ぐことができる。   At the same time, the opening / closing valve 58 of the second inert gas supply pipe 57 connected to the middle of the second gas supply pipe 50 is opened, and the inert gas is allowed to flow. This inert gas can prevent the ozone gas from flowing into the second gas supply pipe 50 for flowing the TMA gas.

このときに、処理室32に流れているガスはオゾンガスおよび不活性ガスであり、処理室32内にはTMAガスは存在しない。したがって、オゾンガスは気相反応を起こすことはなく、ウエハ1の上の下地膜と表面反応する。   At this time, the gas flowing into the processing chamber 32 is ozone gas and inert gas, and no TMA gas exists in the processing chamber 32. Therefore, the ozone gas does not cause a gas phase reaction, and reacts with the base film on the wafer 1 on the surface.

第二ステップにおいては、第一ガス供給管40の開閉弁44が閉められて、オゾンガスの供給が停止される。
そして、排気管35の可変流量制御弁37は開いたままにして、処理室32を真空ポンプ36によって20Pa以下に排気することにより、残留したオゾンガスを処理室32から排除する。
この際に、第一不活性ガス供給管46の開閉弁47および第二不活性ガス供給管57の開閉弁58をそれぞれ開くことにより、不活性ガスを処理室32に供給すると、残留したオゾンガスを処理室32からより一層効果的に排除することができる。
In the second step, the on-off valve 44 of the first gas supply pipe 40 is closed, and the supply of ozone gas is stopped.
Then, the variable flow rate control valve 37 of the exhaust pipe 35 is kept open, and the remaining ozone gas is removed from the processing chamber 32 by exhausting the processing chamber 32 to 20 Pa or less by the vacuum pump 36.
At this time, if the inert gas is supplied to the processing chamber 32 by opening the on-off valve 47 of the first inert gas supply pipe 46 and the on-off valve 58 of the second inert gas supply pipe 57, the remaining ozone gas is removed. It can be more effectively excluded from the processing chamber 32.

第三ステップにおいては、TMAガスが流される。
TMAは常温で液体であり、処理室32に供給するには、加熱して気化させてから供給する方法、キャリアガスをTMA容器51の中に通し、気化している分をそのキャリアガスと共に処理室へと供給する方法等があるが、ここでは、一例として後者のケースで説明する。
キャリアガス供給源54に接続された開閉弁56と、第二ガス供給管50の開閉弁52と、排気管35の可変流量制御弁37とがそれぞれ開けられるとともに、キャリアガス供給源54に接続された可変流量制御弁55によって流量調節されることにより、キャリアガスがTMA容器51に供給される。
キャリアガスはTMA容器51の中を通り、TMAガスを生成する。TMAガスとキャリアガスとの混合ガスとなって、第二ガス供給管50を経由してガスノズル38に供給され、ガスノズル38のガス噴出口39から処理室32に流入し、ウエハ1にTMAガスを供給した後に、排気管35から排気される。
TMAガスを流すときは、排気管35の可変流量制御弁37が適正に調整されることにより、処理室32内の圧力が、10〜900Paに維持される。
また、キャリアガス供給源54に接続された可変流量制御弁55によって制御されるキャリアガスの供給流量は、10000sccm以下である。
TMAガスを供給するための時間は、1〜4秒に設定する。その後、さらに吸着させるため上昇した圧力雰囲気中に晒す時間を0〜4秒に設定してもよい。
このときのウエハ1の温度はオゾンガスの供給時と同じく250〜450℃である。
TMAガスの供給により、下地膜上のオゾンとTMAとが表面反応して、ウエハ1の上に酸化アルミニウム膜が成膜される。
In the third step, TMA gas is flowed.
TMA is a liquid at room temperature, and in order to supply it to the processing chamber 32, a method of supplying after heating and vaporizing, a carrier gas is passed through the TMA container 51, and the vaporized part is processed together with the carrier gas. Although there is a method of supplying to the room, the latter case will be described as an example here.
The on-off valve 56 connected to the carrier gas supply source 54, the on-off valve 52 of the second gas supply pipe 50, and the variable flow rate control valve 37 of the exhaust pipe 35 are each opened and connected to the carrier gas supply source 54. The carrier gas is supplied to the TMA container 51 by adjusting the flow rate by the variable flow rate control valve 55.
The carrier gas passes through the TMA container 51 and generates TMA gas. A mixed gas of TMA gas and carrier gas is supplied to the gas nozzle 38 via the second gas supply pipe 50, flows into the processing chamber 32 from the gas outlet 39 of the gas nozzle 38, and the TMA gas is supplied to the wafer 1. After the supply, the exhaust pipe 35 is exhausted.
When flowing the TMA gas, the pressure in the processing chamber 32 is maintained at 10 to 900 Pa by appropriately adjusting the variable flow rate control valve 37 of the exhaust pipe 35.
The supply flow rate of the carrier gas controlled by the variable flow control valve 55 connected to the carrier gas supply source 54 is 10,000 sccm or less.
The time for supplying the TMA gas is set to 1 to 4 seconds. Then, you may set to 0 to 4 second the time exposed to the pressure atmosphere which raised in order to make it adsorb | suck further.
At this time, the temperature of the wafer 1 is 250 to 450 ° C., which is the same as when ozone gas is supplied.
By supplying the TMA gas, ozone on the base film and TMA react with each other to form an aluminum oxide film on the wafer 1.

同時に、第一ガス供給管40の途中に接続された第一不活性ガス供給管46の開閉弁47を開けて、不活性ガスを流すと、オゾンガスを供給するための第一ガス供給管40の側にTMAガスが回り込むことを防ぐことができる。   At the same time, when the on-off valve 47 of the first inert gas supply pipe 46 connected in the middle of the first gas supply pipe 40 is opened and the inert gas is flowed, the first gas supply pipe 40 for supplying ozone gas is supplied. TMA gas can be prevented from wrapping around to the side.

成膜後、第二ガス供給管50の開閉弁52を閉じ、排気管35の可変流量制御弁37を開けて処理室32を真空排気し、残留するTMAガスの成膜に寄与した後のガスを排除する。
この際にも、第一不活性ガス供給管46の開閉弁47および第二不活性ガス供給管57の開閉弁58をそれぞれ開くことにより、不活性ガスを処理室32に供給すると、残留したTMAガスを処理室32からより一層効果的に排除することができる。
After the film formation, the on-off valve 52 of the second gas supply pipe 50 is closed, the variable flow rate control valve 37 of the exhaust pipe 35 is opened, the processing chamber 32 is evacuated, and the gas after contributing to the film formation of the remaining TMA gas Eliminate.
Also in this case, when the inert gas is supplied to the processing chamber 32 by opening the on-off valve 47 of the first inert gas supply pipe 46 and the on-off valve 58 of the second inert gas supply pipe 57, the residual TMA The gas can be more effectively removed from the processing chamber 32.

以上の第一ステップ〜第三ステップを1サイクルとし、このサイクルを複数回繰り返すことによりウエハ1の上に所定膜厚の酸化アルミニウム膜を成膜する。   The above first to third steps are defined as one cycle, and an aluminum oxide film having a predetermined thickness is formed on the wafer 1 by repeating this cycle a plurality of times.

処理室32内を排気してオゾンガスを除去してからTMAガスを流すので、両者はウエハ1に向かう途中で反応しない。供給されたTMAガスは、ウエハ1に吸着しているオゾンとのみ有効に反応させることができる。   Since TMA gas is flowed after exhausting the inside of the processing chamber 32 and removing ozone gas, both do not react on the way to the wafer 1. The supplied TMA gas can be effectively reacted only with the ozone adsorbed on the wafer 1.

また、オゾンガスを供給する第一ガス供給管40およびTMAガスを供給する第二ガス供給管50を処理室32内で合流させることにより、オゾンガスとTMAガスとをガスノズル38内においても交互に吸着させて反応させ、酸化アルミニウム膜を体積させることができるので、オゾンガスとTMAガスとを別々のノズルで供給する場合にTMAガスノズル内で異物発生源になる可能性があるアルミニウム(Al)膜が生成するという問題をなくすことができる。酸化アルミニウム膜は、アルミニウム膜よりも密着性が良好で剥がれ難いので、異物の発生源になり難い。   Further, the ozone gas and the TMA gas are alternately adsorbed in the gas nozzle 38 by joining the first gas supply pipe 40 for supplying the ozone gas and the second gas supply pipe 50 for supplying the TMA gas in the processing chamber 32. Since the aluminum oxide film can be made to react by volume, when an ozone gas and a TMA gas are supplied by separate nozzles, an aluminum (Al) film that can become a foreign matter generation source is generated in the TMA gas nozzle. Can be eliminated. An aluminum oxide film has better adhesion than an aluminum film and is less likely to be peeled off.

ところで、図6に示されているように、断面長方形の角柱形状の保持柱73’を備えたボート70’の場合においては、ボート70’の回転に伴って、図6(a)に示されているように、角柱形状の保持柱73’がガスノズル38のガス噴出口39に対向した時には、ガス噴出口39から噴出するガスの流れは角柱形状の保持柱73’によって妨げられることにより、二点鎖線矢印Aで示されているようになるために、ガスをウエハ1の上に供給することは困難になる。
そして、図6(a)に二点鎖線矢印Aで示されているように、ウエハ1の外方空間に流れたガスが、ボート70’に多段に積層されてコンダクタンスが大きくなった上下のウエハ1、1間に拡散する割合は小さく、ガスの拡散だけでは保持柱73’の近傍のガス濃度をそれ以外の領域と同等の値とすることは困難になる。
また、ボート70’の回転に伴って、図6(b)に示されているように、角柱形状の保持柱73’がガスノズル38のガス噴出口39の対向位置から若干離れた時には、ガス噴出口39から噴出するガスの流れは角柱形状の保持柱73’によって妨げられることにより、二点鎖線矢印Aで示されているようになるために、保持柱73’の内側エリアにガスが供給されないガス不足領域Cが発生する。
このように、角柱形状の保持柱73’を備えたボート70’の場合には、保持柱73’の近傍エリアにおいては、その他のエリアと比較すると、ガスの供給が不足するエリアとなるために、ウエハ1の面内膜厚均一性が悪化するという問題点があることが、本発明者によって明らかにされた。
Incidentally, as shown in FIG. 6, in the case of a boat 70 ′ having a prismatic holding pillar 73 ′ having a rectangular cross section, it is shown in FIG. 6A as the boat 70 ′ rotates. As shown, when the prismatic holding column 73 ′ faces the gas ejection port 39 of the gas nozzle 38, the flow of gas ejected from the gas ejection port 39 is hindered by the prismatic holding column 73 ′. Since it becomes as shown by the dotted line arrow A, it is difficult to supply the gas onto the wafer 1.
Then, as indicated by a two-dot chain line arrow A in FIG. 6A, the upper and lower wafers in which the gas flowing in the outer space of the wafer 1 is stacked in multiple stages on the boat 70 ′ and the conductance is increased. The ratio of diffusion between 1 and 1 is small, and it is difficult to make the gas concentration in the vicinity of the holding column 73 ′ equal to that in other regions only by gas diffusion.
Further, as the boat 70 ′ is rotated, as shown in FIG. 6B, when the prismatic holding column 73 ′ is slightly separated from the position opposite to the gas outlet 39 of the gas nozzle 38, Since the flow of the gas ejected from the outlet 39 is blocked by the prismatic holding column 73 ′, as indicated by a two-dot chain line arrow A, no gas is supplied to the inner area of the holding column 73 ′. A gas shortage region C occurs.
As described above, in the case of the boat 70 ′ having the prismatic holding column 73 ′, the area near the holding column 73 ′ is an area where gas supply is insufficient compared to other areas. The present inventors have revealed that there is a problem that the in-plane film thickness uniformity of the wafer 1 is deteriorated.

この問題点を解決するために、本実施の形態においては、図5に示されているように、保持柱73の外側部分の両方のコーナ部に一対のC面取り75a、75aを対称形にそれぞれ加工することにより、保持柱73のウエハ1と反対側の側面すなわちガスノズル38のガス噴出口39から吹き出されたガス流の上流側部分に、ガスの流れおよび拡散を妨げない横断面台形形状の整流部75を形成した。
図5(a)に示されているように、ガスノズル38のガス噴出口39から噴出したガス流は、この台形形状の整流部75に吹き当たると、一対のC面取り75a、75aに沿って流れることにより、実線矢印Bで示されている通り、下流に行くに従って次第に拡散するように整流されることになる。
すなわち、この台形形状の整流部75は、ガスノズル38のガス噴出口39から噴出したガス流が保持柱73によって妨げられて、図5(a)の二点鎖線矢印Aで示されているような直角に偏向されてしまう現象を、防止することができる。
このように整流部75を有する保持柱73を備えたボート70の場合には、保持柱73の近傍エリアにおいてガスの供給が不足することが略解消されるために、ウエハ1の面内膜厚均一性が改善する。
なお、台形形状の整流部75が一対のR面取りによって形成された場合であっても、ガスの流れおよび拡散を妨げない作用は均等に起こる。
In order to solve this problem, in the present embodiment, as shown in FIG. 5, a pair of C chamfers 75a and 75a are symmetrically formed at both corners of the outer portion of the holding column 73, respectively. By processing, the side surface of the holding column 73 opposite to the wafer 1, that is, the upstream side portion of the gas flow blown from the gas outlet 39 of the gas nozzle 38, has a trapezoidal cross-sectional shape that does not hinder gas flow and diffusion. Part 75 was formed.
As shown in FIG. 5A, the gas flow ejected from the gas ejection port 39 of the gas nozzle 38 flows along a pair of C chamfers 75a and 75a when it blows against the trapezoidal rectifying unit 75. As a result, as indicated by the solid line arrow B, rectification is performed so as to gradually diffuse toward the downstream.
That is, the trapezoidal rectifying unit 75 has a gas flow ejected from the gas ejection port 39 of the gas nozzle 38 blocked by the holding column 73 and is shown by a two-dot chain line arrow A in FIG. The phenomenon of being deflected at right angles can be prevented.
In the case of the boat 70 having the holding column 73 having the rectifying unit 75 as described above, the shortage of gas supply in the area near the holding column 73 is substantially eliminated. Uniformity is improved.
Even when the trapezoidal rectifying portion 75 is formed by a pair of R chamfers, the action that does not hinder the flow and diffusion of gas occurs evenly.

前記実施の形態によれば、次の効果が得られる。   According to the embodiment, the following effects can be obtained.

1) ボートの各保持柱における外側部分の両方のコーナ部に一対のC面取りまたはR面取りをそれぞれ加工して、保持柱のガス流の上流側部分にガスの流れおよび拡散を妨げない整流部をそれぞれ形成することにより、保持柱の近傍エリアにおいてガスの供給が不足する現象を抑止ないしは抑制することができるので、ウエハの面内の膜厚均一性を向上させることができる。 1) A pair of C chamfers or R chamfers are machined in both corners of the outer part of each holding column of the boat, and a rectifying unit that does not hinder gas flow and diffusion in the upstream part of the gas flow of the holding column. By forming each, the phenomenon of insufficient gas supply in the area near the holding pillar can be suppressed or suppressed, so that the film thickness uniformity within the surface of the wafer can be improved.

2) ウエハ面内の膜厚均一性を向上させることができるので、ALD装置ひいては半導体製造装置を向上させることができる。 2) Since the film thickness uniformity within the wafer surface can be improved, the ALD apparatus, and hence the semiconductor manufacturing apparatus, can be improved.

図7は本発明の第二の実施の形態を示す図5に相当する図である。
本実施の形態が前記した第一の実施の形態と異なる点は、図7に示されているように、保持柱73の内側部分の両方のコーナ部に一対のC面取り76a、76aを対称形にそれぞれ加工することにより、保持柱73のウエハ1側の側面すなわちガスノズル38のガス噴出口39から吹き出されたガス流の下流側部分に、ガスの流れおよび拡散を妨げない横断面台形形状の整流部76を形成した点である。
本実施の形態においては、ガスノズル38のガス噴出口39から噴出して保持柱73の片脇を吹き抜けたガス流の一部は、図6(a)に示された実線矢印Bで示されている通り、一方のC面取り76aに沿って流れることにより、ガス不足領域Cを吹き消すように解消ないしは略解消することになる。
このように整流部75を有する保持柱73を備えたボート70の場合には、保持柱73の近傍エリアにおいてガスの供給が不足する現象が解消ないしは略解消するために、ウエハ1の面内膜厚均一性が改善する。
なお、内側が台形形状の整流部76は一対のC面取り76a、76aによって形成するに限らず、一対のR面取りによって形成してもよい。R面取りの場合においても、ガス流を整流する作用はC面取りの場合と均等に起こる。
FIG. 7 is a view corresponding to FIG. 5 showing a second embodiment of the present invention.
The present embodiment is different from the first embodiment described above in that a pair of C chamfers 76a and 76a are symmetrically formed at both corner portions of the inner portion of the holding column 73 as shown in FIG. Are processed into the trapezoidal cross-sectional shape that does not hinder the flow and diffusion of the gas on the side surface of the holding column 73 on the wafer 1 side, that is, the downstream side portion of the gas flow blown out from the gas outlet 39 of the gas nozzle 38. The point 76 is formed.
In the present embodiment, a part of the gas flow ejected from the gas ejection port 39 of the gas nozzle 38 and blown through one side of the holding column 73 is indicated by a solid arrow B shown in FIG. As shown, by flowing along one of the C chamfers 76a, the gas shortage region C is eliminated or substantially eliminated.
In the case of the boat 70 having the holding column 73 having the rectifying unit 75 as described above, the in-plane film of the wafer 1 is eliminated in order to eliminate or substantially eliminate the phenomenon of insufficient gas supply in the area near the holding column 73. Thickness uniformity is improved.
The rectifying unit 76 having an inner trapezoidal shape is not limited to being formed by a pair of C chamfers 76a and 76a, but may be formed by a pair of R chamfers. Even in the case of R chamfering, the action of rectifying the gas flow occurs equally as in the case of C chamfering.

図8は本発明の第三の実施の形態を示す図5に相当する図である。
本実施の形態が前記した第一の実施の形態と異なる点は、図8に示されているように、保持柱73の保持溝74内における内側部分の両方のコーナ部に一対のR面取り77a、77aを対称形にそれぞれ加工することにより、保持溝74内であって保持柱73の内側の側面すなわちガスノズル38のガス噴出口39から吹き出されたガス流の下流側部分に、ガスの流れおよび拡散を妨げない横断面蒲鉾形状の整流部77を形成した点である。
本実施の形態においては、ガスノズル38のガス噴出口39から噴出して保持柱73の片脇を吹き抜けたガス流の一部は、図8(a)に示された実線矢印Bで示されている通り、一方のR面取り77aに沿って流れることにより、ガス不足領域Cを吹き消すように解消ないしは略解消することになる。
このように蒲鉾形状の整流部77を有する保持柱73を備えたボート70の場合には、保持柱73の近傍エリアにおいてガスの供給が不足する現象が解消ないしは略解消するために、ウエハ1の面内膜厚均一性が改善する。
なお、内側が蒲鉾形状の整流部77は一対のR面取り77a、77aによって形成するに限らず、一対のC面取りによって形成してもよい。C面取りの場合においても、ガス流を整流する作用はR面取りの場合と均等に起こる。
FIG. 8 is a view corresponding to FIG. 5 showing a third embodiment of the present invention.
The present embodiment is different from the first embodiment described above in that a pair of R chamfers 77a are provided at both corner portions of the inner portion in the holding groove 74 of the holding column 73 as shown in FIG. , 77a are processed symmetrically to each other in the holding groove 74 and on the inner side surface of the holding column 73, that is, on the downstream side portion of the gas flow blown out from the gas outlet 39 of the gas nozzle 38, and This is a point in which a rectifying portion 77 having a cross-sectional saddle shape that does not hinder diffusion is formed.
In the present embodiment, a part of the gas flow ejected from the gas ejection port 39 of the gas nozzle 38 and blown through one side of the holding column 73 is indicated by the solid arrow B shown in FIG. As shown, by flowing along one R chamfer 77a, the gas shortage region C is eliminated or substantially eliminated.
In the case of the boat 70 having the holding column 73 having the bowl-shaped rectifying unit 77 as described above, the phenomenon of insufficient gas supply in the vicinity of the holding column 73 is eliminated or substantially eliminated. In-plane film thickness uniformity is improved.
Note that the rectifying portion 77 having a bowl shape on the inside is not limited to being formed by a pair of R chamfers 77a and 77a, but may be formed by a pair of C chamfers. Even in the case of C chamfering, the action of rectifying the gas flow occurs evenly in the case of R chamfering.

図9は本発明の第四の実施の形態を示す図5に相当する図である。
本実施の形態が前記した第一の実施の形態と異なる点は、図9に示されているように、保持柱73の保持溝74Dが上下で一対の保持ピン78、78によって構成されており、各保持ピン78の高さHが3mm以下に設定されている点である。
本実施の形態においては、ガスノズル38のガス噴出口39から噴出して保持柱73の片脇を吹き抜けたガス流の一部は、図9(a)に示された実線矢印Bで示されている通り、保持ピン78によって形成された保持柱73の内側空白エリアを流れることにより、ガス不足領域Cを吹き消すように解消ないしは略解消することになる。
このように保持ピン78でウエハ1を保持するボート70の場合には、保持柱73の近傍エリアにおいてガスの供給が不足する現象が解消ないしは略解消するために、ウエハ1の面内膜厚均一性が改善する。
ここで、実線矢印Bで示されたガスの流れに寄与する保持柱73の内側空白エリアの広さは保持ピン78の太さに依存するので、保持ピン78の太さは可及的に小さく設定することが好ましい。特に、3mm以下に設定することが望ましい。
他方、ウエハ1の荷重は三本の保持ピン78、78、78によって分担して受けることになるので、保持ピン78の強度を決定する保持ピン78の厚さ(高さ)は、ウエハ1の荷重を受けることができる最小値以上に設定する必要がある。
したがって、保持ピン78の高さHは、3mm以内の範囲内のウエハ1を保持可能な強度が得られる最小値に設定することが望ましい。
ちなみに、同様な理由から、図8に示された第三の実施の形態の保持溝74の保持凸部74aの高さHも、3mm以下に設定することが望ましい。
FIG. 9 is a view corresponding to FIG. 5 showing a fourth embodiment of the present invention.
This embodiment is different from the first embodiment described above in that the holding groove 74D of the holding column 73 is composed of a pair of holding pins 78 and 78 as shown in FIG. The height H of each holding pin 78 is set to 3 mm or less.
In the present embodiment, a part of the gas flow ejected from the gas ejection port 39 of the gas nozzle 38 and blown through one side of the holding column 73 is indicated by a solid arrow B shown in FIG. As shown, by flowing through the inner blank area of the holding column 73 formed by the holding pin 78, the gas deficient region C is eliminated or substantially eliminated.
Thus, in the case of the boat 70 that holds the wafer 1 with the holding pins 78, the in-plane film thickness of the wafer 1 is uniform in order to eliminate or substantially eliminate the phenomenon of insufficient gas supply in the area near the holding column 73. Improves.
Here, since the width of the inner blank area of the holding column 73 contributing to the gas flow indicated by the solid arrow B depends on the thickness of the holding pin 78, the thickness of the holding pin 78 is as small as possible. It is preferable to set. In particular, it is desirable to set it to 3 mm or less.
On the other hand, since the load of the wafer 1 is shared by the three holding pins 78, 78, 78, the thickness (height) of the holding pins 78 that determines the strength of the holding pins 78 is the same as that of the wafer 1. It is necessary to set more than the minimum value that can receive the load.
Therefore, it is desirable that the height H of the holding pins 78 be set to a minimum value that provides a strength capable of holding the wafer 1 within a range of 3 mm or less.
Incidentally, for the same reason, it is desirable that the height H of the holding convex portion 74a of the holding groove 74 of the third embodiment shown in FIG. 8 is also set to 3 mm or less.

図10は本発明の第五の実施の形態を示す図5に相当する図である。
本実施の形態が前記した第一の実施の形態と異なる点は、図10に示されているように、保持柱73Eには整流部75が設けられておらず、保持柱73Eの太さWがウエハ1の積層ピッチPすなわち上下の保持溝74、74のピッチPよりも小さくなるように、すなわち、W≦P、に設定されている点である。
ところで、ウエハ1を保持する保持柱73の太さWは、ウエハ1の積層ピッチPとは無関係に保持柱73の機械的強度の向上を優先にして設定されるのが、一般的である。
特に、ウエハ1の積層ピッチPが15mm以下といった狭い(小さい)場合においては、保持柱73の太さWが積層ピッチPの値よりも小さくなる場合が多くなる。
このように、保持柱73の太さWが積層ピッチPの値よりも小さくなる場合においては、上下のウエハ1、1間へのガスの拡散による供給量は、非常に小さくなる傾向が強くなることが究明された。
そこで、本実施の形態においては、特に、ウエハの積層ピッチPが15mm以下といった狭い場合に、保持柱73Eの太さWを積層ピッチPよりも小さく設定した。
本実施の形態においては、ガスノズル38のガス噴出口39から噴出したガス流は、図10(a)に示された実線矢印Bで示されている通り、狭い保持柱73Eに殆ど影響を受けずに、狭い保持柱73Eの内側エリアに回り込むことにより、ガス不足領域Cを吹き消すように解消ないしは略解消することになる。
このように狭い保持柱73Eによってウエハ1を保持するボート70の場合には、狭い保持柱73Eの近傍エリアにおいてガスの供給が不足する現象が解消ないしは略解消するために、ウエハ1の面内膜厚均一性が改善する。
FIG. 10 is a view corresponding to FIG. 5 showing a fifth embodiment of the present invention.
As shown in FIG. 10, the present embodiment is different from the first embodiment described above in that the holding column 73E is not provided with the rectifying unit 75, and the thickness W of the holding column 73E. Is set to be smaller than the stacking pitch P of the wafer 1, that is, the pitch P of the upper and lower holding grooves 74, 74, that is, W ≦ P.
Incidentally, the thickness W of the holding pillar 73 that holds the wafer 1 is generally set with priority on the improvement of the mechanical strength of the holding pillar 73 regardless of the stacking pitch P of the wafer 1.
In particular, when the stacking pitch P of the wafer 1 is narrow (small), such as 15 mm or less, the thickness W of the holding pillar 73 is often smaller than the value of the stacking pitch P.
Thus, in the case where the thickness W of the holding pillar 73 is smaller than the value of the stacking pitch P, the supply amount due to gas diffusion between the upper and lower wafers 1 and 1 tends to become very small. It was investigated.
Therefore, in the present embodiment, the thickness W of the holding pillar 73E is set smaller than the stacking pitch P particularly when the wafer stacking pitch P is as narrow as 15 mm or less.
In the present embodiment, the gas flow ejected from the gas ejection port 39 of the gas nozzle 38 is hardly affected by the narrow holding column 73E, as indicated by the solid arrow B shown in FIG. In addition, by going around the inner area of the narrow holding pillar 73E, the gas shortage region C is eliminated or substantially eliminated.
In the case of the boat 70 that holds the wafer 1 by the narrow holding column 73E as described above, in order to eliminate or substantially eliminate the phenomenon of insufficient gas supply in the area near the narrow holding column 73E, the in-plane film of the wafer 1 is used. Thickness uniformity is improved.

図11は本発明の第六の実施の形態を示すボートを示している。
本実施の形態が前記した第一の実施の形態と異なる点は、図11に示されているように、複数枚のウエハをそれぞれ間隔を置いて積層させた状態で保持するボート70が、ウエハ1を保持する三本の保持柱73G、73G、73Gと、ウエハ1を保持しない2本の支柱79、79とを備えており、三本の保持柱73G、73G、73Gの太さWおよび2本の支柱79、79の太さW1が細く設定されている点である。
ところで、ウエハ1を所謂3点保持によって安定して保持するために、ボート70は3本の保持柱73、73、73によって構築するのが、一般的である。
そして、ボート70において複数枚のウエハ1を保持する保持柱73は、ウエハ1を保持するとともに、ボート70自体を構築する骨格としての役割も担っているために、保持柱73を細く(狭く)した場合には、機械的強度の低下が問題となる。
したがって、ボート70を3本の保持柱によって構築した場合において、第五の実施の形態のように、保持柱73Eの太さWを狭く(細く)設定することにより、ウエハ面内の膜厚均一性を向上させた場合には、ボート70の機械的強度の低下が問題となる。
さらに、ボート70には製品(IC)となるウエハ1に加えて、複数枚の処理確認モニタ用のウエハ(モニタウエハ)、複数枚の均熱性確保のためのウエハ(サイドウエハ)および支持台に内蔵される断熱板等が搭載されるために、ボート70の機械的強度の低下はより一層問題となる。
本実施の形態においては、ウエハ1を保持する保持柱73Gは太さWが細く設定されているので、第五の実施の形態を示す図10(a)で参照されるように、ガスノズル38のガス噴出口39から噴出したガス流は、細い保持柱73G(73E)に殆ど影響を受けずに、細い保持柱73G(73E)の内側エリアに回り込むことにより、ガス不足領域Cを吹き消すように解消ないしは略解消することになる。
このように細い保持柱73Gによってウエハ1を保持するボート70の場合には、細い保持柱73Gの近傍エリアにおいてガスの供給が不足する現象が解消ないしは略解消するために、ウエハ1の面内膜厚均一性が改善する。
ちなみに、ウエハ面内の膜厚均一性を確保するために、保持柱73Gの太さWと、支柱79の支柱の太さW1は同等に設定することが望ましい。
また、同様の理由から、保持柱73Gの柱形状と、支柱79の柱形状は同等に設定することが望ましい。
他方、本実施の形態においては、3本の保持柱73G、73G、73Gとは別にボート70の骨格を構築する2本の支柱79、79を備えているので、ボート70の機械的強度の低下を補うことができる。
FIG. 11 shows a boat according to a sixth embodiment of the present invention.
As shown in FIG. 11, the present embodiment is different from the first embodiment described above in that a boat 70 that holds a plurality of wafers in a state of being stacked at intervals is used as a wafer. 3 holding pillars 73G, 73G, 73G for holding 1 and two struts 79, 79 for holding the wafer 1, the thicknesses W and 2 of the three holding pillars 73G, 73G, 73G are provided. The thickness W1 of the support | pillars 79 and 79 is the point set thinly.
Incidentally, in order to stably hold the wafer 1 by so-called three-point holding, the boat 70 is generally constructed by three holding pillars 73, 73, 73.
The holding column 73 that holds the plurality of wafers 1 in the boat 70 holds the wafer 1 and also serves as a skeleton for constructing the boat 70 itself, so the holding column 73 is narrowed (narrow). In such a case, a decrease in mechanical strength becomes a problem.
Therefore, when the boat 70 is constructed with three holding columns, the thickness W of the holding column 73E is set to be narrow (thin) as in the fifth embodiment so that the film thickness is uniform within the wafer surface. When the performance is improved, a decrease in the mechanical strength of the boat 70 becomes a problem.
Further, in addition to the wafer 1 as a product (IC), the boat 70 includes a plurality of processing confirmation monitor wafers (monitor wafers), a plurality of wafers for ensuring thermal uniformity (side wafers), and a support base. Since a built-in heat insulating plate or the like is mounted, the mechanical strength of the boat 70 is further deteriorated.
In the present embodiment, since the holding column 73G for holding the wafer 1 is set to have a small thickness W, as shown in FIG. 10 (a) showing the fifth embodiment, The gas flow ejected from the gas ejection port 39 is hardly affected by the thin holding column 73G (73E) and circulates into the inner area of the thin holding column 73G (73E) so as to blow out the gas shortage region C. It will be eliminated or almost eliminated.
In the case of the boat 70 that holds the wafer 1 by the thin holding column 73G as described above, the in-plane film of the wafer 1 is eliminated in order to eliminate or substantially eliminate the phenomenon of insufficient gas supply in the area near the thin holding column 73G. Thickness uniformity is improved.
Incidentally, it is desirable to set the thickness W of the support column 73G and the column thickness W1 of the column 79 equal to ensure uniformity of the film thickness in the wafer surface.
For the same reason, it is desirable to set the column shape of the holding column 73G and the column shape of the column 79 to be equal.
On the other hand, in this embodiment, since the two support columns 79 and 79 for constructing the skeleton of the boat 70 are provided separately from the three holding columns 73G, 73G, and 73G, the mechanical strength of the boat 70 is reduced. Can be supplemented.

図12は本発明の第七の実施の形態を示すボートを示している。
本実施の形態が前記第六の実施の形態と異なる点は、ウエハ1を保持しない支柱79Hが4本設けられている点と、ウエハ1を保持する三本の保持柱73Hおよびウエハ1を保持しない4本の支柱79Hが断面楕円形に形成されている点とである。
本実施の形態においても、前記した第六の実施の形態と同様の作用および効果が奏される。
FIG. 12 shows a boat showing a seventh embodiment of the present invention.
The present embodiment is different from the sixth embodiment in that four columns 79H that do not hold the wafer 1 are provided, three holding columns 73H that hold the wafer 1 and the wafer 1 are held. The four struts 79H not to be formed are formed in an elliptical cross section.
Also in this embodiment, the same operations and effects as the sixth embodiment described above are exhibited.

図13は本発明の第八の実施の形態を示す図5に相当する図である。
本実施の形態が前記した第一の実施の形態と異なる点は、図13に示されているように、略正方形角柱形状の保持柱73がウエハ1の中心方向に貫通する通風孔80を備えている点である。
本実施の形態においては、角柱形状の保持柱73がガスノズル38のガス噴出口39に対向した時には、ガス噴出口39から噴出するガスの流れの一部は角柱形状の保持柱73によって妨げられることにより、図13(a)に二点鎖線矢印Aで示されているようになるが、大部分のガスの流れは同じく実線矢印Bで示されている通り、通風孔80を通風することにより、ガス不足領域Cを吹き消すように解消ないしは略解消することになる。
このように通風孔80を有する保持柱73を備えたボート70の場合には、保持柱73の近傍エリアにおいてガスの供給が不足することが解消ないしは略解消するために、ウエハ1の面内膜厚均一性が改善する。
なお、通風孔80は保持柱73の全長にわたって一連に連続するように開設するに限らず、各保持溝74毎に保持溝74内で開口するように断続的に開設してもよい。
FIG. 13 is a diagram corresponding to FIG. 5 showing an eighth embodiment of the present invention.
The present embodiment is different from the first embodiment described above in that a substantially square prismatic holding column 73 is provided with a ventilation hole 80 that penetrates in the center direction of the wafer 1 as shown in FIG. It is a point.
In the present embodiment, when the prismatic holding column 73 faces the gas outlet 39 of the gas nozzle 38, part of the gas flow ejected from the gas outlet 39 is blocked by the prismatic holding column 73. As shown by the two-dot chain line arrow A in FIG. 13 (a), the flow of most of the gas is as shown by the solid line arrow B. The gas shortage region C is eliminated or substantially eliminated so as to blow out.
In the case of the boat 70 having the holding column 73 having the ventilation holes 80 as described above, in order to eliminate or substantially eliminate the shortage of gas supply in the area near the holding column 73, the in-plane film of the wafer 1 is used. Thickness uniformity is improved.
The ventilation holes 80 are not limited to be opened continuously so as to be continuous over the entire length of the holding pillar 73, but may be opened intermittently so as to open in the holding grooves 74 for each holding groove 74.

図14は本発明の効果を検証するために実施した実験の結果を示しており、(a)は保持柱の位置とウエハ面内の膜厚分布との関係を示すウエハ面内の膜厚分布図、(b)はウエハ面内の膜厚均一性を比較する比較表である。
比較例は図6に示されたボートを使用した場合であり、本発明は図5(第一の実施の形態)と図9(第四の実施の形態)とを組み合わせたボートを使用した場合である。
膜厚均一性は、(最大膜厚−最小膜厚)/2×平均膜厚×100、で示される。
本実験の条件は次の通りである。
成膜した膜種は窒化シリコン(SiN)、使用したガス種はアンモニア(NH3 )およびジクロロシラン(SiH2 Cl2 )、流量はアンモニアが6slm、ジクロロシランが100cc、処理室内圧力はアンモニアを流す時が0.5torr(約66.5Pa)、ジクロロシランを流す時が3torr(約399Pa)、ボートの回転数は8.6rpmである。
図14(b)に示されている通り、本発明によれば、ウエハ面内の膜厚均一性を比較例に比べて向上させることができる。
FIG. 14 shows the results of an experiment conducted for verifying the effect of the present invention. FIG. 14A shows the relationship between the position of the holding column and the film thickness distribution in the wafer surface. FIG. 4B is a comparison table for comparing the film thickness uniformity within the wafer surface.
A comparative example is a case where the boat shown in FIG. 6 is used, and the present invention is a case where a boat combining FIG. 5 (first embodiment) and FIG. 9 (fourth embodiment) is used. It is.
The film thickness uniformity is represented by (maximum film thickness−minimum film thickness) / 2 × average film thickness × 100.
The conditions of this experiment are as follows.
The film type formed is silicon nitride (SiN), the gas types used are ammonia (NH 3 ) and dichlorosilane (SiH 2 Cl 2 ), the flow rate is 6 slm for ammonia, 100 cc for dichlorosilane, and the process chamber pressure is ammonia. The time is 0.5 torr (about 66.5 Pa), the time of flowing dichlorosilane is 3 torr (about 399 Pa), and the number of revolutions of the boat is 8.6 rpm.
As shown in FIG. 14B, according to the present invention, the film thickness uniformity within the wafer surface can be improved as compared with the comparative example.

なお、本発明は前記実施の形態に限定されるものではなく、その要旨を逸脱しない範囲で種々に変更が可能であることはいうまでもない。   Needless to say, the present invention is not limited to the above-described embodiment, and various modifications can be made without departing from the scope of the invention.

例えば、ボートは支持台の上に設置するに限らない。   For example, the boat is not limited to being installed on a support base.

前記実施の形態では、TMAガスとオゾンガスとを交互に供給して酸化アルミニウム膜を低温下で適正かつ精密に形成する場合について説明したが、ALD装置はこれに限らず、酸化ハフニウム(HfO2 )膜の生成にも適用することができる。
この場合には、気化させたテトラキス(N−エチル−N−メチルアミノ)ハフニウム(常温で液体)のハフニウム原料ガスと、オゾンガスとを交互に流すことにより、酸化ハフニウム膜の成膜が実施される。
In the above embodiment, the case where the TMA gas and the ozone gas are alternately supplied to form the aluminum oxide film appropriately and precisely at a low temperature has been described. However, the ALD apparatus is not limited to this, and hafnium oxide (HfO 2 ). It can also be applied to film formation.
In this case, the hafnium oxide film is formed by alternately flowing a hafnium source gas of vaporized tetrakis (N-ethyl-N-methylamino) hafnium (liquid at room temperature) and ozone gas. .

また、前記実施の形態ではALD装置を備えた半導体製造装置について説明したが、本発明はこれに限らず、他のCVD装置、酸化膜形成装置、拡散装置およびアニール装置等を備えた基板処理装置全般に適用することができる。   Moreover, although the semiconductor manufacturing apparatus provided with the ALD apparatus was demonstrated in the said embodiment, this invention is not limited to this, The substrate processing apparatus provided with another CVD apparatus, an oxide film formation apparatus, a diffusion apparatus, an annealing apparatus, etc. It can be applied in general.

前記実施の形態ではウエハに処理が施される場合について説明したが、処理対象はホトマスクやプリント配線基板、液晶パネル、コンパクトディスクおよび磁気ディスク等であってもよい。   In the above embodiment, the case where the wafer is processed has been described. However, the processing target may be a photomask, a printed wiring board, a liquid crystal panel, a compact disk, a magnetic disk, or the like.

本発明の一実施の形態である半導体製造装置を示す一部省略斜視図である。It is a partially-omission perspective view which shows the semiconductor manufacturing apparatus which is one embodiment of this invention. その主要部を示す正面断面図である。It is front sectional drawing which shows the principal part. 図2のIII-III 線に沿う回路図付き一部省略平面断面図である。FIG. 3 is a partially omitted plan sectional view with a circuit diagram taken along line III-III in FIG. 2. 図3のIV−IV線に沿う成膜処理時を示す正面断面図である。It is front sectional drawing which shows the time of the film-forming process which follows the IV-IV line | wire of FIG. 本発明の第一の実施の形態に係るボートにおけるガスの流れを示しており、(a)は図4のV−V線に沿う断面図に相当する拡大部分平面断面図であり、(b)は図5(a)のb−b線に沿う正面断面図である。The flow of the gas in the boat concerning a first embodiment of the present invention is shown, (a) is an expanded partial plane sectional view equivalent to a sectional view which meets a VV line of Drawing 4, (b) These are front sectional drawings which follow the bb line of Fig.5 (a). 比較例におけるガスの流れを示す各平面断面図であり、(a)は保持柱がガス噴出口に対向した時を示し、(b)は保持柱がガス噴出口から若干ずれた時を示している。It is each plane sectional drawing which shows the flow of the gas in a comparative example, (a) shows the time when a holding column opposes the gas jet nozzle, (b) shows the time when the holding column has shifted slightly from the gas jet port. Yes. 本発明の第二の実施の形態に係るボートにおけるガスの流れを示しており、(a)は拡大部分平面断面図であり、(b)は正面断面図である。The flow of the gas in the boat concerning a 2nd embodiment of the present invention is shown, (a) is an expanded partial plane sectional view, and (b) is a front sectional view. 本発明の第三の実施の形態に係るボートにおけるガスの流れを示しており、(a)は拡大部分平面断面図であり、(b)は正面断面図である。The flow of the gas in the boat concerning a 3rd embodiment of the present invention is shown, (a) is an expanded partial plane sectional view, and (b) is a front sectional view. 本発明の第四の実施の形態に係るボートにおけるガスの流れを示しており、(a)は拡大部分平面断面図であり、(b)は正面断面図である。The flow of the gas in the boat concerning a 4th embodiment of the present invention is shown, (a) is an expanded partial plane sectional view, and (b) is a front sectional view. 本発明の第五の実施の形態に係るボートにおけるガスの流れを示しており、(a)は拡大部分平面断面図であり、(b)は正面断面図である。The flow of the gas in the boat concerning a 5th embodiment of the present invention is shown, (a) is an expanded partial plane sectional view, and (b) is a front sectional view. 本発明の第六の実施の形態に係るボートを示しており、(a)は平面断面端面図であり、(b)は正面図である。The boat which concerns on 6th embodiment of this invention is shown, (a) is a plane cross-sectional end view, (b) is a front view. 本発明の第七の実施の形態に係るボートを示しており、(a)は平面断面端面図であり、(b)は正面断面図である。The boat which concerns on 7th embodiment of this invention is shown, (a) is a plane cross-sectional end view, (b) is front sectional drawing. 本発明の第八の実施の形態に係るボートにおけるガスの流れを示しており、(a)は拡大部分平面断面図であり、(b)は正面断面図、(c)は(b)のc−c矢視図である。The gas flow in the boat concerning an 8th embodiment of the present invention is shown, (a) is an expanded partial plane sectional view, (b) is a front sectional view, (c) is c of (b). FIG. 本発明の効果を検証するために実施した実験の結果を示しており、(a)は保持柱の位置とウエハ面内の膜厚分布との関係を示すウエハ面内の膜厚分布図、(b)はウエハ面内の膜厚均一性を比較する比較表である。The result of the experiment implemented in order to verify the effect of the present invention is shown, and (a) is the film thickness distribution chart in the wafer surface showing the relation between the position of the holding column and the film thickness distribution in the wafer surface. b) is a comparison table for comparing the film thickness uniformity in the wafer surface.

符号の説明Explanation of symbols

1…ウエハ(基板)、2…カセット、10…半導体製造装置(基板処理装置)、11…筐体、12…正面メンテナンス口、13…正面メンテナンス扉、14…カセット搬入搬出口、15…フロントシャッタ、16…カセットステージ、17…カセット棚、18…移載棚、19…予備カセット棚、20…カセット搬送装置、20a…カセットエレベータ、20b…カセット搬送機構、21…ウエハ移載機構、21a…ウエハ移載装置エレベータ、21b…ウエハ移載装置、21c…ツィーザ、22…ボートエレベータ、23…アーム、24…シールキャップ、25…前側クリーンユニット、26…後側クリーンユニット、27…シャッタ、30…ALD装置(処理炉)、31…プロセスチューブ、32…処理室、33…マニホールド、33A…シールリング、34…炉口、35…排気管、36…真空ポンプ、37…可変流量制御弁、38…ガスノズル、39…ガス噴出口、40…第一ガス供給管、41…オゾナイザ(オゾンガス供給源)、42…酸素ガス供給源、43…可変流量制御弁、44…開閉弁、45…不活性ガス供給源、46…第一不活性ガス供給管、47…開閉弁、50…第二ガス供給管、51…TMA容器(TMAガス供給源)、52…開閉弁、53…ヒータ、54…キャリアガス供給源、55…可変流量制御弁、56…開閉弁、57…第二不活性ガス供給管、58…開閉弁、59…コントローラ、60…ヒータユニット、61…回転駆動装置、62…回転軸、63…支持台、70…ボート(保持具)、71、72…端板、73…保持柱、74…保持溝、75…台形形状の整流部、75a…C面取り、76…内側台形形状の整流部、76a…C面取り、77…横断面蒲鉾形状の整流部、77a…R面取り、78…保持ピン、79…ウエハを保持しない支柱、80…通風孔。   DESCRIPTION OF SYMBOLS 1 ... Wafer (substrate), 2 ... Cassette, 10 ... Semiconductor manufacturing apparatus (substrate processing apparatus), 11 ... Housing, 12 ... Front maintenance port, 13 ... Front maintenance door, 14 ... Cassette loading / unloading port, 15 ... Front shutter , 16 ... cassette stage, 17 ... cassette shelf, 18 ... transfer shelf, 19 ... spare cassette shelf, 20 ... cassette transfer device, 20a ... cassette elevator, 20b ... cassette transfer mechanism, 21 ... wafer transfer mechanism, 21a ... wafer Transfer device elevator, 21b ... Wafer transfer device, 21c ... Tweezer, 22 ... Boat elevator, 23 ... Arm, 24 ... Seal cap, 25 ... Front clean unit, 26 ... Rear clean unit, 27 ... Shutter, 30 ... ALD Apparatus (processing furnace), 31 ... process tube, 32 ... processing chamber, 33 ... manifold, 33A ... 34 ... Furnace port, 35 ... Exhaust pipe, 36 ... Vacuum pump, 37 ... Variable flow control valve, 38 ... Gas nozzle, 39 ... Gas outlet, 40 ... First gas supply pipe, 41 ... Ozonizer (ozone gas supply source) 42 ... oxygen gas supply source, 43 ... variable flow rate control valve, 44 ... open / close valve, 45 ... inert gas supply source, 46 ... first inert gas supply pipe, 47 ... open / close valve, 50 ... second gas supply pipe 51 ... TMA container (TMA gas supply source) 52 ... Open / close valve, 53 ... Heater, 54 ... Carrier gas supply source, 55 ... Variable flow control valve, 56 ... Open / close valve, 57 ... Second inert gas supply pipe, 58 ... Open / close valve, 59 ... Controller, 60 ... Heater unit, 61 ... Rotation drive device, 62 ... Rotating shaft, 63 ... Support base, 70 ... Boat (holding tool), 71, 72 ... End plate, 73 ... Holding column, 74 ... holding groove, 75 ... trapezoidal shape Rectification part, 75a ... C chamfering, 76 ... Inner trapezoidal rectification part, 76a ... C chamfering, 77 ... Rectangular rectification part, 77a ... R chamfering, 78 ... Holding pins, 79 ... Stands not holding wafer 80: Ventilation hole.

Claims (4)

複数枚の基板をそれぞれ間隔を置いて積層させた状態で減圧下の処理室に載置し、前記積層方向に延在し多数のガス噴出口を有するガスノズルによって前記基板の側方から処理ガスを供給して、前記基板を回転させながら処理する基板処理装置であって、
前記複数枚の基板をそれぞれ間隔を置いて積層させた状態で保持する保持具を備えており、この保持具は前記基板のそれぞれを保持する複数本の保持柱と、前記基板のそれぞれを保持しない少なくとも1本の支柱とを備えている基板処理装置。
A plurality of substrates are placed in a processing chamber under reduced pressure in a state where they are stacked at intervals, and a processing gas is supplied from the side of the substrate by a gas nozzle extending in the stacking direction and having a number of gas jets. A substrate processing apparatus for supplying and processing while rotating the substrate,
A holding tool is provided for holding the plurality of substrates in a state of being laminated at intervals, and this holding tool does not hold each of the substrates and a plurality of holding pillars for holding each of the substrates. A substrate processing apparatus comprising at least one support .
前記保持柱の太さと前記支柱の太さとは、同等に設定されていることを特徴とする請求項1に記載の基板処理装置。 The substrate processing apparatus according to claim 1, wherein a thickness of the holding column and a thickness of the support column are set to be equal to each other. 前記保持柱の柱形状と前記支柱の柱形状は、同等に設定されていることを特徴とする請求項1または2に記載の基板処理装置。 The substrate processing apparatus according to claim 1, wherein a column shape of the holding column and a column shape of the column are set to be equal . 前記保持柱および前記支柱が断面楕円形に形成されていることを特徴とする請求項1、2または3に記載の基板処理装置。 The substrate processing apparatus according to claim 1, wherein the holding column and the column are formed in an elliptical cross section .
JP2006041424A 2006-02-17 2006-02-17 Substrate processing equipment Active JP4895634B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2006041424A JP4895634B2 (en) 2006-02-17 2006-02-17 Substrate processing equipment

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006041424A JP4895634B2 (en) 2006-02-17 2006-02-17 Substrate processing equipment

Publications (3)

Publication Number Publication Date
JP2007221000A JP2007221000A (en) 2007-08-30
JP2007221000A5 JP2007221000A5 (en) 2009-03-19
JP4895634B2 true JP4895634B2 (en) 2012-03-14

Family

ID=38497923

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006041424A Active JP4895634B2 (en) 2006-02-17 2006-02-17 Substrate processing equipment

Country Status (1)

Country Link
JP (1) JP4895634B2 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5545055B2 (en) * 2010-06-15 2014-07-09 東京エレクトロン株式会社 Support structure and processing apparatus
JP6458547B2 (en) * 2015-02-24 2019-01-30 株式会社デンソー Shower head, shower head system, and film forming apparatus
CN108695138A (en) * 2017-03-29 2018-10-23 株式会社日立国际电气 The manufacturing method of substrate support, substrate processing device and semiconductor devices

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02102524A (en) * 1988-10-11 1990-04-16 Nec Corp Wafer boat
JP3023977B2 (en) * 1990-11-01 2000-03-21 東京エレクトロン株式会社 Vertical heat treatment equipment
JPH0737814A (en) * 1993-07-23 1995-02-07 Sony Corp Thin film forming device

Also Published As

Publication number Publication date
JP2007221000A (en) 2007-08-30

Similar Documents

Publication Publication Date Title
JP5658463B2 (en) Substrate processing apparatus and semiconductor device manufacturing method
JP4560575B2 (en) Substrate processing apparatus and semiconductor device manufacturing method
JP6270575B2 (en) Reaction tube, substrate processing apparatus, and semiconductor device manufacturing method
US8461062B2 (en) Substrate processing apparatus and method for manufacturing semiconductor device
JP4634495B2 (en) Substrate processing apparatus and semiconductor device manufacturing method
JP5253589B2 (en) Semiconductor device manufacturing method and substrate processing apparatus
KR101037961B1 (en) Substrate processing apparatus and method of manufacturing semiconductor device
KR101850186B1 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and method of processing substrate
KR101745075B1 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and storage medium
JP2009295729A (en) Substrate processing apparatus
JP2005064305A (en) Substrate processing device and method of manufacturing semiconductor device
JP2011029441A (en) Device and method for treating substrate
JP2011238832A (en) Substrate processing apparatus
US20140242810A1 (en) Substrate processing apparatus and method of supplying and exhausting gas
JP2011249407A (en) Substrate processing apparatus
JP4895634B2 (en) Substrate processing equipment
JP2017034013A (en) Substrate processing apparatus, semiconductor device manufacturing method and program
JP2012114200A (en) Substrate processing apparatus
JP2009004642A (en) Device for treating substrate
KR20170007611A (en) Semiconductor apparatus of furnace type, cleaning method of the same, and method of forming thin film using the same
JP2007027425A (en) Substrate treatment device
JP2009224457A (en) Substrate treating apparatus
CN112740373A (en) Substrate processing apparatus
JP2008227163A (en) Substrate processing apparatus
JP4415005B2 (en) Substrate processing equipment

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090129

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090129

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090413

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110913

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111104

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111213

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111220

R150 Certificate of patent or registration of utility model

Ref document number: 4895634

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150106

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250