JP4751581B2 - 半導体集積回路の設計方法及びプログラム - Google Patents

半導体集積回路の設計方法及びプログラム Download PDF

Info

Publication number
JP4751581B2
JP4751581B2 JP2004136159A JP2004136159A JP4751581B2 JP 4751581 B2 JP4751581 B2 JP 4751581B2 JP 2004136159 A JP2004136159 A JP 2004136159A JP 2004136159 A JP2004136159 A JP 2004136159A JP 4751581 B2 JP4751581 B2 JP 4751581B2
Authority
JP
Japan
Prior art keywords
clock
wiring
integrated circuit
semiconductor integrated
user
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004136159A
Other languages
English (en)
Other versions
JP2005317858A (ja
Inventor
裕之 松原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Original Assignee
Fujitsu Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Semiconductor Ltd filed Critical Fujitsu Semiconductor Ltd
Priority to JP2004136159A priority Critical patent/JP4751581B2/ja
Publication of JP2005317858A publication Critical patent/JP2005317858A/ja
Application granted granted Critical
Publication of JP4751581B2 publication Critical patent/JP4751581B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Description

本発明は、半導体集積回路の設計技術に関し、詳しくは、半導体集積回路におけるクロック配線設計に関する。
従来、特定用途向けの半導体集積回路であるASIC(Application Specific Integrated Circuit)は、セルベースLSIやFPGA(Field Programmable Gate Array)等の半導体集積回路を利用して実現されることが多い。例えば、セルベースLSIを利用したASIC開発は、基本となるトランジスタの組合せによるセル(ベーシックセル)をベースにしてセル内の基本配線等を行っておき、用途に応じた所望の回路となるようにベーシックセルを組合せるとともに必要な配線を形成することにより行われる。
従来のASIC開発におけるクロック配線は、まったく配線が存在しない状態からユーザ側が設計の全工程を実施するか、あるいはセルベースLSIやFPGAに予め作り込まれた経路や粒度の狭い(自由度がない)経路からユーザ側が経路を選択することで設計するのが一般的である。
また、従来のLSIにおいては、内部のフリップフロップに対して供給するクロックを、通常動作時とテスト動作時とでスイッチ回路により切り替え可能なようにクロック配線を設けたものがある(例えば、特許文献1参照。)。
特開平7−168735号公報
しかしながら、従来のASICのクロック配線設計においては、設計作業に多大な手間や時間を要する、クロック配線に係るレイアウトの自由度が低いなどの問題点がある。
さらに、近年、半導体集積回路の微細化に伴って1つの半導体チップに集積可能な回路規模が増大し、ASIC開発においては、開発期間の長期化や設計等の複雑さが増大してきている。クロック配線設計においても、例えばクロック配線経路の設定や、クロック信号が各回路要素に到達するまでの伝搬時間の差、いわゆるクロックスキューを小さくするためのクロックスキュー調整の複雑さが増大している。
また、従来のASIC開発はASIC毎(個別品種毎)に行われており、上述したクロック配線経路の設定やクロックスキュー調整等をASIC毎にそれぞれ行わねばならない。そのため、クロック配線設計に係る煩雑さの増大や設計期間の長期化を招いていた。
本発明の目的は、用途に応じた半導体集積回路の開発において、クロック配線に係るレイアウトの自由度を提供しつつ、クロック配線設計を容易かつ速やかに行うことである。
本発明の一観点によれば、ユーザレイアウト前にクロックスキュー値を調整した固定クロック配線を用意して、ユーザレイアウト時に当該固定クロック配線を用いてユーザの要求に応じたクロック配線設計を行い、クロック配線設計後に、上記固定クロック配線のうち、未使用となった固定クロック配線を削除するマスタースライス方式の半導体集積回路の設計方法が提供される
本発明によれば、用途に応じた半導体集積回路を開発する際、クロック配線経路の設定やクロックスキュー調整等を半導体集積回路毎に実施することなく、予め用意された固定クロック配線を用いてユーザレイアウトでのクロック配線設計を行うことができ、クロック配線設計に係る煩雑さを軽減し、かつ設計期間を短縮することができる。これにより、クロック配線に係るレイアウトの自由度を提供しながらも、クロック配線設計を容易かつ速やかに行うことができる。
以下、本発明の実施形態を図面に基づいて説明する。
図1は、本発明の実施形態による設計対象の半導体集積回路の構成例を示す断面図である。
図1において、1はバルク層BLを有する半導体基板(チップ)である。バルク層BLは、半導体集積回路において配線層を一切含まない、トランジスタを形成するポリシリコンや拡散層等の下地であり、所定の回路機能を具備する機能ブロック、いわゆるマクロ(以下、「バルク」とも称する。)が作り込まれる。バルク層BLは、トランジスタ層と配線層とを有する従来のセルベースLSIのトランジスタ層に相当する。
バルク層BL上には、Cu(copper)、Al(aluminum)等を用いた金属配線を形成するための第1〜第6の配線層PL1〜PL6が順次積層するように設けられている(本実施形態においては、バルク層BL側から順に第1、第2、第3、第4、第5、第6の配線層とする。)。各配線層PL1〜PL6に形成される配線は、異なる配線層間については層間絶縁膜により絶縁されており、必要に応じて異なる配線層の配線にビアを介して接続される。
本実施形態による半導体集積回路は、いわゆるマスタースライス方式の半導体集積回路であり、バルク層BLに予め作り込まれるバルク(バルクパターン)及びその配置については、ユーザ側では変更すること(カスタマイズ)ができない。また、第1、第5、及び第6の配線層PL1、PL5、PL6はユーザに応じたカスタマイズができない固定配線層であり、第2、第3、及び第4の配線層PL2、PL3、PL4は任意にカスタマイズが可能な可変配線層である。すなわち、配線層PL1、PL5、PL6に形成する配線は、配線パターンが変更不可な固定配線であり、配線層PL2、PL3、PL4に形成する配線は、配線パターンが変更可能な可変配線である。
このカスタマイズ可能な可変配線層PL2、PL3、PL4の配線により、バルク層BLに形成される回路に対して、例えば電源を供給したり、内部の論理構成を切り換えたりすることができ、ユーザの要求に応じた所望の回路機能を実現できる。なお、カスタマイズ可能な可変配線層であっても、バルク層BLに形成されている回路を機能させるために必要な配線が含まれていることもある。また、後述するようにクロック配線は固定配線層に形成されるが、このクロック配線については通常の可変配線層と同様にユーザに応じてカスタマイズ可能とする。
図2は、本実施形態による半導体集積回路におけるクロック配線のレイアウトの一例を示す図であり、ユーザの要求に応じたユーザレイアウト処理を行う前のレイアウト例を示している。
半導体チップ20内(以下、半導体チップ20全体を「フレーム」とも称す。)には、2つのRAMマクロ21とフリップフロップ群22とからそれぞれ構成される複数の基本ブロックBBij(i、jは添え字であり、本実施形態ではi=1〜4、j=1〜4の自然数)、複数のRAMマクロ23、及び複数のDDR(Double Data Rate)−IFマクロ24が配置される。なお、説明の便宜上、基本ブロックBBijを適宜、マクロBBijとも称す。このマクロBBij、23、24が配置された領域を取り囲むように、8つのPLLマクロ25、及び複数のI/Oマクロ26が配置される。また、I/Oマクロ26にそれぞれ対応してパッド27が設けられる。
なお、RAMマクロ21、23は、メモリ回路(RAM)の機能ブロックであり、RAMマクロ21と23とは、大きさ及び機能(例えば、ポート数、ワードビット数等)の少なくとも一方が異なっている。DDR−IFマクロ24は、メモリインタフェース回路の機能ブロックである。フリップフロップ群22は、複数のフリップフロップに加えて複数のユニットセル(Usable gate)を有しており、ユーザが任意に論理を構成することが可能となっている。PLLマクロ25は、PLL(Phase Locked Loop)回路の機能ブロックであり、I/Oマクロ26は入出力回路の機能ブロックである。
また、半導体チップ20内には、PLLマクロ25(図2においては、4つずつの2グループに分けて配置される。)の近傍にルートクロックドライバRCK1、RCK2が配置される。このルートクロックドライバRCK1、RCK2は、半導体チップ20全体に複数系統のメインクロック(グローバルクロック)を供給する、すなわちRAMマクロ21、23及びフリップフロップ群22を含む半導体チップ20内のクロックを用いて動作する回路要素に対してメインクロックを供給するためのものである。また、クロックを適宜分配して供給するために、クロックハブCKHと複数のクロックバッファセル(以下、単に「クロックバッファ」と称する。)が配置される。
上述した基本ブロックBBij(RAMマクロ21、フリップフロップ群22)、RAMマクロ23、DDR−IFマクロ24、PLLマクロ25、I/Oマクロ26、ルートクロックドライバRCK1、RCK2、クロックハブCKH、及びクロックバッファは、バルクパターンとしてバルク層BLに作り込まれている。なお、ユニットセル(Usable gate)及びクロックバッファは、スタンダードセル方式でなく、ゲートアレイセルにより構成される。また、図2に示したフレーム20内のマクロの配置は一例であり、一定の規則でフレーム20内にマクロ(バルクパターン)を敷き詰めるように予め埋め込み(作り込み)、従来のトランジスタ層に相当するバルク層のバルクパターンが敷き詰められたすべての部分(領域)において何らかの回路機能が形成されるようにするのが好ましい。
以下に、本実施形態による半導体集積回路に予め作り込まれる固定クロック配線について詳細に説明する。固定クロック配線は、ルートクロックドライバRCK1、RCK2、クロックハブCKH及び複数のクロックバッファと、それらを適宜接続する配線により構成される。なお、以下では、ルートクロックドライバRCK1、RCK2がそれぞれ4系統のクロックを出力可能であるとし、半導体集積回路全体で8系統の固定クロック配線を作り込む場合を一例として説明する。しかしながら、本発明はこれに限定されず、クロックの数は任意であり、例えば10系統であっても良い。また、1つのクロックハブCKHに対応するルートクロックドライバRCKの個数は1個又は複数個であっても良い。
図2に示すように、ルートクロックドライバRCK1、RCK2の出力端と、クロックハブCKHの入力端とが接続され、クロックハブCKHの出力端と、第1段のクロックバッファL1GA、L1GB、及びRAMマクロ23用クロックバッファRFGのそれぞれの入力端とが接続される。さらに、第1段のクロックバッファL1GAの出力端と、第2段のクロックバッファL2GA、L2GBの入力端とが接続され、第2段のクロックバッファL2GAの出力端と、第3段のクロックバッファL3GA、L3GBの入力端とが接続される。
以下、同様に、第3段のクロックバッファL3GAの出力端と、第4段のクロックバッファL4GA、L4GBの入力端とが接続され、第4段のクロックバッファL4GAの出力端と、第5段のクロックバッファL5GA、L5GBの入力端とが接続される。そして、第5段のクロックバッファL5GA、L5GBの出力端が、RAMマクロ21及びフリップフロップ群22を構成する各フリップフロップのクロック入力端子に接続される。クロックバッファRFGの出力端がRAMマクロ23等のクロック入力端子に接続される。
ルートクロックドライバRCK1、RCK2の出力端とクロックハブCKHの入力端とを接続するクロック配線は、4つのクロックを束として(4本の配線を一群として)構成されている。これ以外の出力端と入力端とを接続するクロック配線は、8つのクロックを束として(8本の配線を一群として)構成されている。
上述のように、ルートクロックドライバRCK1、RCK2の出力端とクロックハブCKHの入力端とを接続し、クロックハブCKHの出力端と第1段のクロックバッファL1GA、L1GBの入力端とを接続する。そして、半導体チップ20内のすべてのクロックバッファ(ただし、最後段(図2では第5段)のクロックバッファは除く。)において、第n段(nは自然数)のクロックバッファLnGAの出力端と、第(n+1)段のクロックバッファL(n+1)GA、L(n+1)GBの入力端とを接続する。
これにより、クロックの上流側(供給源側)であるルートクロックドライバRCK1、RCK2から下流側(末端側)である各基本ブロックBBijまで、8系統のクロックツリー(固定クロック配線)が構成される。ここで、本実施形態における固定クロック配線は、通常のカスタマイズ可能な可変配線層とは異なる、ユーザによるカスタマイズが不可な固定配線層に形成される。また、固定クロック配線は、大多数のユーザが所望すると予想されるクロック回路構成やクロックに関する要求性能を予想して構成することが望ましい。
ここで、ルートクロックドライバRCK1、RCK2の入力側は、ユーザに応じた自由な配線が可能(カスタマイズ可能)になっており、配線経路を自由に設定することができるとともに、フリップフロップ等を用いて任意の論理を組み入れてルートクロックドライバRCK1、RCK2の入力とすることもできる。また、例えば、ルートクロックドライバRCK1、RCK2に対して、各PLLマクロ25の出力をそれぞれ入力することが可能であるし、1つのPLLマクロ25の異なる出力(1逓倍の出力と2逓倍の出力や、位相が互いに異なる複数の出力など)を入力することが可能である。
また、クロックツリーにおけるクロックの分岐個所、すなわちクロックハブCKH及び第n段のクロックバッファLnGA、LnGBは、上流側のクロック配線より入力されるクロックをそのまま2以上の下流側のクロックツリーに対して分配し出力するか、あるいはユーザが個別指定する別のクロックを下流側のクロックツリーに対して出力するかを切り替え可能なスイッチに相当する回路を有し構成され、いわゆるセレクタ機能を有している。なお、クロックハブCKH及び第n段のクロックバッファLnGA、LnGBでの伝達するクロックの切り替えは、クロックの各系統毎に独立して制御可能である。
なお、図2に示した8系統のクロックツリー(固定クロック配線)は、クロックスキュー調整やノイズ対策などが行われて設計配置される。したがって、ユーザに応じたカスタマイズを行うユーザレイアウト時には、固定クロック配線についてはクロックスキュー調整やノイズ対策などを行う必要がなく、クロック配線設計を非常に容易に行うことができる。
例えば、固定クロック配線に係るクロックスキュー調整としては、クロック配線の配線長を互いに等しくするようにしたり、等長配線が不可能な場合には、図3に示すように遅延量を調整するクロックバッファを挿入(変更)したりすることで、スキュー調整が行われている。
図3は、固定クロック配線におけるクロックスキュー調整の一例を説明するための図であり、図2に示した構成要素に対応する構成要素には同一の符号を付し、重複する説明は省略する。
例えば、クロックがクロックハブCKHからクロックバッファRFGVを介してRAMマクロ23まで伝達される時間と、クロックハブCKHからクロックバッファRFGHを介してRAMマクロ23まで伝達される時間との差が配線により調整不可能である場合には、そのクロックスキューが小さくなるように、図3に示すように伝達時間が短い配線経路に対してクロックバッファDRA、DRA’を挿入して遅延量を調整する。同様に、例えば、クロックがクロックハブCKHからクロックバッファを介して各基本ブロックBijまで伝達される時間の差がある場合には、伝達時間が短い配線経路に対してクロックバッファDRB、DRB’を挿入して遅延量を調整する。なお、このクロックスキューを調整するために挿入されるクロックバッファは、上述したようなセレクタ機能を有している必要はなく、通常のクロックバッファで良い。
図4は、本実施形態による半導体集積回路におけるクロック配線のレイアウトの一例を示す図であり、ユーザレイアウト処理後のレイアウト例を示している。この図4において、図2に示した構成要素と同一の構成要素には同一の符号を付し、重複する説明は省略する。
本実施形態におけるユーザレイアウト処理のクロック配線設計工程では、ユーザが要求するクロックの使用状況に応じてクロックマッピングが行われ、8系統のクロックツリーの中から必要とする系統及び区間が割り当てられる。この固定クロック配線の割り当て方法には大きく分けて2通りあり、クロックツリーにおける最上流(供給源側)から最下流(回路の入力端子)までそのままクロックを伝達するように割り当てる方法と、クロックツリーにおける途中の分岐点から最下流までユーザが個別指定する独自のクロック(このクロックをメインクロックに対して「オプショナルクロック(ローカルクロック)」と称す。)を伝達するように割り当てる方法とがある。
図4を参照し、上記2つの割り当て方法について説明する。
まず、クロックツリーにおける最上流から最下流までそのままクロックを伝達するように割り当てる方法について説明する。
I/Oマクロ41に入力された信号(クロック)が、所定論理の回路機能ブロック42を介してPLLマクロ43に入力される。このPLLマクロ43の出力が、ルートクロックバッファRCK1を介し、メインクロックとしてクロックハブCKHに供給される。クロックハブCKHに供給されたメインクロックは、適宜クロックバッファを介して基本ブロックBBij(BB42、BB43、BB44を除く。)に供給されるとともに、クロックバッファ50を介して半導体チップ20内の左端部に配置されたRAMマクロ23に供給される。このように、最上流であるルートクロックバッファRCK1から、最下流である基本ブロックBBij、RAMマクロ23まで固定クロック配線を用いてクロック(メインクロック)が伝達される。
なお、ルートクロックバッファRCK1の前段側、すなわちI/Oマクロ41と回路機能ブロック42、回路機能ブロック42とPLLマクロ43、及びPLLマクロ43とルートクロックバッファRCK1のそれぞれを接続する配線は、ユーザの回路情報等に基づき自動配線される。ただし、回路機能ブロックやPLLマクロの少なくとも一方をユーザが使用しない場合は、例えばI/Oマクロ41からPLLマクロ43に自動配線されたり、I/Oマクロ41から直接ルートクロックバッファRCK1へ自動配線される。また、I/Oマクロ41からルートクロックバッファRCK1までは、複数の回路機能ブロックや複数のPLLマクロを経由しても良い。
また、上述したように基本ブロックBB42、BB43、BB44に対しては、ルートクロックバッファRCK1(PLLマクロ43)より出力されるメインクロックを供給しないので、基本ブロックBB42、及びBB43とBB44の組47にとって、1つ上流側となるクロックバッファ49と48の間、及びクロックバッファ44と45の間における固定クロック配線は削除される。なお、回路動作の影響の有無を考慮して、削除せずに残しても良い。
次に、クロックツリーにおける途中の分岐点から最下流までユーザが個別指定する独自のクロックを伝達するように割り当てる方法について説明する。
I/Oマクロ46に入力された信号(クロック)が、オプショナルクロックとしてクロックバッファ45に入力される。このI/Oマクロ46とクロックバッファ45を接続する配線もユーザの回路情報等に基づき自動配線される。クロックバッファ45に供給されたオプショナルクロックは、基本ブロックBB43、BB44に供給される。このように、クロックツリーの分岐点であるクロックバッファ45から、最下流である基本ブロックBB43、BB44まで固定クロック配線を用いてクロック(オプショナルクロック)が伝達される。オプショナルクロックに対して固定クロック配線を割り当てる場合には、メインクロックの場合とは異なり、オプショナルクロックを入力(挿入)するためのクロックバッファと固定クロック配線の系統及び区間の一部が割り当てられる。なお、オプショナルクロックのI/Oマクロ46からクロックバッファ45の経路途中に、任意の個数の回路機能ブロックや任意の個数のPLLマクロが接続されても良い。
なお、図4において破線で示した固定クロック配線のように、上述したどちらの方法でも割り当てられなかったクロックツリーの系統又は区間の未使用の固定クロック配線及びクロックバッファ(例えば、クロックバッファ48及びその後段のクロックバッファ)は取り外す(削除する)ことが可能である。例えば、未使用の固定クロック配線を削除した場合には、ユーザが使用可能な配線チャネルとして再利用することができる。
また、未使用のクロックバッファは、クロックバッファとしての機能を開放し、ユーザ論理を再割り当て可能なようにしても良い。また、これに限らず、未使用のクロックバッファ内の所定の内部端子をクリップ処理(所定の電位に固定)することにより未使用クロックバッファセルの電力供給を止めて機能停止状態にするようにしても良いし、内部のゲーティッド端子を切り替えるようにしても良い。
また上述した説明では、クロックツリーの各区間において、8系統のクロック配線をすべて使用する、あるいは8系統のクロック配線をまったく使用しないのいずれかとなる場合を一例として説明したが、上述したように系統毎に独立して制御可能である。したがって、クロックツリーの任意の区間において、8系統のクロック配線のうち3系統のクロック配線のみを使用する場合には、残りの5系統(5本)のクロック配線を消去するようにしても良い。また、8系統のクロック配線のうち、1系統をメインクロックに使用し、2系統をオプショナルクロックに使用して、残り5系統を消去するようにすることも可能である。
以上のように、本実施形態による半導体集積回路では、大多数のユーザが所望すると予想されるクロック回路構成やクロックに関する要求性能を予想し、かつセル配置及び配線を既に行った状態でも、チップ20全体に供給される複数系統のメインクロック及び局所的に(一部領域に)供給される複数系統のオプショナルクロックを実装できるような固定クロック配線が予め作り込まれる。そして、開発するASIC毎(個別品種毎)に固定クロック配線の一部あるいは全部を流用するか否かを決定するだけで、クロック回路に係る大部分の設計が完了してユーザ個別品種に要求されるクロック回路機能を実現することができる。
したがって、非常に汎用性の高い固定クロック配線を有する半導体集積回路を提供し、クロック配線設計に係る煩雑さを軽減しつつ設計期間を短縮することができる。また、複数系統のメインクロック及び複数系統のオプショナルクロックを実装できるようにして、クロック配線に係るレイアウトの自由度も提供される。これにより、クロック配線に係るレイアウトの自由度を提供しながらも、クロック配線設計を容易かつ速やかに行うことができる。
なお、上述した説明では、ユーザレイアウト処理前の半導体集積回路に予め作り込まれるクロックツリー(固定クロック配線)は、H字状クロック配線を再帰的に接続して構成したクロックツリーを一例として図示しているが、本発明はこれに限定されず、クロックの供給源側(最上流)から回路要素の入力端側(最下流)の方向に向かって、クロックが2以上の経路に順次分配されるようなクロックツリーであれば、その形状は任意である。
次に、本実施形態における半導体集積回路のレイアウト設計方法について説明する。
図5(a)、(b)は、本実施形態における半導体集積回路の配線設計手順を概略的に示した図である。
図5(a)は、図2に示したユーザレイアウト処理前の半導体集積回路の設計方法を示している。
ユーザレイアウト処理前の半導体集積回路の設計においては、フレーム20におけるバルクパターン(マクロ)の配置情報であるフレーム20内のバルクパターン配置データ51と、初期値として予め設定されているバルクパターンの配線パターンを示す初期配線パターンデータ52とを参照し、フレームライブラリ作成処理P1を行う。
フレームライブラリ作成処理P1では、バルクパターン毎に、初期配線パターンデータ52に従って配線パターンを設定するとともに、固定クロック配線に係るクロック配線設計を行う。この処理P1により、バルクパターンの配置及び配線パターンが設定されるとともに、図2に示したような固定クロック配線の配線パターンが設定された初期配線パターン設定済みフレームデータ53が得られる。
図5(b)は、ユーザの要求に応じた半導体集積回路を得るためのユーザレイアウト設計方法を示している。
ユーザレイアウト設計においては、上述したようにして得られた初期配線パターン設定済みフレームデータ53と、ユーザの要求に応じてそれをカスタマイズするためのユーザ回路情報54とを参照し、ユーザレイアウト処理P2を行う。
このユーザレイアウト処理P2では、初期配線パターン設定済みフレームがユーザの要求に応じた所望の半導体集積回路となるように、フレーム1内に配置された各々のバルクパターンの配線パターンが、ユーザ回路情報54に基づいて適切な配線パターンに差し替え、設定する。また、ユーザ回路情報54に基づいて、メインクロック及びオプショナルクロックの使用状況に応じたクロックマッピングを行い、固定クロック配線として設定されているクロックツリーの割り当てを行う。
ユーザレイアウト処理P2により、図4に示したようなユーザの要求に応じた適切なクロック配線パターンが設定されたユーザレイアウト済みフレームデータ55が得られる。
図6は、図5(a)に示したフレームライブラリ作成処理P1の詳細を示すフローチャートである。
まず、ステップS1にて、バルクパターン配置データ及び初期配線パターンデータに基づいて、フレーム20内にバルクパターンを配置するとともに、それらに初期値として指定された配線パターンを設定する。
ステップS2にて、仮の固定クロック配線(クロックツリー)パターンを生成する。この固定クロック配線パターンの仮生成では、クロックを分配して供給するためのクロックハブCKH及びクロックバッファも配置される。
次に、ステップS3にて、ステップS2において生成した固定クロック配線の経路毎にディレイ値を求め、クロックスキューを算出する。
ステップS4にて、ステップS3において算出したクロックスキューに基づいて、固定クロック配線における遅延量調整が必要であるか否かを判断する。その結果、遅延量調整が必要であると判断した場合にはステップS5に進み、そうでない場合にはステップS5をスキップしステップS6に進む。
ステップS5にて、ステップS3において算出したクロックスキューに基づき、クロックスキュー調整を行う。クロックスキュー調整は、固定クロック配線の各経路におけるディレイ値に基づいてクロックスキューが小さくなるように、例えば、固定クロック配線の経路を変更したり、遅延調整用のクロックバッファを経路上に挿入したり、それを変更したりすることにより行う。
上述したようにして固定クロック配線における遅延量調整が終了すると、ステップS6にて、クロックスキューを最小とした、クロックハブCKH及びクロックバッファの配置を含む固定クロック配線パターンを設定し作成する。
続いて、ステップS7にて、初期配線パターン設定済みフレームデータを生成して出力し、処理を完了する。
図7は、図5(b)に示したユーザレイアウト処理P2の詳細を示すフローチャートである。なお、図7においては、ユーザレイアウト処理におけるクロック配線設計に係る処理についてのみ示しており、初期配線パターン設定済みフレームデータ及びユーザ回路情報は既に入力されているものとする。
ステップS11にて、ユーザ回路情報に基づいて、メインクロックを使用する、すなわちメインクロックで動作するバルクパターン(マクロ)を検索する。
ステップS12にて、ステップS11での検索結果に応じて、当該メインクロックに割り当てる固定クロック配線(クロックツリーの系統及び区間)を決定し、必要に応じて不要な固定クロック配線を削除する。
上記ステップS11及びS12の処理を、ユーザ回路情報に指定されている(ユーザが使用を要求する)メインクロックについてそれぞれ行う。
次に、ステップS13にて、オプショナルクロックを使用する旨がユーザ回路情報に指定されているか否かを判断する。この判断の結果、オプショナルクロックを使用する場合にはステップS14に進み、使用しない場合にはステップS16に進む。
ステップS14にて、ユーザ回路情報に基づいて、オプショナルクロックを使用する、すなわちオプショナルクロックで動作するバルクパターン(マクロ)を検索する。
ステップS15にて、ステップS14での検索結果に応じて、オプショナルクロックを供給するクロック配線を追加する。実際には、ステップS14での検索結果に応じて、当該オプショナルクロックに割り当てる固定クロック配線(クロックツリーの系統及び区間)を決定する。また、このステップS15では、必要に応じて、遅延量調整を行ったり、不要な固定クロック配線を削除したりする。
上記ステップS14及びS15の処理を、ユーザ回路情報に指定されているすべてのオプショナルクロックについてそれぞれ行う。
以上のようにして、メインクロック及びオプショナルクロックのそれぞれについて、固定クロック配線の割り当てが完了すると、ステップS16にて、ユーザレイアウト済みフレームデータを生成して出力し、処理を完了する。
なお、図7に示したユーザレイアウト処理では、ユーザ回路情報に基づいて、メインクロック及びオプショナルクロックを使用するバルクパターン(マクロ)を検索し、その検索結果に応じて固定クロック配線(クロックツリーの系統及び区間)の割り当てや削除を行うようにしているが、バルクパターン(マクロ)がどのクロックを使用しているかをユーザ回路情報を元に判断し、その結果に基づいて固定クロック配線の割り当てや削除を行うようにしても良い。また、固定クロック配線(クロックツリーの系統及び区間)に使用するか否かの情報をユーザ回路情報に直接設定しておき、その設定状況に応じて固定クロック配線の割り当てや削除を行うようにしても良い。
なお、上述した本実施形態による半導体集積回路のレイアウト設計に係る処理は、CPU又はMPU、RAM、ROMなどを有するコンピュータが、ROMに記憶されたプログラムを実行することで実現でき、上記プログラムは本発明の実施形態に含まれる。また、コンピュータが上記機能を果たすように動作させるプログラムを、例えばCD−ROMのような記録媒体に記録し、コンピュータに読み込ませることによって実現できるものであり、上記プログラムを記録した記録媒体は本発明の実施形態に含まれる。上記プログラムを記録する記録媒体としては、CD−ROM以外に、フレキシブルディスク、ハードディスク、磁気テープ、光磁気ディスク、不揮発性メモリカード等を用いることができる。
また、コンピュータがプログラムを実行し処理を行うことにより、上記実施形態の機能が実現されるプログラムプロダクトは、本発明の実施形態に含まれる。上記プログラムプロダクトとしては、上記実施形態の機能を実現するプログラム自体、上記プログラムが読み込まれたコンピュータ、ネットワークを介して通信可能に接続されたコンピュータに上記プログラムを提供可能な送信装置、当該送信装置を備えるネットワークシステム等がある。
また、コンピュータが供給されたプログラムを実行することにより上記実施形態の機能が実現されるだけでなく、そのプログラムがコンピュータにおいて稼動しているOS(オペレーティングシステム)又は他のアプリケーションソフト等と共同して上記実施形態の機能が実現される場合や、供給されたプログラムの処理の全て又は一部がコンピュータの機能拡張ボードや機能拡張ユニットにより行われて上記実施形態の機能が実現される場合も、かかるプログラムは本発明の実施形態に含まれる。また、本発明をネットワーク環境で利用するべく、全部又は一部のプログラムが他のコンピュータで実行されるようになっていても良い。
例えば、上述した本実施形態による半導体集積回路のレイアウト設計は、図8にハードウェア構成を示すようなコンピュータ800を用い、そのCPU801により実行可能である。
コンピュータ800は、上記図8に示すように、CPU801と、ROM802と、RAM803と、キーボード(KB)809のキーボードコントローラ(KBC)805と、表示部としてのCRTディスプレイ(CRT)810のCRTコントローラ(CRTC)806と、ハードディスク(HD)811及びフレキシブルディスク(FD)812のディスクコントローラ(DKC)807と、ネットワークインタフェースカード(NIC)808とが、システムバス804を介して互いに通信可能に接続された構成としている。
CPU801は、ROM802又はHD811に記憶されたソフトウェア(プログラム)、又はFD812より供給されるソフトウェア(プログラム)を実行することで、システムバス804に接続された各構成部を統括的に制御する。
すなわち、CPU801は、上述したような動作を行うための処理プログラムを、ROM802、HD811、又はFD812から読み出して実行することで、上記実施形態での動作を実現するための制御を行う。
RAM803は、CPU801の主メモリ又はワークエリア等として機能する。
KBC805は、KB809や図示していないポインティングデバイス等からの指示入力を制御する。CRTC806は、CRT810の表示を制御する。DKC807は、ブートプログラム、種々のアプリケーション、ユーザファイル、ネットワーク管理プログラム、上記実施形態における上記処理プログラム及び設計データ等を記憶するHD811及びFD812とのアクセスを制御する。NIC808はネットワーク813上の他の装置と双方向にデータをやりとりする。
なお、上記実施形態は、何れも本発明を実施するにあたっての具体化のほんの一例を示したものに過ぎず、これらによって本発明の技術的範囲が限定的に解釈されてはならないものである。すなわち、本発明はその技術思想、またはその主要な特徴から逸脱することなく、様々な形で実施することができる。
本発明の諸態様を付記として以下に示す。
(付記1)所定の回路機能を実現するための複数のバルクパターンが配置されたバルク層と、上記バルク層上に順次積層され、ユーザによる配線パターンの変更が可能な可変配線層及びユーザによる配線パターンの変更が不可な固定配線層を含む複数の配線層とを有するマスタースライス方式の半導体集積回路の設計方法であって、
ユーザレイアウト前にクロックスキュー値を調整した固定クロック配線を用意して、ユーザレイアウト時に当該固定クロック配線を用いてユーザの要求に応じたクロック配線設計を行うことを特徴とする半導体集積回路の設計方法。
(付記2)上記固定クロック配線は、上記半導体集積回路全体に対して供給されるグローバルクロック、及び上記半導体集積回路の一部領域に対して供給されるローカルクロックを選択的に供給可能なように構成されていることを特徴とする付記1記載の半導体集積回路の設計方法。
(付記3)上記クロック配線設計は、上記バルク層に配置されたバルクパターンを変更せずに行うことを特徴とする付記1記載の半導体集積回路の設計方法。
(付記4)上記固定クロック配線のうち、上記クロック配線設計により未使用となった固定クロック配線を削除することを特徴とする付記1記載の半導体集積回路の設計方法。
(付記5)上記固定クロック配線は、配線経路を複数に分岐させるクロックバッファセルを含み、上記クロック配線設計により未使用となった上記クロックバッファセルを任意の論理機能を割り当て可能な状態、又は機能停止状態にすることを特徴とする付記1記載の半導体集積回路の設計方法。
(付記6)所定の回路機能を実現するための複数のバルクパターンが配置されたバルク層と、上記バルク層上に順次積層され、ユーザによる配線パターンの変更が可能な可変配線層及びユーザによる配線パターンの変更が不可な固定配線層を含む複数の配線層とを有するマスタースライス方式の半導体集積回路の設計方法であって、
ユーザレイアウト前に、上記半導体集積回路全体に対して供給されるグローバルクロック、及びユーザが任意に追加可能な上記半導体集積回路の一部領域に対して供給されるローカルクロックを選択的に供給可能であり、かつクロックスキュー値を調整した固定クロック配線の設計を行う第1のクロック配線設計ステップと、
上記固定クロック配線設計ステップにて設計された固定クロック配線を用いて、ユーザの要求に応じたクロック配線の設計を行う第2のクロック配線設計ステップとを有することを特徴とする半導体集積回路の設計方法。
(付記7)上記第1及び第2のクロック配線設計ステップは、上記バルク層に配置されたバルクパターンを変更せずにクロック配線の設計を行うことを特徴とする付記6記載の半導体集積回路の設計方法。
(付記8)上記固定クロック配線は、配線経路を分岐させるクロックバッファセルを有し、当該クロックバッファセルに上記ローカルクロックを外部から入力する信号線を任意に接続可能に構成したことを特徴とする付記6記載の半導体集積回路の設計方法。
(付記9)上記第2のクロック配線設計ステップ後に、未使用となった上記固定クロック配線及び配線経路を複数に分岐させるクロックバッファセルの少なくとも一方の後処理を行う未使用部処理ステップをさらに有することを特徴とする付記6記載の半導体集積回路の設計方法。
(付記10)上記未使用部処理ステップでは、上記未使用となった固定クロック配線を削除することを特徴とする付記9記載の半導体集積回路の設計方法。
(付記11)上記未使用部処理ステップでは、上記未使用となったクロックバッファセルを削除することを特徴とする付記9記載の半導体集積回路の設計方法。
(付記12)上記未使用部処理ステップでは、上記未使用となったクロックバッファセルを、任意の論理機能を割り当て可能な状態、又は機能停止状態に設定することを特徴とする付記9記載の半導体集積回路の設計方法。
(付記13)上記固定クロック配線は、クロックの供給側からの配線長が増加する方向に向かって、配線経路が順次分岐するクロックツリー構造を有することを特徴とする付記6記載の半導体集積回路の設計方法。
(付記14)上記固定クロック配線は、H字状に再帰的に接続したクロック配線であることを特徴とする付記6記載の半導体集積回路の設計方法。
(付記15)所定の回路機能を実現するための複数のバルクパターンが配置されたバルク層と、上記バルク層上に順次積層され、ユーザによる配線パターンの変更が可能な可変配線層及びユーザによる配線パターンの変更が不可な固定配線層を含む複数の配線層とを有するマスタースライス方式の半導体集積回路の設計方法をコンピュータに実行させるためのプログラムであって、
ユーザレイアウト前に、上記半導体集積回路全体に対して供給されるグローバルクロック、及びユーザが任意に追加可能な上記半導体集積回路の一部領域に対して供給されるローカルクロックを選択的に供給可能であり、かつクロックスキュー値を調整した固定クロック配線の設計を行う第1のクロック配線設計ステップと、
上記固定クロック配線設計ステップにて設計された固定クロック配線を用いて、ユーザの要求に応じたクロック配線の設計を行う第2のクロック配線設計ステップとをコンピュータに実行させるためのプログラム。
(付記16)上記第2のクロック配線設計ステップ後に、未使用となった上記固定クロック配線及び配線経路を複数に分岐させるクロックバッファセルの少なくとも一方の後処理を行う未使用部処理ステップをさらにコンピュータに実行させるための付記15記載のプログラム。
(付記17)上記未使用部処理ステップでは、上記未使用となった固定クロック配線の削除、上記未使用となったクロックバッファセルの削除、及び当該クロックバッファセルを任意の論理機能を割り当て可能な状態又は機能停止状態に設定する処理の少なくとも1つを行うことを特徴とする付記16記載のプログラム。
(付記18)マスタースライス方式の半導体集積回路であって、
所定の回路機能を実現するための複数のバルクパターンが形成されるバルク層と、
上記バルク層上に順次積層され、ユーザによる配線パターンの変更が可能な可変配線層及びユーザによる配線パターンの変更が不可な固定配線層を含む複数の配線層とを有し、
上記固定配線層に、上記半導体集積回路全体に対して供給されるグローバルクロック、及びユーザが任意に追加可能な上記半導体集積回路の一部領域に対して供給されるローカルクロックを選択的に供給可能な固定クロック配線が形成されていることを特徴とする半導体集積回路。
(付記19)上記固定クロック配線は、クロックスキュー値が予め調整されていることを特徴とする付記18記載の半導体集積回路。
(付記20)上記固定クロック配線は、配線経路を分岐させるクロックバッファセルを有し、当該クロックバッファセルに上記ローカルクロックを外部から入力する信号線を任意に接続可能に構成されていることを特徴とする付記18記載の半導体集積回路。
(付記21)上記固定クロック配線は、クロックの供給側からの配線長が増加する方向に向かって、配線経路が順次分岐するクロックツリー構造を有することを特徴とする付記18記載の半導体集積回路。
(付記22)上記固定クロック配線は、H字状に再帰的に接続したクロック配線であることを特徴とする付記18記載の半導体集積回路。
本発明の実施形態による設計対象の半導体集積回路の構成例を示す図である。 ユーザレイアウト処理前の半導体集積回路におけるクロック配線のレイアウトの一例を示す図である。 固定クロック配線におけるクロックスキュー調整の一例を説明するための図である。 ユーザレイアウト処理後の半導体集積回路におけるクロック配線のレイアウトの一例を示す図である。 本実施形態における半導体集積回路の配線設計手順を示す図である。 フレームライブラリ作成処理の詳細を示すフローチャートである。 ユーザレイアウト処理の詳細を示すフローチャートである。 本実施形態における半導体集積回路のレイアウト設計を行うためのコンピュータのハードウェア構成図である。
符号の説明
20 半導体チップ
21 RAMマクロ
22 フリップフロップ群
23 RAMマクロ
24 DDR−IFマクロ
25 PLLマクロ
26 I/Oマクロ
27 パッド
RCK1、RCK2 ルートクロックバッファ
CKH クロックハブ
LnGA、LnGB クロックバッファセル

Claims (9)

  1. 所定の回路機能を実現するための複数のバルクパターンが配置されたバルク層と、上記バルク層上に順次積層され、ユーザによる配線パターンの変更が可能な可変配線層及びユーザによる固定クロック配線に係る配線パターンを除く配線パターンの変更が不可な固定配線層を含む複数の配線層とを有するマスタースライス方式の半導体集積回路の設計方法であって、
    ユーザレイアウト前にクロックスキュー値を調整した固定クロック配線を用意して、ユーザレイアウト時に当該固定クロック配線を用いてユーザの要求に応じたクロック配線設計を行い、
    上記クロック配線設計後に、上記固定クロック配線のうち、上記クロック配線設計により未使用となった固定クロック配線を削除することを特徴とする半導体集積回路の設計方法。
  2. 上記固定クロック配線は、上記半導体集積回路全体に対して供給されるグローバルクロック、及び上記半導体集積回路の一部領域に対して供給されるローカルクロックを選択的に供給可能なように構成されていることを特徴とする請求項1記載の半導体集積回路の設計方法。
  3. 上記クロック配線設計は、上記バルク層に配置されたバルクパターンを変更せずに行うことを特徴とする請求項1又は2記載の半導体集積回路の設計方法。
  4. 上記固定クロック配線は、配線経路を複数に分岐させるクロックバッファセルを含み、上記クロック配線設計により未使用となった上記クロックバッファセルが使用していたバルクパターンをゲートアレイセルとして登録された任意のユニットセルに割り当てる、又は当該クロックバッファセルを機能停止状態にすることを特徴とする請求項1〜の何れか1項に記載の半導体集積回路の設計方法。
  5. 所定の回路機能を実現するための複数のバルクパターンが配置されたバルク層と、上記バルク層上に順次積層され、ユーザによる配線パターンの変更が可能な可変配線層及びユーザによる固定クロック配線に係る配線パターンを除く配線パターンの変更が不可な固定配線層を含む複数の配線層とを有するマスタースライス方式の半導体集積回路の設計方法であって、
    ユーザレイアウト前に、上記半導体集積回路全体に対して供給されるグローバルクロック、及びユーザが任意に追加可能な上記半導体集積回路の一部領域に対して供給されるローカルクロックを選択的に供給可能であり、かつクロックスキュー値を調整した固定クロック配線の設計を行う第1のクロック配線設計ステップと、
    上記第1のクロック配線設計ステップにて設計された固定クロック配線を用いて、ユーザの要求に応じたクロック配線の設計を行う第2のクロック配線設計ステップと
    上記第2のクロック配線設計ステップ後に、未使用となった上記固定クロック配線の削除を行う未使用部処理ステップとを有することを特徴とする半導体集積回路の設計方法。
  6. 上記未使用部処理ステップでは、配線経路を複数に分岐させるクロックバッファセルのうち、未使用となった上記クロックバッファセルの後処理をさらに行うことを特徴とする請求項記載の半導体集積回路の設計方法。
  7. 所定の回路機能を実現するための複数のバルクパターンが配置されたバルク層と、上記バルク層上に順次積層され、ユーザによる配線パターンの変更が可能な可変配線層及びユーザによる固定クロック配線に係る配線パターンを除く配線パターンの変更が不可な固定配線層を含む複数の配線層とを有するマスタースライス方式の半導体集積回路の設計方法をコンピュータに実行させるためのプログラムであって、
    ユーザレイアウト前に、上記半導体集積回路全体に対して供給されるグローバルクロック、及びユーザが任意に追加可能な上記半導体集積回路の一部領域に対して供給されるローカルクロックを選択的に供給可能であり、かつクロックスキュー値を調整した固定クロック配線の設計を行う第1のクロック配線設計ステップと、
    上記第1のクロック配線設計ステップにて設計された固定クロック配線を用いて、ユーザの要求に応じたクロック配線の設計を行う第2のクロック配線設計ステップと
    上記第2のクロック配線設計ステップ後に、未使用となった上記固定クロック配線の削除を行う未使用部処理ステップとをコンピュータに実行させるためのプログラム。
  8. 上記未使用部処理ステップでは、配線経路を複数に分岐させるクロックバッファセルのうち、未使用となった上記クロックバッファセルの後処理をさらに行うことを特徴とする請求項記載のプログラム。
  9. 上記未使用部処理ステップでは上記未使用となったクロックバッファセルの削除、及び当該クロックバッファセルが使用していたバルクパターンをゲートアレイセルとして登録された任意のユニットセルに割り当てる、又は当該クロックバッファセルを機能停止状態に設定する処理の少なくとも1つを行うことを特徴とする請求項記載のプログラム。
JP2004136159A 2004-04-30 2004-04-30 半導体集積回路の設計方法及びプログラム Expired - Fee Related JP4751581B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2004136159A JP4751581B2 (ja) 2004-04-30 2004-04-30 半導体集積回路の設計方法及びプログラム

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004136159A JP4751581B2 (ja) 2004-04-30 2004-04-30 半導体集積回路の設計方法及びプログラム

Publications (2)

Publication Number Publication Date
JP2005317858A JP2005317858A (ja) 2005-11-10
JP4751581B2 true JP4751581B2 (ja) 2011-08-17

Family

ID=35444940

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004136159A Expired - Fee Related JP4751581B2 (ja) 2004-04-30 2004-04-30 半導体集積回路の設計方法及びプログラム

Country Status (1)

Country Link
JP (1) JP4751581B2 (ja)

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4464039B2 (ja) * 2002-11-27 2010-05-19 Necエレクトロニクス株式会社 マスタースライス集積回路
JP4878727B2 (ja) * 2003-10-15 2012-02-15 ルネサスエレクトロニクス株式会社 半導体集積回路

Also Published As

Publication number Publication date
JP2005317858A (ja) 2005-11-10

Similar Documents

Publication Publication Date Title
US11625522B2 (en) Method and apparatus for generating three-dimensional integrated circuit design
US7809971B2 (en) Clock distribution circuit, semiconductor integrated circuit and method of designing clock distribution circuit
KR100423594B1 (ko) 혼합형 공급 전압 설계용 전압 아일런드를 논리적 및 물리적으로 동시에 구성하는 방법 및 저장 매체
US6305001B1 (en) Clock distribution network planning and method therefor
US20230153508A1 (en) Cell layout of semiconductor device
JP5241603B2 (ja) 相互接続回路内のデータ経路のルーティングを求める方法、システム、およびコンピュータプログラム製品
US6662349B2 (en) Method of repeater insertion for hierarchical integrated circuit design
US10169505B2 (en) Partitioning and routing multi-SLR FPGA for emulation and prototyping
JP2006156929A (ja) 半導体集積回路及びその設計方法
US8782591B1 (en) Physically aware logic synthesis of integrated circuit designs
CN101206686A (zh) 设计时钟域中锁存器的布图的方法和计算机系统
US9977857B1 (en) Method and circuit for via pillar optimization
JP5193406B2 (ja) クロック分配回路の設計方法,設計装置および設計プログラム並びに同プログラムを記録したコンピュータ読取可能な記録媒体
KR20080009166A (ko) 반도체 집적 회로 및 그 설계 방법
US9760669B2 (en) Congestion mitigation by wire ordering
JP4751581B2 (ja) 半導体集積回路の設計方法及びプログラム
US7026667B2 (en) Semiconductor integrated circuit device having clock signal transmission line and wiring method thereof
US20130239078A1 (en) Optimizing timing critical paths by modulating systemic process variation
JP2005184262A (ja) 半導体集積回路及びその製造方法
US7146582B2 (en) Logic circuit optimizing method, logic circuit optimizing device and logic circuit composing device
JP3913180B2 (ja) 半導体集積回路の設計方法
Tosun et al. Energy-aware partitioning of fault-tolerant irregular topologies for 3D network-on-chips
US11916384B2 (en) Region-based power grid generation through modification of an initial power grid based on timing analysis
JP2009188093A (ja) 半導体集積回路の設計装置、方法、及び、プログラム
JP2005116793A (ja) 半導体集積回路及びそのクロック配線方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070312

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20080731

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100202

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100204

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100405

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110517

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110523

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140527

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees