JP4706450B2 - Semiconductor device and manufacturing method thereof - Google Patents

Semiconductor device and manufacturing method thereof Download PDF

Info

Publication number
JP4706450B2
JP4706450B2 JP2005331673A JP2005331673A JP4706450B2 JP 4706450 B2 JP4706450 B2 JP 4706450B2 JP 2005331673 A JP2005331673 A JP 2005331673A JP 2005331673 A JP2005331673 A JP 2005331673A JP 4706450 B2 JP4706450 B2 JP 4706450B2
Authority
JP
Japan
Prior art keywords
gate electrode
layer
extension layer
transistor
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2005331673A
Other languages
Japanese (ja)
Other versions
JP2007142036A (en
Inventor
八州志 舘下
俊利 王
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP2005331673A priority Critical patent/JP4706450B2/en
Publication of JP2007142036A publication Critical patent/JP2007142036A/en
Application granted granted Critical
Publication of JP4706450B2 publication Critical patent/JP4706450B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

本発明は、半導体装置およびその製造方法に関し、特に、エピタキシャル成長層によりエクステンションが形成された半導体装置およびその製造方法に関する。   The present invention relates to a semiconductor device and a manufacturing method thereof, and more particularly to a semiconductor device in which an extension is formed by an epitaxial growth layer and a manufacturing method thereof.

トランジスタの世代が進む中で、微細化によるスケーリングも絶え間なく行われている。国際半導体技術ロードマップ(ITRS)上では、hp(half pitch)45nm世代と呼ばれているトランジスタで、20nm以下のゲート長(Lg)が予想されている。この世代のトランジスタに対しては、ゲート長と合わせて、ゲート絶縁膜の実効膜厚(EOT:Effective Oxide Thickness)や、拡散層の深さ(Xj)も合わせてスケーリングする必要がある。   As transistor generation progresses, scaling by miniaturization is constantly performed. In the international semiconductor technology roadmap (ITRS), a gate length (Lg) of 20 nm or less is expected in a transistor called hp (half pitch) 45 nm generation. For this generation of transistors, it is necessary to scale the effective thickness (EOT: Effective Oxide Thickness) of the gate insulating film and the depth (Xj) of the diffusion layer together with the gate length.

ゲート絶縁膜の実効膜厚EOTのスケーリングは駆動能力(Ids)の確保のため、拡散層の深さXjのスケーリングは短チャネル効果(SCE:Short Channel Effect)の抑制のために必要である。特に拡散層の深さXjのスケーリングに対しては、厳しい制約がある。20nm以下のゲート長Lgでトランジスタを形成する場合、エクステンション(Extension)部となる拡散層は5nm以下の浅さが必要と考えられる。   The scaling of the effective thickness EOT of the gate insulating film is necessary for securing the driving capability (Ids), and the scaling of the depth Xj of the diffusion layer is necessary for suppressing the short channel effect (SCE). In particular, there are severe restrictions on the scaling of the diffusion layer depth Xj. In the case of forming a transistor with a gate length Lg of 20 nm or less, it is considered that the diffusion layer serving as an extension portion needs to be shallower than 5 nm.

しかしながら、この極浅のpn接合を形成しようとするときの主な問題は、イオン注入技術、活性化のアニール技術が現状では確立されていないという2点である。5nmの拡散層深さXjを実現できたと仮定しても、その薄さ故に、生じる寄生抵抗が増加するという点も問題となる。   However, there are two main problems when trying to form this extremely shallow pn junction, that is, an ion implantation technique and an activation annealing technique have not been established at present. Even if it is assumed that the diffusion layer depth Xj of 5 nm can be realized, there arises a problem that the parasitic resistance generated increases due to the thinness.

そこで、エクステンション部をもとのシリコン基板面よりも上に持ち上げて、シリコン基板下の拡散層深さXjは浅く保ったまま、エクステンション部の抵抗を下げるというコンセプトの持ち上げエクステンション(Raised Extension)構造が提案されている(特許文献1参照)。
特開2000−82813号公報
Therefore, a lifted extension (Raised Extension) structure with the concept of lowering the resistance of the extension part while lifting the extension part above the original silicon substrate surface and keeping the diffusion layer depth Xj below the silicon substrate shallow is provided. It has been proposed (see Patent Document 1).
JP 2000-82813 A

上記のエクステンション部のエピタキシャル成長中に不純物を導入した場合、エクステンション部中の不純物がチャネル方向に拡散すると、短チャネル効果を引き起こす。この不純物の拡散は、不純物として砒素を用いるnMOSトランジスタに比べて、不純物としてボロンを用いるpMOSトランジスタで生じやすい。ボロンは、シリコンへの拡散係数が大きいからである。   When impurities are introduced during the epitaxial growth of the extension part, if the impurities in the extension part diffuse in the channel direction, a short channel effect is caused. This impurity diffusion is more likely to occur in a pMOS transistor that uses boron as an impurity than an nMOS transistor that uses arsenic as an impurity. This is because boron has a large diffusion coefficient into silicon.

CMOSトランジスタの性能を向上させるためには、nMOSトランジスタとpMOSトランジスタにおいて、それぞれの不純物の拡散の度合いを同等に抑制する必要がある。このため、nMOSトランジスタとpMOSトランジスタのそれぞれの構造および製法を最適化する必要がある。   In order to improve the performance of the CMOS transistor, it is necessary to equally suppress the degree of diffusion of impurities in the nMOS transistor and the pMOS transistor. Therefore, it is necessary to optimize the structures and manufacturing methods of the nMOS transistor and the pMOS transistor.

本発明は上記の事情に鑑みてなされたものであり、キャリアの異なる2種類のトランジスタについてエクステンション層の構造を最適化することにより、2種類のトランジスタについて不純物の拡散を同等に抑制した半導体装置およびその製造方法を提供することにある。   The present invention has been made in view of the above circumstances, and by optimizing the structure of the extension layer for two types of transistors having different carriers, a semiconductor device in which diffusion of impurities is equally suppressed for the two types of transistors, and It is in providing the manufacturing method.

上記の目的を達成するため、本発明の半導体装置は、半導体基板上に形成されたpチャネルの第1トランジスタと、nチャネルの第2トランジスタとを有する半導体装置であって、前記第1トランジスタは、前記半導体基板上にゲート絶縁膜を介して形成されたゲート電極と、前記ゲート電極の両側における前記半導体基板上に形成され、p型不純物を含有するp型エクステンション層とを有し、前記第2トランジスタは、前記半導体基板上にゲート絶縁膜を介して形成されたゲート電極と、前記ゲート電極の両側における前記半導体基板上に形成され、n型不純物を含有するn型エクステンション層とを有する。   In order to achieve the above object, a semiconductor device of the present invention is a semiconductor device having a p-channel first transistor and an n-channel second transistor formed on a semiconductor substrate, wherein the first transistor includes: A gate electrode formed on the semiconductor substrate through a gate insulating film; and a p-type extension layer formed on the semiconductor substrate on both sides of the gate electrode and containing a p-type impurity. The two transistors include a gate electrode formed on the semiconductor substrate via a gate insulating film, and an n-type extension layer formed on the semiconductor substrate on both sides of the gate electrode and containing an n-type impurity.

上記の半導体装置において、前記p型エクステンション層は、前記n型エクステンション層に比べて薄く形成されている。
あるいは、前記p型エクステンション層および前記n型エクステンション層は、前記ゲート電極側に傾斜端面を有し、前記p型エクステンション層の傾斜端面の角度は、前記n型エクステンション層の傾斜端面の角度よりも小さい。
In the above semiconductor device, the p-type extension layer is formed thinner than the n-type extension layer.
Alternatively, the p-type extension layer and the n-type extension layer have an inclined end face on the gate electrode side, and the angle of the inclined end face of the p-type extension layer is larger than the angle of the inclined end face of the n-type extension layer. small.

上記の本発明の半導体装置では、p型エクステンション層がn型エクステンション層に比べて薄く形成されていることにより、第2トランジスタよりも第1トランジスタにおける不純物の拡散源が少なくなる。このため、第1トランジスタと第2トランジスタで不純物の拡散が同程度に抑制される。
あるいは、p型エクステンション層の傾斜端面の角度が、n型エクステンション層の傾斜端面の角度よりも小さく形成されていることにより、第2トランジスタよりも第1トランジスタにおける不純物の拡散源が少なくなる。このため、第1トランジスタと第2トランジスタで不純物の拡散が同程度に抑制される。
In the semiconductor device of the present invention described above, since the p-type extension layer is formed thinner than the n-type extension layer, there are fewer impurity diffusion sources in the first transistor than in the second transistor. For this reason, the diffusion of impurities is suppressed to the same extent in the first transistor and the second transistor.
Alternatively, since the angle of the inclined end surface of the p-type extension layer is smaller than the angle of the inclined end surface of the n-type extension layer, the number of impurity diffusion sources in the first transistor is smaller than that in the second transistor. For this reason, the diffusion of impurities is suppressed to the same extent in the first transistor and the second transistor.

上記の目的を達成するため、本発明は、半導体基板の第1領域にpチャネルの第1トランジスタを形成し、前記半導体基板の第2領域にnチャネルの第2トランジスタを形成する半導体装置の製造方法であって、第1領域および第2領域における前記半導体基板上に、ゲート絶縁膜を介してゲート電極を形成する工程と、前記第2領域において前記ゲート電極の両側における前記半導体基板上にn型不純物を含有するn型エクステンション層をエピタキシャル成長させる工程と、前記第1領域において前記ゲート電極の両側における前記半導体基板上にp型不純物を含有するp型エクステンション層をエピタキシャル成長させる工程とを有する。   To achieve the above object, the present invention provides a semiconductor device in which a p-channel first transistor is formed in a first region of a semiconductor substrate, and an n-channel second transistor is formed in a second region of the semiconductor substrate. A method of forming a gate electrode on the semiconductor substrate in the first region and the second region via a gate insulating film; and n on the semiconductor substrate on both sides of the gate electrode in the second region. Epitaxially growing an n-type extension layer containing a p-type impurity, and epitaxially growing a p-type extension layer containing a p-type impurity on the semiconductor substrate on both sides of the gate electrode in the first region.

本発明では、前記p型エクステンション層をエピタキシャル成長させる工程において、前記n型エクステンション層に比べて薄い前記p型エクステンション層を形成する。
あるいは、前記n型エクステンション層および前記p型エクステンション層をエピタキシャル成長させる工程において、前記p型エクステンション層の傾斜端面の角度が前記n型エクステンション層の傾斜端面の角度よりも小さくなるように調整する。
In the present invention, in the step of epitaxially growing the p-type extension layer, the p-type extension layer that is thinner than the n-type extension layer is formed.
Alternatively, in the step of epitaxially growing the n-type extension layer and the p-type extension layer, the angle of the inclined end face of the p-type extension layer is adjusted to be smaller than the angle of the inclined end face of the n-type extension layer.

上記の本発明の半導体装置の製造方法では、p型エクステンション層をn型エクステンション層に比べて薄く形成することにより、第2トランジスタよりも第1トランジスタにおける不純物の拡散源が少なくなる。このため、第1トランジスタと第2トランジスタで不純物の拡散が同程度に抑制される。
あるいは、p型エクステンション層の傾斜端面の角度を、n型エクステンション層の傾斜端面の角度よりも小さくすることにより、第2トランジスタよりも第1トランジスタにおける不純物の拡散源が少なくなる。このため、第1トランジスタと第2トランジスタで不純物の拡散が同程度に抑制される。
なお、上記のゲート絶縁膜およびゲート電極をダミーゲート絶縁膜およびダミーゲート電極とし、後にダマシンプロセスにより埋め込みゲート電極を形成してもよい。
In the method of manufacturing a semiconductor device according to the present invention, the p-type extension layer is formed thinner than the n-type extension layer, so that the number of impurity diffusion sources in the first transistor is smaller than that in the second transistor. For this reason, the diffusion of impurities is suppressed to the same extent in the first transistor and the second transistor.
Alternatively, by making the angle of the inclined end face of the p-type extension layer smaller than the angle of the inclined end face of the n-type extension layer, there are fewer impurity diffusion sources in the first transistor than in the second transistor. For this reason, the diffusion of impurities is suppressed to the same extent in the first transistor and the second transistor.
The gate insulating film and the gate electrode may be used as a dummy gate insulating film and a dummy gate electrode, and a buried gate electrode may be formed later by a damascene process.

本発明によれば、キャリアの異なる2種類のトランジスタについてエクステンション層の構造を最適化することにより、2種類のトランジスタについて不純物の拡散を同等に抑制することができる。この結果、双方のトランジスタにおいて短チャネル効果を抑制することができ、安定した特性をもつ半導体装置を実現することができる。   According to the present invention, by optimizing the structure of the extension layer for two types of transistors having different carriers, impurity diffusion can be equally suppressed for the two types of transistors. As a result, the short channel effect can be suppressed in both transistors, and a semiconductor device having stable characteristics can be realized.

本発明を実施するための最良の形態を、pMOSトランジスタ(第1トランジスタ)とnMOSトランジスタ(第2トランジスタ)とを同一基板に形成するCMOSデバイスを例として図面を参照して述べる。   The best mode for carrying out the present invention will be described with reference to the drawings, taking as an example a CMOS device in which a pMOS transistor (first transistor) and an nMOS transistor (second transistor) are formed on the same substrate.

(第1実施形態)
図1は、CMOSデバイスのチャネル方向の断面図である。
(First embodiment)
FIG. 1 is a cross-sectional view of the CMOS device in the channel direction.

Si基板1の表面には、nMOSとpMOSの活性領域を区画する、例えばSTI(Shallow Trench Isolation)からなる素子分離絶縁層2が形成されている。Si基板1の表面は、(100)面である。図示はしないが、nMOS領域にはpウェルが形成され、pMOS領域にはnウェルが形成されている。Si基板1は、本発明の半導体基板の一例である。   An element isolation insulating layer 2 made of, for example, STI (Shallow Trench Isolation) is formed on the surface of the Si substrate 1 to partition the active regions of the nMOS and the pMOS. The surface of the Si substrate 1 is a (100) plane. Although not shown, a p-well is formed in the nMOS region, and an n-well is formed in the pMOS region. The Si substrate 1 is an example of a semiconductor substrate of the present invention.

nMOS領域におけるSi基板1上に、所定間隔だけ離れた2つの第1エピタキシャル成長層11nが形成されている。第1エピタキシャル成長層11nは、nMOSトランジスタのエクステンション領域として機能するものであり、n型不純物が導入されている。第1エピタキシャル成長層11nは、本発明のn型エクステンション層の一例である。n型不純物は、例えば砒素である。   On the Si substrate 1 in the nMOS region, two first epitaxial growth layers 11n separated by a predetermined interval are formed. The first epitaxial growth layer 11n functions as an extension region of the nMOS transistor, and an n-type impurity is introduced. The first epitaxial growth layer 11n is an example of an n-type extension layer of the present invention. The n-type impurity is, for example, arsenic.

pMOS領域におけるSi基板1上に、所定間隔だけ離れた2つの第1エピタキシャル成長層11pが形成されている。第1エピタキシャル成長層11pは、pMOSトランジスタのエクステンション領域として機能するものであり、p型不純物が導入されている。第1エピタキシャル成長層11pは、本発明のp型エクステンション層の一例である。p型不純物は、例えばボロンである。   On the Si substrate 1 in the pMOS region, two first epitaxial growth layers 11p separated by a predetermined interval are formed. The first epitaxial growth layer 11p functions as an extension region of the pMOS transistor, and a p-type impurity is introduced. The first epitaxial growth layer 11p is an example of a p-type extension layer of the present invention. The p-type impurity is, for example, boron.

2つの第1エピタキシャル成長層11nの各々は、その対向側に上方ほど互いの距離が離れる傾斜端面を有している。2つ第1エピタキシャル成長層22nの間におけるSi基板1上にゲート絶縁膜4が形成され、その上にゲート電極5が形成されている。
2つの第1エピタキシャル成長層11pの各々は、その対向側に同様に傾斜端面を有し、2つの第1エピタキシャル成長層11pの間におけるSi基板1上にゲート絶縁膜4が形成され、その上にゲート電極5が形成されている。
Each of the two first epitaxial growth layers 11n has an inclined end face that is spaced away from each other toward the upper side. A gate insulating film 4 is formed on the Si substrate 1 between the two first epitaxial growth layers 22n, and a gate electrode 5 is formed thereon.
Each of the two first epitaxial growth layers 11p similarly has an inclined end face on the opposite side, and a gate insulating film 4 is formed on the Si substrate 1 between the two first epitaxial growth layers 11p, and a gate is formed thereon. An electrode 5 is formed.

nMOS側のゲート電極5およびpMOS側のゲート電極5の各側面が、それぞれ第1エピタキシャル成長層11nおよび11p上の側壁スペーサ13により覆われている。   The side surfaces of the gate electrode 5 on the nMOS side and the gate electrode 5 on the pMOS side are covered with the side wall spacers 13 on the first epitaxial growth layers 11n and 11p, respectively.

nMOS領域において、側壁スペーサ13の外側のSi基板1内に、n型拡散層10nが形成されている。n型拡散層10nは、nMOSトランジスタのソースまたはドレインとして機能するものであり、n型不純物が導入されている。n型不純物は、例えばリンである。   In the nMOS region, an n-type diffusion layer 10 n is formed in the Si substrate 1 outside the sidewall spacer 13. The n-type diffusion layer 10n functions as a source or drain of the nMOS transistor, and an n-type impurity is introduced. The n-type impurity is, for example, phosphorus.

pMOS領域において、側壁スペーサ13の外側のSi基板1内に、p型拡散層10pが形成されている。p型拡散層10pは、pMOSトランジスタのソースまたはドレインとして機能するものであり、p型不純物が導入されている。p型不純物は、例えばボロンである。   In the pMOS region, a p-type diffusion layer 10 p is formed in the Si substrate 1 outside the sidewall spacer 13. The p-type diffusion layer 10p functions as a source or drain of the pMOS transistor, and a p-type impurity is introduced. The p-type impurity is, for example, boron.

また、側壁スペーサ13および素子分離絶縁層2を分離層とするサリサイド(Self-aligned silicide)プロセスにより、第1エピタキシャル成長層11n、11pおよびゲート電極5のそれぞれに合金層としてのシリサイド層14が形成されている。
とくに、図示していないが、トランジスタの全面は層間絶縁膜に覆われており、層間絶縁膜には、シリサイド層14に接続する接続層が埋め込まれ、層間絶縁膜の上に配線が形成されている。
Further, a silicide layer 14 as an alloy layer is formed on each of the first epitaxial growth layers 11n and 11p and the gate electrode 5 by a salicide (Self-aligned silicide) process using the sidewall spacer 13 and the element isolation insulating layer 2 as isolation layers. ing.
Although not shown in particular, the entire surface of the transistor is covered with an interlayer insulating film, and a connection layer connected to the silicide layer 14 is embedded in the interlayer insulating film, and wiring is formed on the interlayer insulating film. Yes.

上記の本実施形態に係る半導体装置では、pMOSトランジスタの第1エピタキシャル成長層11pと、nMOSトランジスタの第1エピタキシャル成長層11nの構造を最適化している。   In the semiconductor device according to the present embodiment, the structures of the first epitaxial growth layer 11p of the pMOS transistor and the first epitaxial growth layer 11n of the nMOS transistor are optimized.

図2は、第1エピタキシャル成長層(エクステンション層)の最適化の一例について説明するための要部断面図である。   FIG. 2 is a main part sectional view for explaining an example of optimization of the first epitaxial growth layer (extension layer).

例えば、pMOSの第1エピタキシャル成長層11pの膜厚Tpを、nMOSの第1エピタキシャル成長層11nの膜厚Tnよりも小さくする。これにより、不純物の拡散源がpMOS側において少なくなることから、pMOS側における不純物の拡散と、nMOS側における不純物の拡散を同程度に抑制することができる。このため、双方のトランジスタで短チャネル効果を抑制することができ、安定した特性をもつCMOSトランジスタを実現することができる。   For example, the film thickness Tp of the first epitaxial growth layer 11p of pMOS is made smaller than the film thickness Tn of the first epitaxial growth layer 11n of nMOS. Thereby, since the diffusion source of impurities is reduced on the pMOS side, the diffusion of impurities on the pMOS side and the diffusion of impurities on the nMOS side can be suppressed to the same extent. Therefore, the short channel effect can be suppressed by both transistors, and a CMOS transistor having stable characteristics can be realized.

図3は、第1エピタキシャル成長層(エクステンション層)の最適化の他の例について説明するための要部断面図である。   FIG. 3 is a fragmentary cross-sectional view for explaining another example of optimization of the first epitaxial growth layer (extension layer).

例えば、pMOSの第1エピタキシャル成長層11pのゲート電極5側の傾斜端面の角度(ファセット角度)θpを、nMOSの第1エピタキシャル成長層11nの傾斜端面の角度θnよりも小さくする。傾斜端面の角度を小さくすれば、ゲート電極側における不純物の拡散源が少なくなる。これにより、pMOS側における不純物の拡散と、nMOS側における不純物の拡散を同程度に抑制することができる。このため、双方のトランジスタで短チャネル効果を抑制することができ、安定した特性をもつCMOSトランジスタを実現することができる。   For example, the angle (facet angle) θp of the inclined end face on the gate electrode 5 side of the first epitaxial growth layer 11p of pMOS is made smaller than the angle θn of the inclined end face of the first epitaxial growth layer 11n of nMOS. If the angle of the inclined end face is reduced, the number of impurity diffusion sources on the gate electrode side is reduced. Thereby, the diffusion of impurities on the pMOS side and the diffusion of impurities on the nMOS side can be suppressed to the same extent. Therefore, the short channel effect can be suppressed by both transistors, and a CMOS transistor having stable characteristics can be realized.

なお、上記の第1エピタキシャル成長層11n,11pの膜厚あるいは傾斜単面の角度のいずれかを最適化してもよいし、双方を最適化してもよい。第1エピタキシャル成長層11nに導入する不純物としては、短チャネル効果を抑制する観点から、リンよりも大きく拡散しづらい砒素を用いることが好ましい。   Note that either the film thickness of the first epitaxial growth layers 11n and 11p or the angle of the inclined single plane may be optimized, or both may be optimized. As the impurity to be introduced into the first epitaxial growth layer 11n, it is preferable to use arsenic which is more difficult to diffuse than phosphorus from the viewpoint of suppressing the short channel effect.

さらに、上記の持ち上げエクステンション構造の半導体装置は、短チャネル効果を抑制できる、駆動電流を大きくできるという特徴がある。これについて説明する。   Further, the semiconductor device having the lift extension structure has the characteristics that the short channel effect can be suppressed and the drive current can be increased. This will be described.

エクステンション領域が存在することによって、ソース・ドレイン領域を実効チャネル領域から離すことができる。エクステンション領域は、主としてn型またはp型不純物が導入されている第1エピタキシャル成長層11n,11pにより構成され、いわゆる持ち上げエクステンション構造となっている。   Since the extension region exists, the source / drain region can be separated from the effective channel region. The extension region is mainly composed of first epitaxial growth layers 11n and 11p into which n-type or p-type impurities are introduced, and has a so-called lift extension structure.

また、本実施の形態においては、後述するように、急峻な不純物プロファイルをもつエクステンション領域が形成される。その結果、エクステンション領域からの空乏層の延びが抑えられている。エクステンション領域からの空乏層の伸びを抑制できることから、駆動電流を大きくすることができる。   In the present embodiment, as will be described later, an extension region having a steep impurity profile is formed. As a result, the extension of the depletion layer from the extension region is suppressed. Since the extension of the depletion layer from the extension region can be suppressed, the drive current can be increased.

また、エクステンション領域となる第1エピタキシャル成長層11n,11pが基板表面より上方に持ち上げられていることによって、動作バイアス印加時にエクステンション領域の直列抵抗を上げることなく、そのウェル表面からのpn接合深さを浅くすることができることから、エクステンション領域から伸びる空乏層の実効チャネル領域に対する影響および電界集中を抑えることができる。   In addition, since the first epitaxial growth layers 11n and 11p serving as extension regions are lifted above the substrate surface, the pn junction depth from the well surface can be increased without increasing the series resistance of the extension region when operating bias is applied. Since the depth can be reduced, the influence of the depletion layer extending from the extension region on the effective channel region and electric field concentration can be suppressed.

次に、本実施の形態におけるCMOSデバイスの製造方法を、図面を参照して述べる。
図4(A)〜図13(B)は、本実施の形態における製造方法を適用して製造されるCMOSデバイスのチャネル方向の断面図である。
Next, a method for manufacturing a CMOS device in the present embodiment will be described with reference to the drawings.
4A to 13B are cross-sectional views in the channel direction of a CMOS device manufactured by applying the manufacturing method according to the present embodiment.

図4(A)に示すように、Si基板1に、素子間分離のためのSTIからなる素子分離絶縁層2を形成する。続いて、nMOS領域のSi基板1に、pウェルを形成するためのイオン注入を行い、さらに必要に応じて、閾値電圧調節を行うためのイオン注入を行う。同様に、pMOS領域のSi基板1に、nウェルを形成するためのイオン注入を行い、さらに必要に応じて、閾値電圧調節を行うためのイオン注入を行う。その後、活性化アニールを行う。   As shown in FIG. 4A, an element isolation insulating layer 2 made of STI for element isolation is formed on a Si substrate 1. Subsequently, ion implantation for forming a p-well is performed on the Si substrate 1 in the nMOS region, and ion implantation for adjusting a threshold voltage is performed as necessary. Similarly, ion implantation for forming an n-well is performed on the Si substrate 1 in the pMOS region, and ion implantation for adjusting a threshold voltage is performed as necessary. Thereafter, activation annealing is performed.

図4(B)に示すように、SiO膜4aを熱酸化により0.1〜5m形成する。続いて、SiO膜4a上にポリシリコン膜5aをCVDにより形成する。ポリシリコン膜5aの厚さは100〜200nm程度である。ポリシリコン膜5aに代えて、アモルファスSiや、不純物をドーピングしたドープド・アモルファスSiなどの膜を形成してもよい。 As shown in FIG. 4B, the SiO 2 film 4a is formed to 0.1 to 5 m by thermal oxidation. Subsequently, a polysilicon film 5a is formed on the SiO 2 film 4a by CVD. The thickness of the polysilicon film 5a is about 100 to 200 nm. Instead of the polysilicon film 5a, a film such as amorphous Si or doped amorphous Si doped with impurities may be formed.

図5(A)に示すように、ポリシリコン膜5a上に、トランジスタのゲート電極対応のパターンを有するハードマスク20を形成する。ハードマスク20は、ポリシリコン膜5aの上にCVDにより窒化シリコン(SiN)膜を形成した後に、レジストマスクを用いたエッチングにより窒化シリコン膜をパターニングして形成する。   As shown in FIG. 5A, a hard mask 20 having a pattern corresponding to the gate electrode of the transistor is formed on the polysilicon film 5a. The hard mask 20 is formed by forming a silicon nitride (SiN) film on the polysilicon film 5a by CVD and then patterning the silicon nitride film by etching using a resist mask.

ハードマスク20をマスクにして、ポリシリコン膜5aをドライエッチングする。図5(B)は、このドライエッチングにより形成されたゲート電極5およびハードマスク20を示す。この時のゲート電極5の線幅は、最小で数nm〜十数nmとなる。   Using the hard mask 20 as a mask, the polysilicon film 5a is dry etched. FIG. 5B shows the gate electrode 5 and the hard mask 20 formed by this dry etching. The line width of the gate electrode 5 at this time is several nm to several tens of nm at the minimum.

次の図6(A)〜図12(A)までは、選択的エピタキシィ(Selective Epitaxy)による第1エピタキシャル成長層11nと11pの形成に関する。
一般に、選択的エピタキシィによるSiの成長は絶縁膜の表面では生じず、Siの露出表面で生じる。このため、本実施形態では、pMOS側とnMOS側の一方を絶縁膜で保護して選択エピタキシィを行い、その後、逆に他方側を絶縁膜で保護して選択エピタキシィを行う方法を提案する。
6A to 12A relate to the formation of the first epitaxial growth layers 11n and 11p by selective epitaxy.
In general, Si growth by selective epitaxy does not occur on the surface of the insulating film but occurs on the exposed surface of Si. For this reason, this embodiment proposes a method of performing selective epitaxy by protecting one of the pMOS side and the nMOS side with an insulating film and then protecting the other side with an insulating film.

まず、ゲート電極5周囲のSiO膜4aを除去する。これにより、図6(A)に示すように、ゲート絶縁膜4が形成される。
続いて、選択的エピタキシィをしたくない場所を保護する目的の絶縁膜(ここではSiN膜21a)をCVDにより形成する。SiN膜21aの膜厚は1〜10nmであり、SiN膜21aによって完全にゲート電極5を被覆する。この保護用の膜の材料は、SiOなど基板が自然に酸化されてできる膜に対して、ウエットエッチング速度の遅い膜を使う必要がある。
First, the SiO 2 film 4a around the gate electrode 5 is removed. Thereby, the gate insulating film 4 is formed as shown in FIG.
Subsequently, an insulating film (here, the SiN film 21a) for protecting a place where selective epitaxy is not desired is formed by CVD. The thickness of the SiN film 21a is 1 to 10 nm, and the gate electrode 5 is completely covered with the SiN film 21a. As a material for the protective film, it is necessary to use a film having a slow wet etching rate compared to a film formed by naturally oxidizing the substrate, such as SiO 2 .

図6(B)に示すように、pMOS側を保護するレジストR1をSiN膜21a上に形成する。   As shown in FIG. 6B, a resist R1 for protecting the pMOS side is formed on the SiN film 21a.

このレジストをマスクにして異方性のドライエッチングを行うと、図7(A)に示すように、ゲート電極5の側面にSiN膜21aが残され、これにより隔壁絶縁膜21が形成され、nMOS側のSi基板1上のSiN膜21aは除去される。ただし、レジストR1に保護されているpMOS側はSiN膜21aで覆われている。   When anisotropic dry etching is performed using this resist as a mask, as shown in FIG. 7A, the SiN film 21a is left on the side surface of the gate electrode 5, thereby forming the partition insulating film 21, and the nMOS The SiN film 21a on the Si substrate 1 on the side is removed. However, the pMOS side protected by the resist R1 is covered with the SiN film 21a.

図7(B)に示すように、レジストR1を除去する。   As shown in FIG. 7B, the resist R1 is removed.

図8(A)に示すように、SiN膜21aが除去され露出したnMOS側のSi基板1表面に、n型の不純物として砒素Asが混入したシリコン層(第1エピタキシャル成長層)を選択エピタキシィにより形成する。第1エピタキシャル成長層の材料は、例えばSi単結晶である。このときの不純物濃度は、1×1018〜1×1020/cmとする。このエピタキシィは800℃以下の低温プロセスで行われるため、成長中に導入された不純物が基板側に拡散することを抑制することができる。また、不純物は既に活性化しているためにその後の工程で、活性化のための熱処理を行う必要がなく、そこでも基板側への不純物拡散を抑制することができる。これにより、Si基板1への砒素の拡散を防止することができ、低抵抗のエクステンション領域を形成することができる。 As shown in FIG. 8A, a silicon layer (first epitaxial growth layer) in which arsenic As is mixed as an n-type impurity is formed on the surface of the Si substrate 1 on the nMOS side exposed by removing the SiN film 21a by selective epitaxy. To do. The material of the first epitaxial growth layer is, for example, Si single crystal. The impurity concentration at this time is 1 × 10 18 to 1 × 10 20 / cm 3 . Since this epitaxy is performed at a low temperature process of 800 ° C. or lower, it is possible to suppress the diffusion of impurities introduced during the growth to the substrate side. Further, since the impurities have already been activated, it is not necessary to perform heat treatment for activation in the subsequent steps, and diffusion of impurities to the substrate side can be suppressed there. Thereby, diffusion of arsenic into the Si substrate 1 can be prevented, and a low resistance extension region can be formed.

この第1エピタキシャル成長層11nは選択エピタキシィにより形成されることから、その形状にも特徴がある。すなわち、図8(A)に一部拡大して示すように、隔壁絶縁膜21に接する側にエピタキシャル成長層が形成されないことから、その成長条件に応じて第1エピタキシャル成長層11nに傾斜端面11Aが形成され、その傾斜端面11Aが基板面とのなす角度(FASET)が、20〜70°の範囲で一定の値をもつ。   Since the first epitaxial growth layer 11n is formed by selective epitaxy, its shape is also characteristic. That is, as shown in a partially enlarged view in FIG. 8A, since the epitaxial growth layer is not formed on the side in contact with the partition insulating film 21, the inclined end face 11A is formed in the first epitaxial growth layer 11n according to the growth conditions. The angle (FASET) between the inclined end surface 11A and the substrate surface has a constant value in the range of 20 to 70 °.

上記のエピタキシャル成長において、第1エピタキシャル成長層11nの膜厚、あるいは傾斜端面の角度の調整方法について説明する。第1エピタキシャル成長層11nの膜厚については、エピタキシャル成長の時間を制御することにより調整できる。また、エピタキシャル成長では、ガスとして、例えば、HCL、ジクロルシラン、H、アルシンを用いる。第1エピタキシャル成長層11nの傾斜端面の角度は、HCLの流量を制御することにより調整できる。すなわち、HCLの流量を大きくすればそれだけ傾斜端面の角度を小さくすることができる。 In the above epitaxial growth, a method for adjusting the film thickness of the first epitaxial growth layer 11n or the angle of the inclined end face will be described. The film thickness of the first epitaxial growth layer 11n can be adjusted by controlling the epitaxial growth time. In the epitaxial growth, for example, HCL, dichlorosilane, H 2 , or arsine is used as the gas. The angle of the inclined end face of the first epitaxial growth layer 11n can be adjusted by controlling the flow rate of HCL. That is, if the flow rate of HCL is increased, the angle of the inclined end face can be reduced accordingly.

次に、pMOS側のエクステンション領域を形成するために、図8(B)に示すように、nMOS側を保護するための膜、例えばSiO膜22aを形成する。この膜は、pMOS側においてSiN膜21aの上に積層されている。この膜は、その下層にあるSiN膜21aに対してウエットエッチング速度が異なる膜、例えばSiO膜22aとする。 Next, in order to form an extension region on the pMOS side, as shown in FIG. 8B, a film for protecting the nMOS side, for example, a SiO 2 film 22a is formed. This film is stacked on the SiN film 21a on the pMOS side. This film is a film having a wet etching rate different from that of the underlying SiN film 21a, for example, a SiO 2 film 22a.

次の図9(A)〜図11(A)の工程は、上記図6(B)〜図8(B)の工程を、レジストにより保護する側と選択エピタキシィを行う側の関係を上記と反対として、ほぼ同様に繰り返すものである。
すなわち、まず、nMOS側を保護するレジストR2を形成し(図9(A))、pMOS側のSiO膜22aを除去し(図9(B))、異方性のドライエッチングによりSiN膜21aをエッチングしてpMOS側のゲート電極5の両側面に隔壁絶縁膜21を形成し(図10(A))、レジストR2を除去する(図10(B))。次に、pMOS側で露出したシリコン基板表面に、ホウ素Bなどのp型の不純物が含まれる第1エピタキシャル成長層11pを選択的エピタキシィにより形成する(図11(A))。このときnMOS側はSiO膜22aに覆われており第1エピタキシャル成長層11pが形成されることはない。このp型の第1エピタキシャル成長層に、nMOS側と同様に20〜70°の傾斜角度(FASET)を有する傾斜端面11Aが形成される。
In the next steps of FIGS. 9A to 11A, the relationship between the side protected by the resist and the side to perform selective epitaxy is opposite to the above in the steps of FIGS. 6B to 8B. Is repeated almost in the same manner.
That is, first, a resist R2 for protecting the nMOS side is formed (FIG. 9A), the pMOS side SiO 2 film 22a is removed (FIG. 9B), and the SiN film 21a is formed by anisotropic dry etching. Are etched to form partition insulating films 21 on both side surfaces of the gate electrode 5 on the pMOS side (FIG. 10A), and the resist R2 is removed (FIG. 10B). Next, a first epitaxial growth layer 11p containing a p-type impurity such as boron B is formed by selective epitaxy on the surface of the silicon substrate exposed on the pMOS side (FIG. 11A). At this time, the nMOS side is covered with the SiO 2 film 22a, and the first epitaxial growth layer 11p is not formed. An inclined end face 11A having an inclination angle (FASET) of 20 to 70 ° is formed on the p-type first epitaxial growth layer, similarly to the nMOS side.

上記のエピタキシャル成長において、第1エピタキシャル成長層11pの膜厚、あるいは傾斜端面の角度の調整方法については、第1エピタキシャル成長層11nの場合と同様である。すなわち、第1エピタキシャル成長層11nに比べて第1エピタキシャル成長層11pの成膜時間を短くすることにより、第1エピタキシャル成長層11nよりも薄い第1エピタキシャル成長層11pを形成することができる。また、第1エピタキシャル成長層11pのエピタキシャル成長では、ガスとして、例えば、HCL、ジクロルシラン、H、ジボランを用いる。第1エピタキシャル成長層11pの傾斜端面の角度は、HCLの流量を制御することにより調整できる。すなわち、第1エピタキシャル成長層11nの成膜時よりもHCLの流量を大きくすれば、傾斜端面の角度が小さい第1エピタキシャル成長層11pを形成することができる。 In the above epitaxial growth, the method for adjusting the film thickness of the first epitaxial growth layer 11p or the angle of the inclined end face is the same as in the case of the first epitaxial growth layer 11n. That is, the first epitaxial growth layer 11p thinner than the first epitaxial growth layer 11n can be formed by shortening the film formation time of the first epitaxial growth layer 11p as compared with the first epitaxial growth layer 11n. In the epitaxial growth of the first epitaxial growth layer 11p, for example, HCL, dichlorosilane, H 2 , or diborane is used as the gas. The angle of the inclined end face of the first epitaxial growth layer 11p can be adjusted by controlling the flow rate of HCL. That is, if the flow rate of HCL is made larger than that during the formation of the first epitaxial growth layer 11n, the first epitaxial growth layer 11p having a small angle of the inclined end face can be formed.

次に、図11(B)に示すように、SiO膜22aを除去する。 Next, as shown in FIG. 11B, the SiO 2 film 22a is removed.

図12(A)に示すように、nMOS側、pMOS側のそれぞれでゲート電極5の両側面を保護していた隔壁絶縁膜21を、加熱した燐酸などのSiO膜に対して選択比がとれる溶液にSi基板1を浸漬することなどの方法により除去する。 As shown in FIG. 12A, the partition insulating film 21 that protects both side surfaces of the gate electrode 5 on the nMOS side and the pMOS side has a selection ratio with respect to a heated SiO 2 film such as phosphoric acid. It is removed by a method such as immersing the Si substrate 1 in the solution.

図12(B)に示すように、CVD法によりSiN膜13を形成し、続いて、このSiN膜13とエッチング選択比が十分高い膜として、CVD法によりSiO膜13Bを形成する。 As shown in FIG. 12B, a SiN film 13 is formed by a CVD method, and subsequently, a SiO 2 film 13B is formed by a CVD method as a film having a sufficiently high etching selectivity with this SiN film 13.

SiO膜13B、SiN膜13を順次、異方性のドライエッチングによりエッチバックすると、図13(A)に示すように、2層の側壁スペーサ13が形成される。
この側壁スペーサ13の幅は、エッチバック時の異方性を高くすると、最初に成膜した膜の厚さでほぼ決まることから一定となり、その制御性も高まる。
When the SiO 2 film 13B and the SiN film 13 are sequentially etched back by anisotropic dry etching, a two-layer sidewall spacer 13 is formed as shown in FIG.
When the anisotropy at the time of etch back is increased, the width of the side wall spacer 13 is substantially determined by the thickness of the film formed first, and the controllability is also improved.

次に、図13(B)に示すように、pMOS側をレジストにより保護した状態で、ゲート電極5および側壁スペーサ13をマスクとしたイオン注入により、nMOS側にn型拡散層10nを形成する。続いて、nMOS側をレジストにより保護した状態で、ゲート電極5および側壁スペーサ13をマスクとしたイオン注入により、pMOS側にp型拡散層10pを形成する。その後、不純物の活性化のためのアニール処理を行う。   Next, as shown in FIG. 13B, an n-type diffusion layer 10n is formed on the nMOS side by ion implantation using the gate electrode 5 and the sidewall spacer 13 as a mask while the pMOS side is protected by a resist. Subsequently, a p-type diffusion layer 10p is formed on the pMOS side by ion implantation using the gate electrode 5 and the sidewall spacer 13 as a mask while the nMOS side is protected by a resist. Thereafter, an annealing process for activating the impurities is performed.

最後に、第1エピタキシャル成長層11n,11pおよびゲート電極5にシリサイド層14を形成する。シリサイド層14は、例えばCoSiあるいはNiSiである。このシリサイド層の形成は、コバルトCoまたはニッケルNiの金属膜を形成した後に熱処理し、その半導体材料と接触する部分を合金化し、薬液処理により非合金化部分(絶縁材料と接触する部分)を除去することにより行う。 Finally, a silicide layer 14 is formed on the first epitaxial growth layers 11 n and 11 p and the gate electrode 5. The silicide layer 14 is, for example, CoSi 2 or NiSi 2 . This silicide layer is formed by forming a cobalt Co or nickel Ni metal film and then heat-treating it, alloying the part that contacts the semiconductor material, and removing the non-alloyed part (part contacting the insulating material) by chemical treatment. To do.

以上により、図1に示すCMOSデバイスの基本構造が完成する。その後、とくに図示しないが、トランジスタを埋め込む層間絶縁膜を形成し、各シリサイド層に接続する接続層を形成し、必要ならば上層の配線などの形成を行って、当該CMOSデバイスを完成させる。   Thus, the basic structure of the CMOS device shown in FIG. 1 is completed. Thereafter, although not particularly shown, an interlayer insulating film for embedding the transistor is formed, a connection layer connected to each silicide layer is formed, and if necessary, an upper wiring is formed to complete the CMOS device.

次に、上記の本実施形態に係る半導体装置の製造方法では、エピタキシャル成長の条件を変えることにより、nMOS領域とpMOS領域とで、膜厚、傾斜端面のいずれかあるいは双方を異ならせている。これにより、pMOS側における不純物の拡散と、nMOS側における不純物の拡散を同程度に抑制することができる。このため、双方のトランジスタで短チャネル効果を抑制することができ、安定した特性をもつCMOSトランジスタを実現することができる。   Next, in the method of manufacturing a semiconductor device according to the above-described embodiment, the film thickness, the inclined end face, or both are made different between the nMOS region and the pMOS region by changing the epitaxial growth conditions. Thereby, the diffusion of impurities on the pMOS side and the diffusion of impurities on the nMOS side can be suppressed to the same extent. Therefore, the short channel effect can be suppressed by both transistors, and a CMOS transistor having stable characteristics can be realized.

(第2実施形態)
図14は、第2実施形態に係る半導体装置の断面図である。
(Second Embodiment)
FIG. 14 is a cross-sectional view of the semiconductor device according to the second embodiment.

nMOS領域において、側壁スペーサ13の外側であって第1エピタキシャル成長層11n上には、第2エピタキシャル成長層12nが形成されている。また、側壁スペーサ13の外側におけるSi基板1には、n型拡散層10nが形成されている。n型拡散層10nおよび第2エピタキシャル成長層12nは、nMOSトランジスタのソースまたはドレインとして機能するものであり、n型不純物が導入されている。n型不純物は例えばリンである。   In the nMOS region, the second epitaxial growth layer 12n is formed outside the sidewall spacer 13 and on the first epitaxial growth layer 11n. Further, an n-type diffusion layer 10n is formed on the Si substrate 1 outside the side wall spacer 13. The n-type diffusion layer 10n and the second epitaxial growth layer 12n function as the source or drain of the nMOS transistor, and an n-type impurity is introduced. The n-type impurity is, for example, phosphorus.

pMOS領域において、側壁スペーサ13の外側であって第1エピタキシャル成長層11p上には、第2エピタキシャル成長層12pが形成されている。また、側壁スペーサ13の外側におけるSi基板1には、p型拡散層10pが形成されている。p型拡散層10pおよび第2エピタキシャル成長層12pは、pMOSトランジスタのソースまたはドレインとして機能するものであり、p型不純物が導入されている。p型不純物は例えばボロンである。   In the pMOS region, a second epitaxial growth layer 12p is formed outside the sidewall spacer 13 and on the first epitaxial growth layer 11p. A p-type diffusion layer 10p is formed on the Si substrate 1 outside the sidewall spacer 13. The p-type diffusion layer 10p and the second epitaxial growth layer 12p function as the source or drain of the pMOS transistor, and p-type impurities are introduced. The p-type impurity is, for example, boron.

側壁スペーサ13および素子分離絶縁層2を分離層とするサリサイドプロセスにより、第2エピタキシャル成長層12n,12pおよびゲート電極5のそれぞれに合金層としてのシリサイド層14が形成されている。   A silicide layer 14 as an alloy layer is formed on each of the second epitaxial growth layers 12n and 12p and the gate electrode 5 by a salicide process using the sidewall spacer 13 and the element isolation insulating layer 2 as isolation layers.

上記の本実施形態に係る半導体装置の製造方法は、第1実施形態とは図13(B)に示す工程が異なる。   The manufacturing method of the semiconductor device according to this embodiment described above is different from the first embodiment in the process shown in FIG.

第2実施形態では、側壁スペーサ13を形成した後に、ソース・ドレイン領域となる第2エピタキシャル成長層を形成するための選択的なエピタキシィを行う。第2エピタキシャル成長層の材料は、例えばSi単結晶である。その後、例えば、nMOS側とpMOS側のそれぞれの第2エピタキシャル成長層に別々にイオン注入を施すことにより、n型の第2エピタキシャル成長層12nおよびp型の第2エピタキシャル成長層12pを形成する。このときのイオン注入により、nMOS領域のSi基板1にn型拡散層10nが形成され、pMOS領域のSi基板1にp型拡散層10pが形成される。   In the second embodiment, after the sidewall spacer 13 is formed, selective epitaxy for forming a second epitaxial growth layer to be a source / drain region is performed. The material of the second epitaxial growth layer is, for example, Si single crystal. Thereafter, for example, the n-type second epitaxial growth layer 12n and the p-type second epitaxial growth layer 12p are formed by separately implanting ions into the second epitaxial growth layers on the nMOS side and the pMOS side, respectively. By ion implantation at this time, an n-type diffusion layer 10n is formed on the Si substrate 1 in the nMOS region, and a p-type diffusion layer 10p is formed on the Si substrate 1 in the pMOS region.

第2エピタキシャル成長層12p,12nを形成した後に、サリサイドプロセスを施すことにより、ゲート電極5および第2エピタキシャル成長層12p,12nにシリサイド層14が形成される。以降の工程は、第1実施形態と同様である。   After forming the second epitaxial growth layers 12p and 12n, a silicide layer 14 is formed on the gate electrode 5 and the second epitaxial growth layers 12p and 12n by performing a salicide process. The subsequent steps are the same as in the first embodiment.

上記の第2実施形態に係る半導体装置およびその製造方法によっても、第1実施形態と同様の効果を奏することができる。   The semiconductor device and the manufacturing method thereof according to the second embodiment described above can achieve the same effects as those of the first embodiment.

(第3実施形態)
図15は、第3実施形態に係る半導体装置の断面図である。本実施形態では、第2実施形態と比べてSi基板1内に深いn型拡散層10n,10pが形成されていない点が異なる。
(Third embodiment)
FIG. 15 is a cross-sectional view of the semiconductor device according to the third embodiment. This embodiment is different from the second embodiment in that deep n-type diffusion layers 10n and 10p are not formed in the Si substrate 1.

nMOS領域において、側壁スペーサ13の外側であって第1エピタキシャル成長層11n上には、第2エピタキシャル成長層12nが形成されている。第2エピタキシャル成長層12nは、nMOSトランジスタのソースまたはドレインとして機能するものであり、n型不純物が導入されている。n型不純物は例えばリンである。   In the nMOS region, the second epitaxial growth layer 12n is formed outside the sidewall spacer 13 and on the first epitaxial growth layer 11n. The second epitaxial growth layer 12n functions as a source or drain of the nMOS transistor, and an n-type impurity is introduced. The n-type impurity is, for example, phosphorus.

pMOS領域において、側壁スペーサ13の外側であって第1エピタキシャル成長層11p上には、第2エピタキシャル成長層12pが形成されている。第2エピタキシャル成長層12pは、pMOSトランジスタのソースまたはドレインとして機能するものであり、p型不純物が導入されている。p型不純物は例えばボロンである。   In the pMOS region, a second epitaxial growth layer 12p is formed outside the sidewall spacer 13 and on the first epitaxial growth layer 11p. The second epitaxial growth layer 12p functions as a source or drain of the pMOS transistor, and a p-type impurity is introduced. The p-type impurity is, for example, boron.

側壁スペーサ13および素子分離絶縁層2を分離層とするサリサイドプロセスにより、第2エピタキシャル成長層12n,12pおよびゲート電極5のそれぞれに合金層としてのシリサイド層14が形成されている。   A silicide layer 14 as an alloy layer is formed on each of the second epitaxial growth layers 12n and 12p and the gate electrode 5 by a salicide process using the sidewall spacer 13 and the element isolation insulating layer 2 as isolation layers.

上記の本実施形態に係る半導体装置の製造方法は、第1実施形態とは図13(B)に示す工程が異なる。   The manufacturing method of the semiconductor device according to this embodiment described above is different from the first embodiment in the process shown in FIG.

第3実施形態では、側壁スペーサ13を形成した後に、ソース・ドレイン領域となる第2エピタキシャル成長層を形成するための選択的なエピタキシィを行う。第2エピタキシャル成長層の材料は、例えばSi単結晶である。そして、第3実施形態では、第1エピタキシャル成長層11n,11pの形成方法(図6(A)〜図11(B))と同様にして、In-Situ dopingによりリンおよびボロンを導入する。この方法は、イオン注入を用いる方法に比べて、既に形成している第1エピタキシャル成長層11n,11p中の不純物の熱拡散が生じにくいことから、良好なトランジスタ特性が得られるという利点を有する。   In the third embodiment, after the sidewall spacer 13 is formed, selective epitaxy for forming a second epitaxial growth layer to be a source / drain region is performed. The material of the second epitaxial growth layer is, for example, Si single crystal. In the third embodiment, phosphorus and boron are introduced by in-situ doping in the same manner as the method for forming the first epitaxial growth layers 11n and 11p (FIGS. 6A to 11B). This method has an advantage that favorable transistor characteristics can be obtained because thermal diffusion of impurities in the already formed first epitaxial growth layers 11n and 11p is less likely to occur than a method using ion implantation.

第2エピタキシャル成長層12p,12nを形成した後に、サリサイドプロセスを施すことにより、ゲート電極5および第2エピタキシャル成長層12p,12nにシリサイド層14が形成される。以降の工程は、第1実施形態と同様である。   After forming the second epitaxial growth layers 12p and 12n, a silicide layer 14 is formed on the gate electrode 5 and the second epitaxial growth layers 12p and 12n by performing a salicide process. The subsequent steps are the same as in the first embodiment.

上記の第3実施形態に係る半導体装置およびその製造方法によっても、第1実施形態と同様の効果を奏することができる。   The semiconductor device and the manufacturing method thereof according to the third embodiment can also achieve the same effects as those of the first embodiment.

(第4実施形態)
図16は、第4実施形態に係る半導体装置の断面図である。
(Fourth embodiment)
FIG. 16 is a cross-sectional view of the semiconductor device according to the fourth embodiment.

本実施形態では、第1エピタキシャル成長層11nの傾斜端面に重なるようにゲート絶縁膜4およびゲート電極5が形成されている。また、第1エピタキシャル成長層11pの傾斜端面に重なるようにゲート絶縁膜4およびゲート電極5が形成されている。   In the present embodiment, the gate insulating film 4 and the gate electrode 5 are formed so as to overlap the inclined end face of the first epitaxial growth layer 11n. A gate insulating film 4 and a gate electrode 5 are formed so as to overlap the inclined end face of the first epitaxial growth layer 11p.

ソース・ドレイン領域の構成については、第1〜第3実施形態で示した3種類のうちのいずれかを採用する。なお、図16では、第3実施形態と同様のソース・ドレイン領域の構成を図解している。   As the configuration of the source / drain region, any one of the three types shown in the first to third embodiments is adopted. FIG. 16 illustrates the same source / drain region configuration as in the third embodiment.

上記の第4実施形態に係る半導体装置の製造方法について、図17〜図18を参照して説明する。本実施形態では、ダマシンプロセスによりゲート電極を形成する。   A method of manufacturing the semiconductor device according to the fourth embodiment will be described with reference to FIGS. In this embodiment, the gate electrode is formed by a damascene process.

図17(A)に示すように、第1実施形態と同様にしてシリサイド層14を形成した後、層間絶縁膜15を堆積し、ゲート電極5が露出するまで層間絶縁膜15のCMPを行う。層間絶縁膜15は、プラズマCVDにより形成するSiO膜である。 As shown in FIG. 17A, after the silicide layer 14 is formed as in the first embodiment, an interlayer insulating film 15 is deposited, and CMP of the interlayer insulating film 15 is performed until the gate electrode 5 is exposed. The interlayer insulating film 15 is a SiO 2 film formed by plasma CVD.

また、本実施形態では、予め側壁スペーサ13を形成する工程の前に、ゲート電極5と側壁スペーサ13の間に隔壁絶縁膜23を形成しておく。隔壁絶縁膜23の形成では、第1実施形態における図12(A)に示す工程と、図12(B)に示す工程との間に以下に示す工程を追加する。   In the present embodiment, the partition insulating film 23 is formed between the gate electrode 5 and the sidewall spacer 13 before the step of forming the sidewall spacer 13 in advance. In forming the partition insulating film 23, the following steps are added between the step shown in FIG. 12A and the step shown in FIG. 12B in the first embodiment.

ゲート電極5を被覆するように、例えばSiO膜を形成し、SiO膜を異方性のドライエッチングにより全面エッチング(エッチバック)を行う。これにより、ゲート電極5の両側面に隔壁絶縁膜23が残される。その後、側壁スペーサ13の形成を行う。 For example, an SiO 2 film is formed so as to cover the gate electrode 5, and the entire surface of the SiO 2 film is etched (etched back) by anisotropic dry etching. Thereby, the partition insulating film 23 is left on both side surfaces of the gate electrode 5. Thereafter, sidewall spacers 13 are formed.

隔壁絶縁膜23の厚さは、ゲート電極5がエクステンション部の傾斜面に重なる幅を規定するものである。なお、先の図12(A)で隔壁絶縁膜21を除去することなく、これに追加するように隔壁絶縁膜23を形成してもよい。   The thickness of the partition insulating film 23 defines a width in which the gate electrode 5 overlaps the inclined surface of the extension portion. Note that the partition insulating film 23 may be formed to be added to the above without removing the partition insulating film 21 in FIG.

図17(B)に示すように、表面に露出するゲート電極5をエッチングにより除去する。より詳細には、TMAH(水酸化テトラメチルアンモニウム)水溶液などのアルカリ溶液によるウエットエッチング、あるいは、シランCFと酸素Oの混合ガスを用いたケミカルドライエッチングによってゲート電極5を除去する。このエッチングにより、ゲート開口部16が形成される。 As shown in FIG. 17B, the gate electrode 5 exposed on the surface is removed by etching. More specifically, the gate electrode 5 is removed by wet etching with an alkaline solution such as a TMAH (tetramethylammonium hydroxide) aqueous solution or chemical dry etching using a mixed gas of silane CF 4 and oxygen O 2 . By this etching, the gate opening 16 is formed.

続いて、ゲート開口部16内のゲート絶縁膜4および隔壁絶縁膜23を、弗酸を含む溶液などを用いてエッチングにより除去する。これにより、図18(A)に示すように、ゲート開口部16の底面にSi基板1の表面を露出させる。このエッチングによって、ゲート開口部16の底部に第1エピタキシャル成長層11n,11pの傾斜端面11Aが露出する。このときSiN膜13がエッチングストッパとして機能し、傾斜端面の露出幅が一定に制御される。   Subsequently, the gate insulating film 4 and the partition insulating film 23 in the gate opening 16 are removed by etching using a solution containing hydrofluoric acid. As a result, as shown in FIG. 18A, the surface of the Si substrate 1 is exposed at the bottom surface of the gate opening 16. By this etching, the inclined end faces 11A of the first epitaxial growth layers 11n and 11p are exposed at the bottom of the gate opening 16. At this time, the SiN film 13 functions as an etching stopper, and the exposed width of the inclined end surface is controlled to be constant.

図18(B)に示すように、ゲート開口部16内に露出したSi基板1および第1エピタキシャル成長層11n,11pの傾斜端面11Aにゲート絶縁膜を形成する。ゲート絶縁膜4は、熱酸化によるSiO膜、これをプラズマ窒化して形成するSiON膜、あるいは、ALD(Atomic Layer Deposition)法により形成するHfO膜などである。 As shown in FIG. 18B, a gate insulating film is formed on the inclined end face 11A of the Si substrate 1 and the first epitaxial growth layers 11n and 11p exposed in the gate opening 16. The gate insulating film 4 is a SiO 2 film formed by thermal oxidation, a SiON film formed by plasma nitriding the SiO 2 film, or an HfO 2 film formed by an ALD (Atomic Layer Deposition) method.

続いて、図18(B)に示すように、ゲート電極材料7Aを厚く形成し、ゲート開口部16をゲート電極材料7Aにより埋め込む。ゲート電極材料7Aの形成は、PVDのみで行ってもよいし、あるいは、Cuシード層のPVDと、それに続くCuの無電解めっきによって行ってもよい。   Subsequently, as shown in FIG. 18B, the gate electrode material 7A is formed thick, and the gate opening 16 is filled with the gate electrode material 7A. The formation of the gate electrode material 7A may be performed only by PVD, or may be performed by PVD of a Cu seed layer and subsequent electroless plating of Cu.

余分なゲート電極材料7AをCMP法により除去し、ゲート開口部16内にのみゲート電極材料7Aを残す。これにより、図16に示すように層間絶縁膜15および側壁スペーサ13に埋め込まれたゲート電極5が形成される。   Excess gate electrode material 7A is removed by CMP, leaving gate electrode material 7A only in gate opening 16. Thereby, the gate electrode 5 embedded in the interlayer insulating film 15 and the sidewall spacer 13 is formed as shown in FIG.

層間絶縁膜15を積み増すことにより、図16に示すCMOSデバイスの基本構造が完成する。その後、とくに図示しないが、ゲート電極7およびシリサイド層14に接触する接続層を層間絶縁膜15内に形成し、必要ならば上層の配線(不図示)などの形成を行って、当該CMOSデバイスを完成させる。   By building up the interlayer insulating film 15, the basic structure of the CMOS device shown in FIG. 16 is completed. Thereafter, although not particularly shown, a connection layer contacting the gate electrode 7 and the silicide layer 14 is formed in the interlayer insulating film 15, and if necessary, an upper layer wiring (not shown) or the like is formed, and the CMOS device is formed. Finalize.

本実施形態に係る半導体装置によっても第1実施形態と同様の効果を奏することができる。   The semiconductor device according to this embodiment can achieve the same effects as those of the first embodiment.

(第5実施形態)
図19は、第5実施形態に係る半導体装置の断面図である。
(Fifth embodiment)
FIG. 19 is a cross-sectional view of the semiconductor device according to the fifth embodiment.

第5実施形態では、第1エピタキシャル成長層11n,11pの構造を最適化するため、nMOS領域とpMOS領域とで基板の結晶面(結晶方位)を異ならせるものである。より詳細には、pMOS領域において(110)面、nMOS領域において(100)面をもつ半導体基板100を用いる。   In the fifth embodiment, in order to optimize the structure of the first epitaxial growth layers 11n and 11p, the crystal planes (crystal orientations) of the substrates are made different between the nMOS region and the pMOS region. More specifically, a semiconductor substrate 100 having a (110) plane in the pMOS region and a (100) plane in the nMOS region is used.

(110)面をもつSi基板101のpMOS領域上には、シリコン層104がエピタキシャル成長されている。シリコン層104の結晶面は(110)面である。nMOS領域においては、Si基板101上に酸化シリコンからなる埋め込み絶縁層102を介してシリコン層103が形成されている。シリコン層103の結晶面は(100)面である。   A silicon layer 104 is epitaxially grown on the pMOS region of the Si substrate 101 having the (110) plane. The crystal plane of the silicon layer 104 is the (110) plane. In the nMOS region, a silicon layer 103 is formed on a Si substrate 101 via a buried insulating layer 102 made of silicon oxide. The crystal plane of the silicon layer 103 is the (100) plane.

上記の半導体基板100上に、nMOSトランジスタとpMOSトランジスタが形成されている。nMOSトランジスタとpMOSトランジスタの構造については、第1〜第4の実施形態のいずれを採用してもよい。図19では、第4実施形態と同様の構造について図解している。   An nMOS transistor and a pMOS transistor are formed on the semiconductor substrate 100. Any of the first to fourth embodiments may be adopted for the structure of the nMOS transistor and the pMOS transistor. FIG. 19 illustrates the same structure as that in the fourth embodiment.

上記の本実施形態においては、pMOSトランジスタは(110)面上に形成され、nMOSトランジスタは(100)面上に形成されている。これによる効果について説明する。   In the above embodiment, the pMOS transistor is formed on the (110) plane, and the nMOS transistor is formed on the (100) plane. The effect by this is demonstrated.

(100)面に比べて(110)面の方が、ホールの移動度が速い。このため、(110)面上にpMOSトランジスタを形成することにより、pMOSトランジスタの駆動能力を向上させることができる。   The (110) plane has a higher hole mobility than the (100) plane. For this reason, by forming a pMOS transistor on the (110) plane, the driving capability of the pMOS transistor can be improved.

(100)面に比べて(110)面上におけるエピタキシャル成長の方が、Siの成長速度が遅い。このため、(110)面上にpMOSトランジスタを形成することにより、pMOS領域において薄い第1エピタキシャル成長層11pを形成することが容易になる。   The growth rate of Si is slower in the epitaxial growth on the (110) plane than on the (100) plane. Therefore, by forming the pMOS transistor on the (110) plane, it becomes easy to form the thin first epitaxial growth layer 11p in the pMOS region.

また、(100)面に比べて、(110)面上におけるエピタキシャル成長の方が、小さい傾斜角度に制御することが容易となる。このため、(110)面上にpMOSトランジスタを形成することにより、pMOS領域において傾斜角度の小さい第1エピタキシャル成長層11pを形成することが容易になる。   In addition, the epitaxial growth on the (110) plane can be easily controlled to a smaller inclination angle than the (100) plane. Therefore, by forming the pMOS transistor on the (110) plane, it becomes easy to form the first epitaxial growth layer 11p having a small inclination angle in the pMOS region.

なお、第1エピタキシャル成長層11n,11pの構造の最適化については、第1実施形態で説明したようにエピタキシャル条件も調整することが好ましい。   As for the optimization of the structure of the first epitaxial growth layers 11n and 11p, it is preferable to adjust the epitaxial conditions as described in the first embodiment.

次に、上記の本実施形態に係る半導体装置の製造方法について、図20〜図22を参照して説明する。   Next, a method for manufacturing the semiconductor device according to the present embodiment will be described with reference to FIGS.

図20(A)に示すように、本実施形態ではSOI基板を用いる。本実施形態では、SOI基板として、(110)面のSi基板101上に、埋め込み絶縁層102を介して(100)面のシリコン層103が形成されたSOI基板を用いる。このSOI基板上に、酸化シリコン膜105および窒化シリコン膜106を順次形成する。リソグラフィ技術によりnMOS領域を保護するレジスト膜を形成した後に、pMOS領域の酸化シリコン膜105および窒化シリコン膜106をエッチングする。その後、レジスト膜を除去する。   As shown in FIG. 20A, an SOI substrate is used in this embodiment. In this embodiment, an SOI substrate in which a (100) silicon layer 103 is formed on a (110) Si substrate 101 via a buried insulating layer 102 is used as an SOI substrate. A silicon oxide film 105 and a silicon nitride film 106 are sequentially formed on this SOI substrate. After forming a resist film that protects the nMOS region by lithography, the silicon oxide film 105 and the silicon nitride film 106 in the pMOS region are etched. Thereafter, the resist film is removed.

次に、図20(B)に示すように、窒化シリコン膜106をハードマスクとして、pMOS領域におけるシリコン層103および埋め込み絶縁層102をエッチングする。これにより、pMOS領域においてSi基板101が露出する。   Next, as shown in FIG. 20B, the silicon layer 103 and the buried insulating layer 102 in the pMOS region are etched using the silicon nitride film 106 as a hard mask. Thereby, the Si substrate 101 is exposed in the pMOS region.

次に、図21(A)に示すように、CVD法により絶縁膜を全面に形成した後に、エッチバックを行うことにより、nMOS領域の埋め込み絶縁層102、シリコン層103、酸化シリコン膜105、窒化シリコン膜106の側壁に保護膜107を形成する。保護膜107は、酸化シリコン膜あるいは窒化シリコン膜である。保護膜107は、シリコン層103を保護するために形成される。   Next, as shown in FIG. 21A, after an insulating film is formed on the entire surface by the CVD method, an embedded back insulating layer 102 in the nMOS region, a silicon layer 103, a silicon oxide film 105, a nitride film is formed by performing etch back. A protective film 107 is formed on the sidewall of the silicon film 106. The protective film 107 is a silicon oxide film or a silicon nitride film. The protective film 107 is formed to protect the silicon layer 103.

次に、図21(B)に示すように、Si基板101上にシリコン層104をエピタキシャル成長する。エピタキシャル成長では、Si基板101の露出面上からシリコン層104が成長する。このエピタキシャル成長において、Si基板101の結晶面(110)と同じ結晶面をもつシリコン層104が形成される。   Next, as shown in FIG. 21B, a silicon layer 104 is epitaxially grown on the Si substrate 101. In the epitaxial growth, the silicon layer 104 is grown from the exposed surface of the Si substrate 101. In this epitaxial growth, a silicon layer 104 having the same crystal plane as the crystal plane (110) of the Si substrate 101 is formed.

次に、図22(A)に示すように、シリコン層104の表面をエッチバックし、さらに、酸化シリコン膜105および窒化シリコン膜106を除去する。窒化シリコン膜106はホット燐酸でエッチングし、酸化シリコン膜105は希フッ酸でエッチングする。これにより、nMOS領域において(100)面をもち、pMOS領域において(110)面をもつ半導体基板100が形成される。   Next, as shown in FIG. 22A, the surface of the silicon layer 104 is etched back, and the silicon oxide film 105 and the silicon nitride film 106 are further removed. The silicon nitride film 106 is etched with hot phosphoric acid, and the silicon oxide film 105 is etched with dilute hydrofluoric acid. As a result, the semiconductor substrate 100 having the (100) plane in the nMOS region and the (110) plane in the pMOS region is formed.

次に、図22(B)に示すように、第1実施形態と同様にして、STI技術により素子分離絶縁膜9を形成する。   Next, as shown in FIG. 22B, the element isolation insulating film 9 is formed by the STI technique in the same manner as in the first embodiment.

以降の工程としては、第1〜第4実施形態のいずれかの方法を採用する。第4実施形態に示す工程を経ると、図20に示す半導体装置が製造される。   As a subsequent process, any one of the methods of the first to fourth embodiments is adopted. Through the steps shown in the fourth embodiment, the semiconductor device shown in FIG. 20 is manufactured.

上記した本実施形態に係る半導体装置によれば、pMOS領域が(110)面であり、nMOS領域が(100)面の半導体基板100を用いることにより、第1エピタキシャル成長層11n,11pの膜厚や、傾斜角度の最適化を容易に行うことができる。   According to the semiconductor device according to the present embodiment described above, by using the semiconductor substrate 100 in which the pMOS region is the (110) plane and the nMOS region is the (100) plane, the film thicknesses of the first epitaxial growth layers 11n and 11p The inclination angle can be easily optimized.

本発明は、上記の実施形態の説明に限定されない。
その他、本発明の要旨を逸脱しない範囲で、種々の変更が可能である。
The present invention is not limited to the description of the above embodiment.
In addition, various modifications can be made without departing from the scope of the present invention.

第1実施形態に係る半導体装置の一例を示す断面図である。It is sectional drawing which shows an example of the semiconductor device which concerns on 1st Embodiment. 第1実施形態に係る半導体装置におけるエクステンション層の最適化について説明するための要部断面図である。It is principal part sectional drawing for demonstrating optimization of the extension layer in the semiconductor device which concerns on 1st Embodiment. 第1実施形態に係る半導体装置におけるエクステンション層の最適化について説明するための要部断面図である。It is principal part sectional drawing for demonstrating optimization of the extension layer in the semiconductor device which concerns on 1st Embodiment. 第1実施形態に係る半導体装置の製造における工程断面図である。It is process sectional drawing in manufacture of the semiconductor device which concerns on 1st Embodiment. 第1実施形態に係る半導体装置の製造における工程断面図である。It is process sectional drawing in manufacture of the semiconductor device which concerns on 1st Embodiment. 第1実施形態に係る半導体装置の製造における工程断面図である。It is process sectional drawing in manufacture of the semiconductor device which concerns on 1st Embodiment. 第1実施形態に係る半導体装置の製造における工程断面図である。It is process sectional drawing in manufacture of the semiconductor device which concerns on 1st Embodiment. 第1実施形態に係る半導体装置の製造における工程断面図である。It is process sectional drawing in manufacture of the semiconductor device which concerns on 1st Embodiment. 第1実施形態に係る半導体装置の製造における工程断面図である。It is process sectional drawing in manufacture of the semiconductor device which concerns on 1st Embodiment. 第1実施形態に係る半導体装置の製造における工程断面図である。It is process sectional drawing in manufacture of the semiconductor device which concerns on 1st Embodiment. 第1実施形態に係る半導体装置の製造における工程断面図である。It is process sectional drawing in manufacture of the semiconductor device which concerns on 1st Embodiment. 第1実施形態に係る半導体装置の製造における工程断面図である。It is process sectional drawing in manufacture of the semiconductor device which concerns on 1st Embodiment. 第1実施形態に係る半導体装置の製造における工程断面図である。It is process sectional drawing in manufacture of the semiconductor device which concerns on 1st Embodiment. 第2実施形態に係る半導体装置の一例を示す断面図である。It is sectional drawing which shows an example of the semiconductor device which concerns on 2nd Embodiment. 第3実施形態に係る半導体装置の一例を示す断面図である。It is sectional drawing which shows an example of the semiconductor device which concerns on 3rd Embodiment. 第4実施形態に係る半導体装置の一例を示す断面図である。It is sectional drawing which shows an example of the semiconductor device which concerns on 4th Embodiment. 第4実施形態に係る半導体装置の製造における工程断面図である。It is process sectional drawing in manufacture of the semiconductor device which concerns on 4th Embodiment. 第4実施形態に係る半導体装置の製造における工程断面図である。It is process sectional drawing in manufacture of the semiconductor device which concerns on 4th Embodiment. 第5実施形態に係る半導体装置の一例を示す断面図である。It is sectional drawing which shows an example of the semiconductor device which concerns on 5th Embodiment. 第5実施形態に係る半導体装置の製造における工程断面図である。It is process sectional drawing in manufacture of the semiconductor device which concerns on 5th Embodiment. 第5実施形態に係る半導体装置の製造における工程断面図である。It is process sectional drawing in manufacture of the semiconductor device which concerns on 5th Embodiment. 第5実施形態に係る半導体装置の製造における工程断面図である。It is process sectional drawing in manufacture of the semiconductor device which concerns on 5th Embodiment.

符号の説明Explanation of symbols

1…Si基板(半導体基板)、2…素子分離絶縁層、4…ゲート絶縁膜、5…ゲート電極、6…ゲート絶縁膜、7…ゲート電極、10n…n型拡散層、10p…p型拡散層、11n,11p…第1エピタキシャル成長層(エクステンション層)、12n,12p…第2エピタキシャル成長層、13…側壁スペーサ、14…シリサイド層、15…層間絶縁膜、16…ゲート開口部、23…隔壁絶縁膜、100…半導体基板、101…Si基板、102…埋め込み絶縁層、103…シリコン層、104…シリコン層、105…酸化シリコン膜、106…窒化シリコン膜、107…保護膜   DESCRIPTION OF SYMBOLS 1 ... Si substrate (semiconductor substrate), 2 ... Element isolation insulating layer, 4 ... Gate insulating film, 5 ... Gate electrode, 6 ... Gate insulating film, 7 ... Gate electrode, 10n ... N type diffusion layer, 10p ... P type diffusion 11n, 11p ... 1st epitaxial growth layer (extension layer), 12n, 12p ... 2nd epitaxial growth layer, 13 ... Side wall spacer, 14 ... Silicide layer, 15 ... Interlayer insulation film, 16 ... Gate opening, 23 ... Partition insulation Film: 100 ... Semiconductor substrate, 101 ... Si substrate, 102 ... Embedded insulating layer, 103 ... Silicon layer, 104 ... Silicon layer, 105 ... Silicon oxide film, 106 ... Silicon nitride film, 107 ... Protective film

Claims (10)

半導体基板上に形成されたpチャネルの第1トランジスタと、nチャネルの第2トランジスタとを有する半導体装置であって、
前記第1トランジスタは、
前記半導体基板上にゲート絶縁膜を介して形成されたゲート電極と、
前記ゲート電極の両側における前記半導体基板上に形成され、p型不純物を含有するp型エクステンション層と
を有し、
前記第2トランジスタは、
前記半導体基板上にゲート絶縁膜を介して形成されたゲート電極と、
前記ゲート電極の両側における前記半導体基板上に形成され、n型不純物を含有するn型エクステンション層と
を有し、
前記p型エクステンション層は、前記n型エクステンション層に比べて薄く形成されている
半導体装置。
A semiconductor device having a p-channel first transistor and an n-channel second transistor formed on a semiconductor substrate,
The first transistor includes:
A gate electrode formed on the semiconductor substrate via a gate insulating film;
A p-type extension layer formed on the semiconductor substrate on both sides of the gate electrode and containing a p-type impurity;
The second transistor is
A gate electrode formed on the semiconductor substrate via a gate insulating film;
An n-type extension layer formed on the semiconductor substrate on both sides of the gate electrode and containing an n-type impurity;
The p-type extension layer is formed thinner than the n-type extension layer.
前記第1トランジスタの形成領域における前記半導体基板の結晶方位と、前記第2トランジスタの形成領域における前記半導体基板の結晶方位が異なる
請求項1記載の半導体装置。
The semiconductor device according to claim 1, wherein a crystal orientation of the semiconductor substrate in the formation region of the first transistor is different from a crystal orientation of the semiconductor substrate in the formation region of the second transistor.
前記p型エクステンション層および前記n型エクステンション層は、前記ゲート電極側に傾斜端面を有し、
前記p型エクステンション層の傾斜端面の角度は、前記n型エクステンション層の傾斜端面の角度よりも小さい
請求項1記載の半導体装置。
The p-type extension layer and the n-type extension layer have inclined end faces on the gate electrode side,
The semiconductor device according to claim 1, wherein an angle of the inclined end face of the p-type extension layer is smaller than an angle of the inclined end face of the n-type extension layer.
半導体基板上に形成されたpチャネルの第1トランジスタと、nチャネルの第2トランジスタとを有する半導体装置であって、
前記第1トランジスタは、
前記半導体基板上にゲート絶縁膜を介して形成されたゲート電極と、
前記ゲート電極の両側における前記半導体基板上に形成され、p型不純物を含有するp型エクステンション層と
を有し、
前記第2トランジスタは、
前記半導体基板上にゲート絶縁膜を介して形成されたゲート電極と、
前記ゲート電極の両側における前記半導体基板上に形成され、n型不純物を含有するn型エクステンション層と
を有し、
前記p型エクステンション層および前記n型エクステンション層は、前記ゲート電極側に傾斜端面を有し、
前記p型エクステンション層の傾斜端面の角度は、前記n型エクステンション層の傾斜端面の角度よりも小さい
半導体装置。
A semiconductor device having a p-channel first transistor and an n-channel second transistor formed on a semiconductor substrate,
The first transistor includes:
A gate electrode formed on the semiconductor substrate via a gate insulating film;
A p-type extension layer formed on the semiconductor substrate on both sides of the gate electrode and containing a p-type impurity;
The second transistor is
A gate electrode formed on the semiconductor substrate via a gate insulating film;
An n-type extension layer formed on the semiconductor substrate on both sides of the gate electrode and containing an n-type impurity;
The p-type extension layer and the n-type extension layer have inclined end faces on the gate electrode side,
The angle of the inclined end face of the p-type extension layer is smaller than the angle of the inclined end face of the n-type extension layer.
前記第1トランジスタの形成領域における前記半導体基板の結晶方位と、前記第2トランジスタの形成領域における前記半導体基板の結晶方位が異なる
請求項4記載の半導体装置。
The semiconductor device according to claim 4, wherein a crystal orientation of the semiconductor substrate in the formation region of the first transistor is different from a crystal orientation of the semiconductor substrate in the formation region of the second transistor.
半導体基板の第1領域にpチャネルの第1トランジスタを形成し、前記半導体基板の第2領域にnチャネルの第2トランジスタを形成する半導体装置の製造方法であって、
第1領域および第2領域における前記半導体基板上に、ゲート絶縁膜を介してゲート電極を形成する工程と、
前記第2領域において前記ゲート電極の両側における前記半導体基板上にn型不純物を含有するn型エクステンション層をエピタキシャル成長させる工程と、
前記第1領域において前記ゲート電極の両側における前記半導体基板上にp型不純物を含有するp型エクステンション層をエピタキシャル成長させる工程と
を有し、
前記p型エクステンション層をエピタキシャル成長させる工程において、前記n型エクステンション層に比べて薄い前記p型エクステンション層を形成する
半導体装置の製造方法。
A method of manufacturing a semiconductor device, wherein a p-channel first transistor is formed in a first region of a semiconductor substrate, and an n-channel second transistor is formed in a second region of the semiconductor substrate,
Forming a gate electrode on the semiconductor substrate in the first region and the second region via a gate insulating film;
Epitaxially growing an n-type extension layer containing an n-type impurity on the semiconductor substrate on both sides of the gate electrode in the second region;
Epitaxially growing a p-type extension layer containing a p-type impurity on the semiconductor substrate on both sides of the gate electrode in the first region,
A method of manufacturing a semiconductor device, wherein the p-type extension layer is formed thinner than the n-type extension layer in the step of epitaxially growing the p-type extension layer.
前記ゲート電極を形成する工程の前に、前記第1領域と前記第2領域とで結晶方位が異なる前記半導体基板を作製する工程をさらに有する
請求項6記載の半導体装置の製造方法。
The method for manufacturing a semiconductor device according to claim 6, further comprising a step of manufacturing the semiconductor substrate having different crystal orientations in the first region and the second region before the step of forming the gate electrode.
前記n型エクステンション層および前記p型エクステンション層を形成する工程において、前記ゲート電極側に傾斜端面を有する前記n型エクステンション層および前記p型エクステンション層を形成し、かつ、前記p型エクステンション層の傾斜端面の角度が、前記n型エクステンション層の傾斜端面の角度よりも小さくなるように調整する
請求項6記載の半導体装置の製造方法。
In the step of forming the n-type extension layer and the p-type extension layer, the n-type extension layer and the p-type extension layer having inclined end faces on the gate electrode side are formed, and the p-type extension layer is inclined. The method for manufacturing a semiconductor device according to claim 6, wherein an angle of the end face is adjusted to be smaller than an angle of the inclined end face of the n-type extension layer.
半導体基板の第1領域にpチャネルの第1トランジスタを形成し、前記半導体基板の第2領域にnチャネルの第2トランジスタを形成する半導体装置の製造方法であって、
第1領域および第2領域における前記半導体基板上に、ゲート絶縁膜を介してゲート電極を形成する工程と、
前記第2領域において前記ゲート電極の両側における前記半導体基板上に、n型不純物を含有し、かつ、前記ゲート電極側に傾斜端面を有するn型エクステンション層をエピタキシャル成長させる工程と、
前記第1領域において前記ゲート電極の両側における前記半導体基板上に、p型不純物を含有し、かつ、前記ゲート電極側に傾斜端面を有するp型エクステンション層をエピタキシャル成長させる工程と
を有し、
前記n型エクステンション層および前記p型エクステンション層をエピタキシャル成長させる工程において、前記p型エクステンション層の傾斜端面の角度が前記n型エクステンション層の傾斜端面の角度よりも小さくなるように調整する
半導体装置の製造方法。
A method of manufacturing a semiconductor device, wherein a p-channel first transistor is formed in a first region of a semiconductor substrate, and an n-channel second transistor is formed in a second region of the semiconductor substrate,
Forming a gate electrode on the semiconductor substrate in the first region and the second region via a gate insulating film;
Epitaxially growing an n-type extension layer containing an n-type impurity and having an inclined end surface on the gate electrode side on the semiconductor substrate on both sides of the gate electrode in the second region;
Epitaxially growing a p-type extension layer containing a p-type impurity and having an inclined end surface on the gate electrode side on the semiconductor substrate on both sides of the gate electrode in the first region,
In the step of epitaxially growing the n-type extension layer and the p-type extension layer, the angle of the inclined end face of the p-type extension layer is adjusted to be smaller than the angle of the inclined end face of the n-type extension layer. Method.
前記ゲート電極を形成する工程の前に、前記第1領域と前記第2領域とで結晶方位が異なる前記半導体基板を作製する工程をさらに有する
請求項9記載の半導体装置の製造方法。
The method for manufacturing a semiconductor device according to claim 9, further comprising a step of manufacturing the semiconductor substrate having different crystal orientations in the first region and the second region before the step of forming the gate electrode.
JP2005331673A 2005-11-16 2005-11-16 Semiconductor device and manufacturing method thereof Expired - Fee Related JP4706450B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2005331673A JP4706450B2 (en) 2005-11-16 2005-11-16 Semiconductor device and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005331673A JP4706450B2 (en) 2005-11-16 2005-11-16 Semiconductor device and manufacturing method thereof

Publications (2)

Publication Number Publication Date
JP2007142036A JP2007142036A (en) 2007-06-07
JP4706450B2 true JP4706450B2 (en) 2011-06-22

Family

ID=38204573

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005331673A Expired - Fee Related JP4706450B2 (en) 2005-11-16 2005-11-16 Semiconductor device and manufacturing method thereof

Country Status (1)

Country Link
JP (1) JP4706450B2 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102439702B (en) * 2009-09-16 2014-11-12 株式会社东芝 Semiconductor device and method for manufacturing same
JP6178118B2 (en) 2013-05-31 2017-08-09 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
JP7163175B2 (en) * 2018-12-26 2022-10-31 ルネサスエレクトロニクス株式会社 Semiconductor device manufacturing method
JP7292171B2 (en) * 2019-10-10 2023-06-16 ルネサスエレクトロニクス株式会社 Semiconductor device and its manufacturing method

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08241984A (en) * 1995-03-03 1996-09-17 Hitachi Ltd Fabrication of semiconductor device
JPH10200106A (en) * 1997-01-13 1998-07-31 Sony Corp Semiconductor device and manufacture of the same
JP2000223703A (en) * 1999-01-29 2000-08-11 Toshiba Corp Semiconductor device and its manufacture
JP2003158200A (en) * 2001-09-24 2003-05-30 Sharp Corp Deep sub-micron raised source/drain cmos structure and method of making the same
JP2006190821A (en) * 2005-01-06 2006-07-20 Sony Corp Insulated-gate field effect transistor and its manufacturing method
JP2006190822A (en) * 2005-01-06 2006-07-20 Sony Corp Method for manufacturing insulated gate field effect transistor and insulated gate field effect transistor

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08241984A (en) * 1995-03-03 1996-09-17 Hitachi Ltd Fabrication of semiconductor device
JPH10200106A (en) * 1997-01-13 1998-07-31 Sony Corp Semiconductor device and manufacture of the same
JP2000223703A (en) * 1999-01-29 2000-08-11 Toshiba Corp Semiconductor device and its manufacture
JP2003158200A (en) * 2001-09-24 2003-05-30 Sharp Corp Deep sub-micron raised source/drain cmos structure and method of making the same
JP2006190821A (en) * 2005-01-06 2006-07-20 Sony Corp Insulated-gate field effect transistor and its manufacturing method
JP2006190822A (en) * 2005-01-06 2006-07-20 Sony Corp Method for manufacturing insulated gate field effect transistor and insulated gate field effect transistor

Also Published As

Publication number Publication date
JP2007142036A (en) 2007-06-07

Similar Documents

Publication Publication Date Title
JP4945900B2 (en) Insulated gate field effect transistor and manufacturing method thereof
JP5107680B2 (en) Semiconductor device
US9881920B2 (en) Semiconductor device and method of manufacturing the same
US7714394B2 (en) CMOS semiconductor devices having elevated source and drain regions and methods of fabricating the same
US7592214B2 (en) Method of manufacturing a semiconductor device including epitaxially growing semiconductor epitaxial layers on a surface of semiconductor substrate
JP5286701B2 (en) Semiconductor device and manufacturing method of semiconductor device
JP4847152B2 (en) Semiconductor device and manufacturing method thereof
US7981750B2 (en) Methods of fabrication of channel-stressed semiconductor devices
US8207039B2 (en) Method of manufacturing semiconductor device
US7888194B2 (en) Method of fabricating semiconductor device
JP2006332337A (en) Semiconductor device and its manufacturing method
JP2006261283A (en) Semiconductor device and its manufacturing method
JP2009088069A (en) Semiconductor device and manufacturing method thereof
JP2007134432A (en) Semiconductor device and its manufacturing method
JP2007214208A (en) Semiconductor device and its manufacturing method
JP4706450B2 (en) Semiconductor device and manufacturing method thereof
US7557414B2 (en) Semiconductor device and method for manufacturing the same
JP2008263114A (en) Manufacturing method of semiconductor device, and semiconductor device
JP4951950B2 (en) Semiconductor device and manufacturing method thereof
JP2009117621A (en) Semiconductor device and manufacturing method thereof
JP4887643B2 (en) Semiconductor device and manufacturing method thereof
US20080070360A1 (en) Method and structure for forming silicide contacts on embedded silicon germanium regions of cmos devices
JP4945910B2 (en) Semiconductor device and manufacturing method thereof
JP2007234993A (en) Method of manufacturing semiconductor device
JP4950599B2 (en) Manufacturing method of semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20081023

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110204

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110215

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110228

R151 Written notification of patent or utility model registration

Ref document number: 4706450

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R151

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees