JP4564467B2 - MIS type transistor and manufacturing method thereof - Google Patents

MIS type transistor and manufacturing method thereof Download PDF

Info

Publication number
JP4564467B2
JP4564467B2 JP2006113606A JP2006113606A JP4564467B2 JP 4564467 B2 JP4564467 B2 JP 4564467B2 JP 2006113606 A JP2006113606 A JP 2006113606A JP 2006113606 A JP2006113606 A JP 2006113606A JP 4564467 B2 JP4564467 B2 JP 4564467B2
Authority
JP
Japan
Prior art keywords
insulating film
gate electrode
source
film
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006113606A
Other languages
Japanese (ja)
Other versions
JP2006253706A (en
Inventor
脇 幸 人 大
野 瑞 城 小
口 充 宏 野
島 大三郎 高
山 彰 西
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2006113606A priority Critical patent/JP4564467B2/en
Publication of JP2006253706A publication Critical patent/JP2006253706A/en
Application granted granted Critical
Publication of JP4564467B2 publication Critical patent/JP4564467B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

本発明はMIS型トランジスタおよびその製造方法に係り、特に駆動電流量が大きく寄生容量が小さいMIS型トランジスタおよびその製造方法に関する。   The present invention relates to an MIS transistor and a manufacturing method thereof, and more particularly to an MIS transistor having a large driving current and a small parasitic capacitance and a manufacturing method thereof.

金属・絶縁膜・半導体(Metal Insulator Semiconductor)−MIS−構造のトランジスタについての微細化の要請が強くなるのに伴って、現在MIS型構造のトランジスタの微細化が着々と進展している。このMISトランジスタの微細化は、大きく捉えるとゲート長に比例させてソース・ドレイン領域を形成するスケーリング則と呼ばれる手法を用いて行なわれており、具体的には、ゲート長を小さくした場合にこのゲート長が小さくなるのに応じてソースおよびドレインとなる不純物拡散領域、いわゆる拡散層の接合の深さを浅くすることにより行なわれている。   As the demand for miniaturization of transistors having a metal, insulating film, and semiconductor (MIS) structure increases, miniaturization of transistors having a MIS structure is steadily progressing. The miniaturization of the MIS transistor is performed using a technique called a scaling law that forms source / drain regions in proportion to the gate length. Specifically, when the gate length is reduced, this MIS transistor is reduced. This is done by reducing the junction depth of the impurity diffusion regions that become the source and drain, so-called diffusion layers, as the gate length becomes smaller.

しかしながら、ゲート長が0.2μmを下回るような微細なトランジスタにおいては、拡散の深さ(Xj)が浅くなり過ぎてしまい、ゲートにおける抵抗が増大してトランジスタ全体の寄生抵抗が増加し実質的な駆動電流が減少してしまうという問題があった。そこで、この寄生抵抗を低減させるためには、導入されるソースおよびドレインを金属シリサイド化(シリサイデーション)する際に接合の深さを浅くすることも場合により考えられるが、接合の深さを浅くすることに腐心する余り、接合が拡散層内に留まらずに基板側へ突き抜けてしまって、接合リークを引き起こすという問題があった。   However, in a fine transistor whose gate length is less than 0.2 μm, the diffusion depth (Xj) becomes too shallow, the resistance at the gate increases, and the parasitic resistance of the entire transistor increases, which is substantially increased. There was a problem that the drive current decreased. Therefore, in order to reduce this parasitic resistance, it may be possible to reduce the junction depth when the introduced source and drain are silicidated, but the junction depth may be reduced. There is a problem in that the junction is not stayed in the diffusion layer but penetrates to the substrate side, causing junction leakage, because it is hard to make it shallow.

上記接合が浅い場合に、抵抗が増大したり、シリサイデーションが困難となったりするという問題は、エレベーティッドソース・ドレイン,コンケーブトランジスタ,リセストチャネルトランジスタなどと呼ばれる技術により解決が図られており、これらはトランジスタにおけるチャネル面よりもソースおよびドレインの表面を高く形成する構造を備えている(例えば、非特許文献1)。図14はこのようなコンケーブMOS構造を有するMIS型トランジスタを示しており、半導体基板1と、ソース・ドレイン領域2と、その間に位置するチャネル形成面7と、チャネル形成面7の上部に設けられたSiO膜51と、このSiO膜51を介してチャネル形成面7に対向して設けられたゲート電極6と、を備えている。 The problem that resistance increases and silicidation becomes difficult when the junction is shallow is solved by a technology called elevated source / drain, concave transistor, reset channel transistor, etc. These have a structure in which the surfaces of the source and drain are formed higher than the channel surface of the transistor (for example, Non-Patent Document 1). FIG. 14 shows an MIS type transistor having such a concave MOS structure, which is provided on the semiconductor substrate 1, the source / drain region 2, the channel formation surface 7 located therebetween, and the channel formation surface 7. and the SiO 2 film 51, a gate electrode 6 provided to face the channel-forming surface 7 via the SiO 2 film 51.

図14において、ソース・ドレイン領域2はチャネル形成面7よりも半導体基板1内に属する第1の不純物拡散領域2aと、チャネル形成面7よりも外側(図においては上側)に積層された第2の不純物拡散領域2bとを含んでおり、このような第2の不純物拡散領域2bがSiO膜5を介してゲート電極6を取り囲む構造は、ソース・ドレイン領域2に溝が形成されている構成とも考えられるし、第2の不純物拡散領域2bが嵩上げ(エレベート)された構成とも考えることができる。
特開平09−116142号公報 特開平07−099310号公報、 S.M.Sze Physics of Semiconductor Devices second edition, 1981, pp490
In FIG. 14, the source / drain region 2 is stacked with a first impurity diffusion region 2 a belonging to the semiconductor substrate 1 with respect to the channel formation surface 7 and a second layer stacked on the outer side (upper side in the drawing) with respect to the channel formation surface 7. The structure in which the second impurity diffusion region 2b surrounds the gate electrode 6 through the SiO 2 film 5 has a structure in which a groove is formed in the source / drain region 2. It can also be considered that the second impurity diffusion region 2b is raised (elevated).
JP 09-116142 A JP 07-099310 A, SMSze Physics of Semiconductor Devices second edition, 1981, pp490

しかしながら、図14に示した構造を有する従来のMIS型トランジスタにおいては、ゲート電極6がSiO(絶縁)膜51を介してソース・ドレイン拡散層2に囲まれる構造となっており、このためゲートドレイン間容量およびソース・ドレイン間容量が増大することにより、トランジスタの動作速度が大幅に悪化してしまうという問題があった。 However, the conventional MIS transistor having the structure shown in FIG. 14 has a structure in which the gate electrode 6 is surrounded by the source / drain diffusion layer 2 via the SiO 2 (insulating) film 51, and thus the gate As the drain-to-drain capacitance and the source-drain capacitance are increased, there is a problem that the operation speed of the transistor is greatly deteriorated.

上述したように、従来のMIS型トランジスタにおいては、ソース・ドレインの拡散層抵抗の低減とゲート寄生容量の低減とを同時に解決することができないという問題があった。   As described above, the conventional MIS transistor has a problem that it is impossible to simultaneously solve the reduction of the diffusion layer resistance of the source / drain and the reduction of the gate parasitic capacitance.

本発明は上記問題を解決するためになされたものであり、ソース・ドレインの拡散層抵抗の低減とゲート寄生容量の低減とを同時に実現することのできるMIS型トランジスタおよびその製造方法を提供することを目的とする。   The present invention has been made to solve the above problems, and provides a MIS transistor capable of simultaneously reducing the resistance of the diffusion layer of the source / drain and the gate parasitic capacitance, and a method of manufacturing the same. With the goal.

上記の目的を達成するため、本発明の第1の基本構成に係るMIS型トランジスタは、半導体基板と、この基板上に形成されたソース・ドレイン領域と、このソース・ドレイン領域間のチャネル領域の上方に設けられたゲート電極と、を備えるMIS型トランジスタにおいて、前記チャネル形成面を挟んで設けられた前記ソース・ドレイン領域の上面が、前記チャネル形成面よりも嵩上げされて、前記ソース・ドレイン領域の上面は前記ゲート電極の底部の高さより低く形成され、かつ、前記ソース・ドレイン領域の上面から前記チャネル形成面まで徐々に下がるように傾斜する傾斜面と、を備えると共に、前記チャネル形成面の上側に設けられたゲート絶縁膜により囲まれるゲート電極の形状が、段部を介して下側が先細りとなった断面T字の形状となっていることを特徴としている。 In order to achieve the above object, an MIS transistor according to the first basic configuration of the present invention includes a semiconductor substrate, a source / drain region formed on the substrate, and a channel region between the source / drain regions. a gate electrode provided above, the MIS transistor having a top surface of the source and drain regions formed to sandwich the channel forming surface, is raised than the channel formation surface, the source and drain regions The upper surface of the gate electrode is formed lower than the height of the bottom of the gate electrode, and is inclined so as to gradually lower from the upper surface of the source / drain region to the channel formation surface, A cross section T in which the shape of the gate electrode surrounded by the gate insulating film provided on the upper side is tapered on the lower side through the stepped portion. It is characterized in that has a shape.

また、本発明の第2の基本構成に係るMIS型トランジスタの製造方法は、半導体基板と、この基板上に形成されたソース・ドレイン領域と、このソース・ドレイン領域間のチャネル領域の上方に設けられたゲート電極と、を備えるMIS型トランジスタを製造する方法であって、選択的に形成された第1の半導体層に囲まれた前記チャネル形成面上にダミーゲート絶縁膜、および、第2の半導体層を含むダミーゲート電極を少なくともリソグラフィーを含む手法により形成する工程と、前記半導体基板上の前記チャネル形成面となる領域を挟んでソース・ドレイン領域となる第3の半導体層を選択的に堆積させ、前記チャネル形成面よりも嵩上げされた位置から前記チャネル形成面まで徐々に下がるように傾斜面を形成する工程と、前記ソース・ドレイン領域となる前記第3の半導体層を堆積する工程の前または後に、前記第2の半導体層をマスクにして前記半導体基板の表面に不純物を拡散させて、前記チャネル形成面の周囲に不純物拡散領域を形成する工程と、前記不純物領域を形成した後に前記ダミーゲート電極および前記ダミーゲート絶縁膜の側壁に第1の絶縁膜を形成する工程と、前記第1の絶縁膜の内側に第1の溝が形成されるように前記ダミーゲート電極および前記ダミーゲート絶縁膜をエッチングにより除去する工程と、前記第1の溝の中心側に前記第1の溝よりも幅が狭い第2の溝が形成され、かつ、前記第3の半導体層の上面よりも前記ゲート電極の下面の位置が高くなるように、前記第3の半導体層より膜厚が厚い第2の絶縁膜を前記第1の溝の内部およびその周囲に堆積してゲート絶縁膜を形成する工程と、前記第2の溝を埋めるように前記ゲート絶縁膜の上面にゲート電極を堆積させて、断面T字形状となったゲート電極を形成する工程と、を備えることを特徴とするMIS型トランジスタの製造方法ことを特徴とする。 The MIS transistor manufacturing method according to the second basic configuration of the present invention includes a semiconductor substrate, a source / drain region formed on the substrate, and a channel region between the source / drain regions. And a second gate electrode, a dummy gate insulating film on the channel formation surface surrounded by the selectively formed first semiconductor layer, and a second gate electrode. selectively forming by a method including the least lithography dummy gate electrode, a third semiconductor layer serving as source and drain regions sandwiching the channel forming surface and a region on the semiconductor substrate including the semiconductor layer deposited, the the steps that form a slanted surface so as to gradually decrease from the raised position than the channel formation surface to the channel formation surface, the source Scan and drain regions to become said depositing a third semiconductor layer before the step or after, said second semiconductor layer as a mask by diffusing an impurity into a surface of the semiconductor substrate, the periphery of the channel forming surface Forming an impurity diffusion region; forming a first insulating film on a side wall of the dummy gate electrode and the dummy gate insulating film after forming the impurity region; and forming a first insulating film on the inner side of the first insulating film. Removing the dummy gate electrode and the dummy gate insulating film by etching so that one groove is formed, and a second groove having a width smaller than that of the first groove on the center side of the first groove. And a second insulating film thicker than the third semiconductor layer is formed so that the position of the lower surface of the gate electrode is higher than the upper surface of the third semiconductor layer. Inside the groove and Forming a gate insulating film is deposited on the periphery, by depositing a gate electrode on the upper surface of the gate insulating film to fill said second trench, forming a gate electrode became T-shaped cross section And a step of manufacturing the MIS transistor.

以上詳細に説明したように、本発明に係るMIS型トランジスタおよびその製造方法によれば、ソース・ドレインを構成する不純物拡散層の抵抗を低減させることができると同時に、ゲートの寄生容量を低減させることができ、トランジスタの動作速度を大幅に向上させることができる。   As described above in detail, according to the MIS transistor and the manufacturing method thereof according to the present invention, the resistance of the impurity diffusion layer constituting the source / drain can be reduced, and at the same time, the parasitic capacitance of the gate can be reduced. Therefore, the operation speed of the transistor can be significantly improved.

また、本発明に係るMIS型トランジスタは、MOS型トランジスタにおいてゲート絶縁膜たる第1の絶縁膜材利用の平均誘電率が前記溝の上面とゲート材料間を絶縁する第2の絶縁膜の平均誘電率より高くなるように構成しても良い。   In the MIS transistor according to the present invention, in the MOS transistor, the average dielectric constant of the first insulating film material used as the gate insulating film in the MOS transistor is the average dielectric constant of the second insulating film that insulates between the upper surface of the groove and the gate material. You may comprise so that it may become higher than a rate.

さらに、このようなMOS型トランジスタにおいて前記ゲート絶縁膜たる第1の絶縁膜は、SiO2 膜よりも高い誘電率を持つ絶縁膜とそれを保護するバッファ絶縁膜の積層構造により構成するようにしても良い。   Further, in such a MOS transistor, the first insulating film as the gate insulating film may be configured by a laminated structure of an insulating film having a dielectric constant higher than that of the SiO 2 film and a buffer insulating film for protecting the insulating film. good.

また、上記MIS型トランジスタにおいてゲート絶縁膜の実膜厚を平均誘電率で割ることによって求められるキャパシタ換算膜厚と等しいキャパシタ換算膜厚を有する第2の絶縁膜の実膜厚より大きい量だけ前記半導体基板表面より高い位置にゲート電極の下面が位置するように構成しても良い。以上のように、本発明によれば、ソース・ドレインの拡散層抵抗の低減とゲート寄生容量の低減とを同時に実現することができる。   Also, in the MIS transistor, the gate insulating film is divided by the average dielectric constant and the amount equivalent to the capacitor equivalent film thickness obtained by dividing the capacitor equivalent film thickness is larger than the actual film thickness of the second insulating film. You may comprise so that the lower surface of a gate electrode may be located in a position higher than the semiconductor substrate surface. As described above, according to the present invention, it is possible to simultaneously reduce the resistance of the source / drain diffusion layer and the gate parasitic capacitance.

以下、添付図面を参照しながら本発明に係るMIS型トランジスタおよびその製造方法の好適な実施形態について詳細に説明する。   Hereinafter, preferred embodiments of an MIS transistor and a method for manufacturing the same according to the present invention will be described in detail with reference to the accompanying drawings.

図1は、本発明の第1実施形態に係るMIS型トランジスタの概略構成を示す断面図である。なお、図においては、表示を明瞭にするため断面を表すハッチングを省略する。また、図14と同一符号を付した構成要素は、従来のMIS型トランジスタと同一または相当する構成要素を示している。   FIG. 1 is a cross-sectional view showing a schematic configuration of a MIS transistor according to the first embodiment of the present invention. In the figure, hatching representing a cross section is omitted for the sake of clarity. Further, the constituent elements denoted by the same reference numerals as those in FIG. 14 indicate the same or corresponding constituent elements as those of the conventional MIS transistor.

図1において、符号1は半導体基板であり、この半導体基板1の表面側にはチャネル領域7を介してソース・ドレインとして用いられる不純物領域2が設けられている。この不純物領域2は、トランジスタとして動作する際に一方がドレイン電極、他方がソース電極として用いられるものであり、両者の間のチャネル形成面7に掛けての部分には凹部または溝部4が形成されている。溝部4内には保護膜3を介して高誘電体ゲート絶縁膜5が設けられており、高誘電体ゲート絶縁膜5の上部側にはゲート電極6が設けられている。ソース・ドレインとして用いられる不純物領域2とゲート電極6との間は絶縁膜13により絶縁されている。高誘電体ゲート絶縁膜5は図14に示された従来のMIS型トランジスタにおけるSiO膜5の比誘電率3.9より高い誘電率を有している。 In FIG. 1, reference numeral 1 denotes a semiconductor substrate, and an impurity region 2 used as a source / drain through a channel region 7 is provided on the surface side of the semiconductor substrate 1. The impurity region 2 is used as a drain electrode and the other as a source electrode when operating as a transistor, and a recess or a groove 4 is formed in a portion of the impurity region 2 that extends over the channel formation surface 7 between them. ing. A high dielectric gate insulating film 5 is provided in the groove 4 via a protective film 3, and a gate electrode 6 is provided on the upper side of the high dielectric gate insulating film 5. The impurity region 2 used as the source / drain and the gate electrode 6 are insulated by an insulating film 13. The high dielectric gate insulating film 5 has a dielectric constant higher than the relative dielectric constant 3.9 of the SiO 2 film 5 in the conventional MIS transistor shown in FIG.

上記構成において重要なことは、第2の不純物拡散領域2bと絶縁膜13との間のソース・ドレイン上面のレベルLa が、前記チャネル形成面7のレベルLb よりは半導体基板1より離れて位置すると共にゲート電極6の下面のレベルLc よりは半導体基板1の近くに位置している点である。   What is important in the above configuration is that the level La of the upper surface of the source / drain between the second impurity diffusion region 2b and the insulating film 13 is located farther from the semiconductor substrate 1 than the level Lb of the channel formation surface 7. At the same time, it is located closer to the semiconductor substrate 1 than the level Lc on the lower surface of the gate electrode 6.

前記不純物領域2は、図14に示した従来のMIS型トランジスタと同様に、チャネル形成面7よりも半導体基板1内に属する第1の不純物拡散領域2aと、チャネル形成面7よりも外側(図においては上側)に積層された第2の不純物拡散領域2bと、を含んでいる。また、前記保護膜3はゲート絶縁膜5を保護するために例えばSiNやオキシナイトライド膜等により形成されており、チャネル形成面7とゲート絶縁膜5との間に位置する第1の保護膜3aと、第2の不純物拡散領域2bとの間に位置する第2の保護膜3bとを含んでいる。   As in the conventional MIS type transistor shown in FIG. 14, the impurity region 2 has a first impurity diffusion region 2a belonging to the semiconductor substrate 1 with respect to the channel formation surface 7 and an outer side with respect to the channel formation surface 7 (FIG. 2, the second impurity diffusion region 2 b stacked on the upper side. The protective film 3 is formed of, for example, SiN or oxynitride film to protect the gate insulating film 5, and is a first protective film located between the channel forming surface 7 and the gate insulating film 5. 3a and a second protective film 3b located between the second impurity diffusion region 2b.

以上の構成において、本発明の第1実施形態に係るMIS型トランジスタは、図14の従来のMIS型トランジスタと同様に、電流が流れるチャネルが形成されているチャネル形成面7よりソース・ドレインとして用いられる不純物拡散領域2が第2の不純物拡散領域2bの厚さ分だけ半導体基板1の逆側に形成されている。このため、チャネル形成面7より下側の第1の不純物拡散領域2aだけにソース・ドレイン領域が形成される場合と比較して、拡散層抵抗を低くすることができ、また、ニッケル(Ni),チタン(Ti)等とのシリサイドを形成する際にも接合面までシリサイド化が進むことにより生じる接合リークの発生を避けることができる。   In the above configuration, the MIS transistor according to the first embodiment of the present invention is used as a source / drain from the channel formation surface 7 on which a channel through which a current flows is formed, like the conventional MIS transistor of FIG. The impurity diffusion region 2 to be formed is formed on the opposite side of the semiconductor substrate 1 by the thickness of the second impurity diffusion region 2b. Therefore, compared to the case where the source / drain regions are formed only in the first impurity diffusion region 2a below the channel formation surface 7, the diffusion layer resistance can be lowered, and nickel (Ni) Also, when forming silicide with titanium (Ti) or the like, it is possible to avoid occurrence of junction leakage caused by silicidation to the junction surface.

また、ゲート電極6の下面8をソース・ドレインとして用いられる不純物拡散領域2の上面よりも高い位置、すなわち半導体基板1側より離れる側に設けることにより、性能の低下を引き起こすゲート電極とソース・ドレインとの容量を図14に示す従来型コンケーブMOSよりも大幅に低減することができる。   Further, by providing the lower surface 8 of the gate electrode 6 at a position higher than the upper surface of the impurity diffusion region 2 used as the source / drain, that is, on the side farther from the semiconductor substrate 1 side, the gate electrode and the source / drain causing degradation in performance. The capacitance can be significantly reduced as compared with the conventional concave MOS shown in FIG.

さらに、ゲート電極6とソース・ドレイン電極2bとの距離を従来例よりも離すことができ、電界を小さく保つことができる。したがって、これらの間のリーク電流を減少させて、絶縁破壊を防ぐことができる。この特徴は以下に説明する全ての実施形態に当てはまることである。   Furthermore, the distance between the gate electrode 6 and the source / drain electrode 2b can be made larger than in the conventional example, and the electric field can be kept small. Therefore, it is possible to reduce the leakage current between them and prevent dielectric breakdown. This feature applies to all embodiments described below.

また、図示説明を省略したが、チャネル形成面とソース・ドレイン領域の上面と同一平面とした従来のMIS型トランジスタにおいて、ゲート電極とチャネル形成面との間のゲート絶縁膜をSiO膜により形成したものとすると、ゲート電極6の下面8をこのSiO膜の厚さより面7から高く設けると共に、ゲート電極とソース・ドレインを絶縁する絶縁膜13をSiO膜とすることにより、平面型の従来MOSトランジスタよりも寄生容量を低減することができる。 Although not shown in the figure, in a conventional MIS transistor in which the channel formation surface and the upper surface of the source / drain region are flush with each other, the gate insulating film between the gate electrode and the channel formation surface is formed of a SiO 2 film. In this case, the lower surface 8 of the gate electrode 6 is provided higher than the thickness of the SiO 2 film from the surface 7, and the insulating film 13 that insulates the gate electrode from the source / drain is an SiO 2 film. The parasitic capacitance can be reduced as compared with the conventional MOS transistor.

例えば、従来技術ではゲート長が0.1ミクロンの場合、ゲート酸化膜の厚さは3nm程度にスケーリングされるが、本発明を比誘電率が約25のTa2O5と1nmのSiN(比誘電率7.5)の保護膜でデバイス設計すると、トランジスタの表面電荷量Qsを等しくするために、SiOの換算膜厚3nmを保つものとして、第1の保護膜3aのSiN実膜厚は1nmとなり、SiO換算膜厚は「1nm×3.9/7.5=0.52」nmとなり、高誘電体ゲート絶縁膜5(Ta2O5)のSiO2 換算膜厚は「3nm−0.52nm=2.48nm」となり、実膜厚は「2.48nm×25/3.9=15.9nm」となる。 For example, in the conventional technique, when the gate length is 0.1 micron, the thickness of the gate oxide film is scaled to about 3 nm. However, the present invention is applied to Ta2O5 having a relative dielectric constant of about 25 and SiN having a relative dielectric constant of 7 nm. .5) When designing the device with the protective film, the SiN actual film thickness of the first protective film 3a is 1 nm, assuming that the equivalent film thickness of SiO 2 is 3 nm in order to equalize the surface charge amount Qs of the transistor, The SiO 2 equivalent film thickness is “1 nm × 3.9 / 7.5 = 0.52” nm, and the SiO 2 equivalent film thickness of the high dielectric gate insulating film 5 (Ta 2 O 5) is “3 nm−0.52 nm = 2.48 nm. The actual film thickness is “2.48 nm × 25 / 3.9 = 15.9 nm”.

すなわちソース・ドレインとなる不純物拡散領域2の溝の深さを「15.9nm(ゲート絶縁膜5の分)+1nm(保護膜3aの分)=16.9nm」とすればゲート電極6の下面8がソース・ドレイン表面と同じ高さとなり、13.9nmとすれば従来のスケーリングトレンド上の3nm酸化膜を用いたMOSトランジスタと同程度の寄生容量となる。ここで、従来のスケーリングによれば、トランジスタの拡散層の深さは0.1ミクロントランジスタであっても40nm程度であり、これより13.9nm即ち35%拡散層を厚くして寄生抵抗を下げることができる。   That is, if the depth of the groove of the impurity diffusion region 2 to be the source / drain is “15.9 nm (for the gate insulating film 5) +1 nm (for the protective film 3a) = 16.9 nm”, the lower surface 8 of the gate electrode 6 Becomes the same height as the surface of the source / drain, and if it is 13.9 nm, the parasitic capacitance is about the same as that of a MOS transistor using a 3 nm oxide film on the conventional scaling trend. Here, according to the conventional scaling, the depth of the diffusion layer of the transistor is about 40 nm even if it is a 0.1 micron transistor, and the parasitic resistance is lowered by increasing the thickness of the 13.9 nm or 35% diffusion layer. be able to.

ここで、SiOの比誘電率をε、SiO膜の膜厚をTSiO、高誘電体ゲート絶縁膜5の比誘電率をε、実膜厚をT、保護膜3の比誘電率をε、実膜厚をTとするとTSiO厚さのSiO膜と同等の平行平板容量を与える膜厚は、下式
TSiO/εSiO = T/ε + T/ε
を満たせば良い。保護膜に1nm厚さのSiN膜を用い、SiO,SiNの比誘電率に3.9,7.5をそれぞれ用いると、
T5=ε(TSiO/3.9−1/7.5)(nm)となり従来スケーリングトレンド上の厚さTSiOとし、それと同等の寄生容量となる溝の赤さはゲート−ソース・ドレイン間の絶縁膜材料をSiOまたは同等の誘電率を持つものとすると、
Dconcave =ε(TSiO/3.9−1/7.5)−TSiO
により与えられる。これよりも溝が浅ければより寄生容量が小さくなる。
Here, the relative dielectric constant of SiO 2 epsilon, TSIO 2 the thickness of the SiO 2 film, the relative dielectric constant epsilon 5 of the high dielectric gate insulating film 5, T 5 the real thickness, dielectric protective film 3 rate and epsilon 3, the film thickness giving an SiO 2 film equivalent to a parallel plate capacitor of TSIO 2 thickness when the actual thickness and T 3 is the following formula TSiO 2 / εSiO 2 = T 3 / ε 2 + T 5 / ε 5
Should be satisfied. When a SiN film having a thickness of 1 nm is used as the protective film and the relative dielectric constants of SiO 2 and SiN are 3.9 and 7.5, respectively,
T5 = ε 5 (TSiO 2 /3.9-1/7.5) (nm), which is the thickness TSiO 2 on the conventional scaling trend, and the redness of the trench having the same parasitic capacitance is the gate-source-drain If the insulating film material between them has SiO 2 or an equivalent dielectric constant,
Dconcave = ε 5 (TSiO 2 /3.9-1/7.5)-TSiO 2
Given by. If the groove is shallower than this, the parasitic capacitance becomes smaller.

比誘電率約80でありかつ熱的に安定でその点からは保護膜の必要がないチタン酸化膜TiO2膜を用いた場合は、保護膜分を取り除いた同様の計算で、
Dconcave =ε×TSiO/3.9−TSiO
となり、溝深さを58.5nmとすれば、従来の3nm酸化膜を用いたMOSトランジスタと同程度の寄生容量となり、かつ、58.5nm即ち従来の40nm深さの拡散層を用いた場合と比較し150%拡散層を厚くして寄生抵抗を低減させることができる。
In the case of using a titanium oxide film TiO2 film having a relative dielectric constant of about 80 and being thermally stable and requiring no protective film from that point, the same calculation with the protective film portion removed,
Dconcave = ε 5 × TSiO 2 /3.9-TSiO 2
If the groove depth is 58.5 nm, the parasitic capacitance is the same as that of a conventional MOS transistor using a 3 nm oxide film, and 58.5 nm, that is, a conventional diffusion layer having a depth of 40 nm is used. In comparison, the parasitic resistance can be reduced by increasing the thickness of the 150% diffusion layer.

次に、図2(a)ないし図2(e)を用いて本発明の第1実施形態に係るMIS型トランジスタの製造方法について説明する。まず、図2(a)に示すように、半導体基板としてのシリコン基板1上にSiO膜9を堆積してリソグラフィーによりエッチングする。次に、SiO膜9をマスクとして反応性イオンエッチング(RIE−Reactive Ion Etching−)により溝4を形成する(図2(b))。 Next, a method of manufacturing the MIS transistor according to the first embodiment of the present invention will be described with reference to FIGS. First, as shown in FIG. 2A, a SiO 2 film 9 is deposited on a silicon substrate 1 as a semiconductor substrate and etched by lithography. Next, the trench 4 is formed by reactive ion etching (RIE-Reactive Ion Etching-) using the SiO 2 film 9 as a mask (FIG. 2B).

次に、図2(c)に示すように、SiO膜9およびシリコン基板1の溝4の表面に薄い犠牲酸化膜11を積層した後、ポリシリコン10を堆積して、化学的機械的研磨法(−CMP−Chemical Mechanical Polishing)あるいはエッチバック技術等を用いてSiO膜9の上面まで平坦化する。このとき、薄い犠牲酸化膜11を積層しておくのは、ポリシリコン10とシリコン基板1とを分離するためである。 Next, as shown in FIG. 2C, after a thin sacrificial oxide film 11 is laminated on the surface of the SiO 2 film 9 and the groove 4 of the silicon substrate 1, polysilicon 10 is deposited, and chemical mechanical polishing is performed. The top surface of the SiO 2 film 9 is planarized using a method (-CMP-Chemical Mechanical Polishing) or an etch back technique. At this time, the thin sacrificial oxide film 11 is laminated in order to separate the polysilicon 10 and the silicon substrate 1.

次に、図2(d)に示すように、前記シリコン酸化(SiO)膜9を除去した後、ポリシリコン10をマスクにしてイオン注入技術あるいは固相拡散技術等を用いてソース・ドレイン領域2を形成する。次に、ポリシリコン10と犠牲酸化膜11とを例えば化学的ドライエッチング(CDE−Chemical Dry Etching−)等により除去する。その後、図2(e)に示すように保護膜としてのSiN膜3を堆積あるいは熱窒化により形成する。次に、スパッタ技術等により高誘電体膜5を形成し、さらにゲート電極6を堆積する。最後に、シリコン(Si)酸化膜12を形成して図1に示すMIS型トランジスタと同一構造の半導体装置が製造されることになる。 Next, as shown in FIG. 2D, after the silicon oxide (SiO 2 ) film 9 is removed, a source / drain region is formed by using the polysilicon 10 as a mask and using an ion implantation technique or a solid phase diffusion technique. 2 is formed. Next, the polysilicon 10 and the sacrificial oxide film 11 are removed by, for example, chemical dry etching (CDE-Chemical Dry Etching-) or the like. Thereafter, as shown in FIG. 2E, a SiN film 3 as a protective film is formed by deposition or thermal nitridation. Next, a high dielectric film 5 is formed by sputtering or the like, and a gate electrode 6 is further deposited. Finally, a silicon (Si) oxide film 12 is formed, and a semiconductor device having the same structure as the MIS transistor shown in FIG. 1 is manufactured.

次に、図3(a)ないし図3(e)を用いて本発明の第2実施形態に係るMIS型トランジスタの製造方法および構成を説明する。まず、図3(a)ないし図3(e)に従いMIS型トランジスタの製造方法について説明する。図3(a)に示す半導体基板1上に、犠牲酸化膜11を介してダミーポリシリコン10を形成してパターニングし、さらに酸化してダミーポリシリコン10を酸化膜で包んだ後、図3(b)に示すように、ソース・ドレイン領域2をイオン注入等により形成する。   Next, the manufacturing method and configuration of the MIS transistor according to the second embodiment of the present invention will be described with reference to FIGS. First, a method for manufacturing a MIS transistor will be described with reference to FIGS. A dummy polysilicon 10 is formed on the semiconductor substrate 1 shown in FIG. 3A via the sacrificial oxide film 11 and patterned, and further oxidized to wrap the dummy polysilicon 10 in an oxide film. As shown in b), the source / drain regions 2 are formed by ion implantation or the like.

次に、選択エピタキシャル成長技術によりシリコンをかさ上げ(elevate )した後、さらに追加のイオン注入によりかさ上げ部分に再度不純物を注入して拡散させる。第1実施形態に係るMIS型トランジスタの製造方法は、溝4をまず形成しその溝に対して上方から不純物を注入拡散していたのでソース・ドレイン領域2の深さの制御が難しかったが、この第2実施形態に係るMIS型トランジスタの製造方法においては、チャネル形成面7よりも下側になるソース・ドレイン領域2の深さがチャネル形成面7からの不純物の注入の度合で決まるので制御がしやすいという効果がある。   Next, after the silicon is elevated by a selective epitaxial growth technique, impurities are again implanted and diffused into the raised portion by additional ion implantation. In the manufacturing method of the MIS transistor according to the first embodiment, since the groove 4 is first formed and impurities are implanted and diffused into the groove from above, it is difficult to control the depth of the source / drain region 2. In the MIS transistor manufacturing method according to the second embodiment, the depth of the source / drain region 2 below the channel formation surface 7 is determined by the degree of impurity implantation from the channel formation surface 7. There is an effect that it is easy to do.

次に、ポリシリコン10を残したままソース・ドレイン領域2の上にシリコン(Si)酸化膜12を堆積させて、ポリシリコン10をストッパとしてCMP技術によりシリコン酸化膜12をポリシリコン10の上面まで平坦化する。ここで、図3(c)に示すようにポリシリコン10と犠牲酸化膜11とをCDE等で剥離し、シリコン酸化膜12およびソース・ドレイン領域の側面からチャネル形成面7の上面にかけて窒化シリコン(SiN)保護膜3を堆積させる。   Next, a silicon (Si) oxide film 12 is deposited on the source / drain region 2 while leaving the polysilicon 10, and the silicon oxide film 12 is extended to the upper surface of the polysilicon 10 by CMP using the polysilicon 10 as a stopper. Flatten. Here, as shown in FIG. 3C, the polysilicon 10 and the sacrificial oxide film 11 are separated by CDE or the like, and silicon nitride (from the side surfaces of the silicon oxide film 12 and the source / drain regions to the upper surface of the channel formation surface 7 is formed. A SiN) protective film 3 is deposited.

次に、図3(d)に示すように高誘電体ゲート絶縁膜5をスパッタ、CVD等によりソース・ドレイン領域2の上面よりも高い位置まで堆積する。このような工程を採用する際に、仮に高誘電体ゲート絶縁膜5の膜厚が溝の深さより浅い場合にはゲート電極とソース・ドレインの間の絶縁を保護膜3で保たねばならず、本実施形態のように高誘電体ゲート絶縁膜5を溝4より高く設けた場合と比較して、保護膜3の厚さを厚くしなければならなくなる。   Next, as shown in FIG. 3D, a high dielectric gate insulating film 5 is deposited to a position higher than the upper surface of the source / drain region 2 by sputtering, CVD or the like. In adopting such a process, if the thickness of the high dielectric gate insulating film 5 is shallower than the depth of the groove, the insulation between the gate electrode and the source / drain must be maintained by the protective film 3. As compared with the case where the high dielectric gate insulating film 5 is provided higher than the trench 4 as in the present embodiment, the thickness of the protective film 3 must be increased.

次に、図3(e)に示すように、高誘電ゲート絶縁膜5の上部にゲート電極6をスパッタ、CVD等によりシリコン酸化膜12と略々同一の高さまで堆積させる。また、上記のように、仮に高誘電体ゲート絶縁膜五の膜厚が溝の深さよりも浅い場合には、ゲート電極6とソース・ドレイン領域2の上面との距離が保護膜3を介して離隔するだけで最も近接することになり、この部分の耐圧がクリティカルとなるので、このような状態においてはその電気的特性から
Dconcave =ε(TSiO/3.9−1/7.5)−TSiO
を満たすようにしていた。したがって、トランジスタの耐圧を向上させるには溝部分をより浅くすることが望ましい。あるいは溝上部での保護膜3の厚さを下部より厚くすることも耐圧の向上にとって望ましい。そのためには、図3(d)に示す工程においてCDE等を行なうことにより、高誘電体ゲート絶縁膜5とソース・ドレイン領域2との間を僅かにエッチングして埋め戻すこと等で実現する。
Next, as shown in FIG. 3 (e), a gate electrode 6 is deposited on the high dielectric gate insulating film 5 up to substantially the same height as the silicon oxide film 12 by sputtering, CVD or the like. Further, as described above, if the film thickness of the high dielectric gate insulating film 5 is shallower than the depth of the groove, the distance between the gate electrode 6 and the upper surface of the source / drain region 2 is set via the protective film 3. It will be closest to each other just by separating them, and the withstand voltage of this part becomes critical.
Dconcave = ε 5 (TSiO 2 /3.9-1/7.5)-TSiO 2
I was trying to satisfy. Therefore, it is desirable to make the groove portion shallower in order to improve the breakdown voltage of the transistor. Alternatively, it is desirable to increase the thickness of the protective film 3 in the upper part of the groove from the lower part in order to improve the breakdown voltage. For this purpose, CDE or the like is performed in the step shown in FIG. 3D, so that the space between the high dielectric gate insulating film 5 and the source / drain region 2 is slightly etched and filled.

以上のような第2実施形態のMIS型トランジスタの製造方法によれば、SiO膜をマスクにして溝4を形成してからソース・ドレイン領域2を形成する第1実施形態に係る製造方法とは異なる工程を経て、略々同一の構成のトランジスタを得ることができる。ただし、基板1の上面に溝4を形成するものと考えるか、基板1にソース・ドレイン領域2を形成してチャネル形成面7のレベルからこのソース・ドレイン領域2をさらに嵩上げするものと考えるかの違いがあるのみである。 According to the manufacturing method of the MIS transistor of the second embodiment as described above, the source / drain region 2 is formed after the trench 4 is formed using the SiO 2 film as a mask. Through different processes, transistors having substantially the same structure can be obtained. However, do you think that the groove 4 is formed on the upper surface of the substrate 1 or whether the source / drain region 2 is formed on the substrate 1 and the source / drain region 2 is further raised from the level of the channel formation surface 7? There is only a difference.

上記第1および第2実施形態に係るMIS型トランジスタにおいては、何れも高誘電体ゲート絶縁膜5とソース・ドレイン領域2との間に保護膜3を形成していたが、高誘電体ゲート絶縁膜5の材料あるいはプロセスの低温化等を調整することにより、保護膜3が必要でない場合もある。このように、保護膜3を設けないようにした場合の第3実施形態に係るMIS型トランジスタが図4に示されている。図4においては、半導体基板としてのシリコン基板1のチャネル形成面7と高誘電体ゲート絶縁膜5との間に保護膜(図1における保護膜3a)が設けられておらず、ゲート絶縁膜5の側壁と第2の不純物拡散領域2bとの間のみに保護膜3bが設けられている構成となっている。   In each of the MIS type transistors according to the first and second embodiments, the protective film 3 is formed between the high dielectric gate insulating film 5 and the source / drain region 2. The protective film 3 may not be necessary by adjusting the material of the film 5 or the low temperature of the process. FIG. 4 shows the MIS transistor according to the third embodiment when the protective film 3 is not provided as described above. In FIG. 4, the protective film (protective film 3a in FIG. 1) is not provided between the channel forming surface 7 of the silicon substrate 1 as the semiconductor substrate and the high dielectric gate insulating film 5, and the gate insulating film 5 The protective film 3b is provided only between the side wall of the first electrode and the second impurity diffusion region 2b.

次に、図5(a)ないし図5(e)を用いて本発明の第4の実施形態に係るMIS型トランジスタの製造方法について説明する。図5(a)ないし図5(c)までの工程については、基本的には第1あるいは第2実施形態に係るMISトランジスタの製造方法と略同様に形成するものとする。次に、図5(b)に示す犠牲酸化膜11とポリシリコンを10をCDE等により剥離して、シリコン酸化膜12およびソース・ドレイン領域2の側面からチャネル形成面7の上面にかけて窒化シリコン(SiN)保護膜3を堆積させ、高誘電体ゲート絶縁膜5をCVDまたはスパッタ等により堆積させる。   Next, a manufacturing method of the MIS transistor according to the fourth embodiment of the present invention will be described with reference to FIGS. The steps from FIG. 5A to FIG. 5C are basically formed in substantially the same manner as the MIS transistor manufacturing method according to the first or second embodiment. Next, the sacrificial oxide film 11 and polysilicon 10 shown in FIG. 5B are peeled off by CDE or the like, and silicon nitride (from the side surface of the silicon oxide film 12 and the source / drain region 2 to the upper surface of the channel formation surface 7 is formed. A SiN) protective film 3 is deposited, and a high dielectric gate insulating film 5 is deposited by CVD or sputtering.

次に、図5(c)に示すように、CVDまたはスパッタ等により堆積させた高誘電体ゲート絶縁膜5をCMP技術を用いて酸化膜12の上面まで平坦化する。   Next, as shown in FIG. 5C, the high dielectric gate insulating film 5 deposited by CVD or sputtering is planarized to the upper surface of the oxide film 12 using CMP technology.

この第3実施形態に係るMIS型トランジスタの製造方法においては、高誘電体ゲート絶縁膜5の膜厚をシリコン酸化膜12の厚さと溝4の深さにより決定しているのでゲート絶縁膜5の膜厚が制御し易いという優れた効果を奏する。   In the MIS type transistor manufacturing method according to the third embodiment, the thickness of the high dielectric gate insulating film 5 is determined by the thickness of the silicon oxide film 12 and the depth of the groove 4. There is an excellent effect that the film thickness is easy to control.

ただし、この第4実施形態に係るMIS型トランジスタの製造方法の場合にはゲート電極6はリソグラフィーを再度行なうことにより形成されているので、溝4にゲート電極6をセルフアラインさせることは難しい。このため、図5(e)に示すように、溝4の開口面積よりも大きく形成されることになる。したがって、ゲート電極6の寄生容量が幾分増加することになるが、シリコン酸化膜12の膜厚が充分に厚く、また、シリコン酸化膜12の誘電率も小さいため、大きな影響を受けることはない。   However, in the MIS transistor manufacturing method according to the fourth embodiment, since the gate electrode 6 is formed by performing lithography again, it is difficult to self-align the gate electrode 6 in the groove 4. For this reason, as shown in FIG.5 (e), it forms larger than the opening area of the groove | channel 4. As shown in FIG. Therefore, although the parasitic capacitance of the gate electrode 6 is somewhat increased, the silicon oxide film 12 is sufficiently thick and the dielectric constant of the silicon oxide film 12 is small, so that it is not greatly affected. .

図6には、図5(a)ないし図5(e)に示された製造方法により製造された第4実施形態に係るMIS型トランジスタの断面が示されている。図6において、MIS型トランジスタは、半導体基板としてのシリコン基板1と、チャネル形成面7よりも基板1側に位置する第1の不純物拡散領域2aとチャネル形成面7よりもゲート電極6側に位置する第2の不純物拡散領域2bを含むソース・ドレイン領域2と、シリコン酸化膜12と、シリコン酸化膜12および第2の不純物拡散領域2bに形成された溝4の内壁に設けられた保護膜3と、この保護膜3を介して溝4内に形成された高誘電体ゲート絶縁膜5と、このゲート絶縁膜5上に保護膜3により囲繞される範囲よりも広い面積となるように形成されたゲート電極6と、を備えている。   FIG. 6 shows a cross section of a MIS transistor according to the fourth embodiment manufactured by the manufacturing method shown in FIGS. 5 (a) to 5 (e). In FIG. 6, the MIS type transistor includes a silicon substrate 1 as a semiconductor substrate, a first impurity diffusion region 2 a located on the substrate 1 side with respect to the channel formation surface 7, and a gate electrode 6 side on the channel formation surface 7. A source / drain region 2 including a second impurity diffusion region 2b, a silicon oxide film 12, and a protective film 3 provided on the inner wall of the groove 4 formed in the silicon oxide film 12 and the second impurity diffusion region 2b. And a high dielectric gate insulating film 5 formed in the trench 4 with the protective film 3 interposed therebetween, and a wider area than the range surrounded by the protective film 3 on the gate insulating film 5. The gate electrode 6 is provided.

なお、上述した第1ないし第3実施形態に係るMIS型トランジスタは、ゲート電極6の幅が溝4内に位置するゲート絶縁膜5と同一の幅となるように構成するものと説明し、また、第4実施形態に係るMIS型トランジスタはセルフアラインさせることの困難さからゲート絶縁膜5よりもゲート電極6の方が広範囲となるように形成されているものと説明したが、本発明はこれに限定されず、図7に示す第5実施形態のように、例えばLDD(Lightly Doped Drain )構造におけるゲート電極6に側壁を設けるタイプのトランジスタについても適用できることは勿論である。   The MIS transistor according to the first to third embodiments described above is described as being configured such that the width of the gate electrode 6 is the same as that of the gate insulating film 5 located in the trench 4. The MIS transistor according to the fourth embodiment has been described as being formed so that the gate electrode 6 has a wider range than the gate insulating film 5 because of difficulty in self-alignment. Of course, the present invention can also be applied to a type of transistor in which a side wall is provided on the gate electrode 6 in an LDD (Lightly Doped Drain) structure as in the fifth embodiment shown in FIG.

第5実施形態に係るMIS型トランジスタを示す図7において、符号1は半導体基板としてのシリコン基板、7はチャネル形成面、2は第1の不純物拡散領域2aと第2の不純物拡散領域2bを含むソース・ドレイン領域、3は保護膜、5は高誘電体ゲート絶縁膜、6はゲート電極、8はゲート電極6の周囲に設けられた二酸化シリコン(SiO)の側壁である。 In FIG. 7 showing the MIS transistor according to the fifth embodiment, reference numeral 1 is a silicon substrate as a semiconductor substrate, 7 is a channel formation surface, 2 includes a first impurity diffusion region 2a and a second impurity diffusion region 2b. Source / drain regions, 3 is a protective film, 5 is a high dielectric gate insulating film, 6 is a gate electrode, and 8 is a side wall of silicon dioxide (SiO 2 ) provided around the gate electrode 6.

図8(a)ないし図8(e)は第5実施形態に係るMIS型トランジスタの製造方法を示す工程図である。図8(a),図8(b)に示すように、半導体基板1上にゲート絶縁膜となるSiN膜3aと、例えばTaからなる高誘電体膜5と、ゲート電極となるTiNとポリシリコン6とを順次堆積し、図8(c)に示すようにエッチングによりポリシリコン6からゲート電極となる部分を形成する。次に、図8(d)に示すように、CVD等によりゲート電極6の周囲にSiOの側壁8を形成し、ゲート電極6および側壁8をマスクとしてCDE等によりゲート絶縁膜5を形成する。 FIGS. 8A to 8E are process diagrams showing a method for manufacturing a MIS transistor according to the fifth embodiment. As shown in FIGS. 8A and 8B, a SiN film 3a serving as a gate insulating film, a high dielectric film 5 made of, for example, Ta 2 O 5 and TiN serving as a gate electrode are formed on the semiconductor substrate 1. And polysilicon 6 are sequentially deposited, and a portion to be a gate electrode is formed from the polysilicon 6 by etching as shown in FIG. Next, as shown in FIG. 8D, the SiO 2 sidewall 8 is formed around the gate electrode 6 by CVD or the like, and the gate insulating film 5 is formed by CDE or the like using the gate electrode 6 and the sidewall 8 as a mask. .

最後に、図8(e)に示すように、ポリシリコンのゲート電極6および側壁8とゲート絶縁膜5との積層構造をマスクに用いてエッチングした後、側壁絶縁膜3bを形成して、ソース・ドレインをせり上げして不純物拡散領域2bを形成した後、イオン注入および固相拡散等によりソース・ドレイン領域2を形成する。このソース・ドレイン領域2は、第1ないし第4実施形態と同様に、チャネル形成面7よりも基板1の内部側に位置するように形成された第1の不純物拡散領域2aと、チャネル形成面7よりもゲート電極6側に近く、かつ、その上面がゲート電極6の下面よりも低い位置である第2の不純物拡散領域2bと、よりなるという本願発明の要旨を備えている。   Finally, as shown in FIG. 8E, after etching using the polysilicon gate electrode 6 and the laminated structure of the sidewall 8 and the gate insulating film 5 as a mask, the sidewall insulating film 3b is formed, and the source After raising the drain to form the impurity diffusion region 2b, the source / drain region 2 is formed by ion implantation, solid phase diffusion, or the like. As in the first to fourth embodiments, the source / drain region 2 includes a first impurity diffusion region 2a formed on the inner side of the substrate 1 with respect to the channel formation surface 7, and a channel formation surface. 7 and the second impurity diffusion region 2b whose upper surface is lower than the lower surface of the gate electrode 6 and closer to the gate electrode 6 side.

図8(a)ないし図8(e)に示す工程により形成された第5実施形態に係るMIS型トランジスタは図7に示すような構成を有しており、高誘電体ゲート絶縁膜5の周囲、少なくとも下面側と側壁側は保護膜3により囲まれており、ゲート絶縁膜5の下側と基板1のチャネル形成面7との間は第1の保護膜3aとなり、第2の不純物拡散領域2bとゲート絶縁膜5との間は第2の保護膜3bとなっている。   The MIS transistor according to the fifth embodiment formed by the steps shown in FIGS. 8A to 8E has the structure shown in FIG. 7, and the periphery of the high dielectric gate insulating film 5 is as follows. At least the lower surface side and the side wall side are surrounded by the protective film 3, and the first protective film 3a is formed between the lower side of the gate insulating film 5 and the channel forming surface 7 of the substrate 1 to form a second impurity diffusion region. A second protective film 3b is provided between 2b and the gate insulating film 5.

なお、本発明は上述した第1ないし第5実施形態に限定されず、図9に示される構成を備える第6実施形態に係るトランジスタにも敷衍することができる。図9においては、p+半導体基板1と、チャネル形成面7と、ソース領域2Aと、ドレイン領域2Bと、高誘電体ゲート絶縁膜5と、ゲート電極6とを備えている点では上記幾つかの実施形態、特に第5実施形態のMIS型トランジスタと略々同一の構成である。   The present invention is not limited to the first to fifth embodiments described above, and can be applied to a transistor according to a sixth embodiment having the configuration shown in FIG. In FIG. 9, some of the above are provided in that a p + semiconductor substrate 1, a channel forming surface 7, a source region 2A, a drain region 2B, a high dielectric gate insulating film 5, and a gate electrode 6 are provided. The configuration is substantially the same as that of the MIS type transistor of the embodiment, particularly the fifth embodiment.

図9に示される第6実施形態に係るトランジスタでは、それぞれの電極領域に低抵抗コンタクト15を介してそれぞれの端子、すなわちゲート端子16,ソース端子17およびドレイン端子18が設けられている。また、チャネル形成面7とゲート絶縁膜5との間には保護膜3aが設けられ、さらにゲート電極6低抵抗コンタクト15およびゲート端子16の全体を囲む側壁8との間にも保護膜3bが設けられている。   In the transistor according to the sixth embodiment shown in FIG. 9, the respective terminals, that is, the gate terminal 16, the source terminal 17, and the drain terminal 18 are provided in each electrode region via the low resistance contact 15. A protective film 3 a is provided between the channel forming surface 7 and the gate insulating film 5, and the protective film 3 b is also formed between the gate electrode 6, the low resistance contact 15, and the side wall 8 surrounding the gate terminal 16. Is provided.

上記ゲート絶縁膜5は、0xが1.5nm以下に相当するように構成されており、低抵抗コンタクト15は、「Rcontact <10−8Ωcm」の抵抗値を有し、チャネル形成面7は「Rp 〜15nm,dRp 〜7nm」の極浅チャネル(retrograde channel)である。また、ソース領域2Aおよびドレイン領域2Bは「Xj <10nm,R<16Ωμm」の低抵抗で極薄に嵩上げされた(elevated)第2の不純物拡散領域2bとなるように形成されている。 The gate insulating film 5 is configured such that 0x corresponds to 1.5 nm or less, the low-resistance contact 15 has a resistance value of “Rcontact <10 −8 Ωcm 2 ”, and the channel formation surface 7 is It is a very shallow channel (Rp ˜15 nm, dRp ˜7 nm). Further, the source region 2A and the drain region 2B are formed to be the second impurity diffusion region 2b which is elevated with a low resistance of “Xj <10 nm, R <16 Ωμm”.

このような構成を有する第6実施形態に係るトランジスタにおいても、ソース・ドレイン領域の上面がチャネル形成面よりもゲート電極側に位置し、かつ、ゲート電極の底面よりも基板側に位置するという本願発明の要旨を充足しており、本願発明に係るMIS型トランジスタの好適な実施形態の1つとなっているものである。   Also in the transistor according to the sixth embodiment having such a configuration, the upper surface of the source / drain region is located on the gate electrode side with respect to the channel formation surface, and is located on the substrate side with respect to the bottom surface of the gate electrode. The present invention satisfies the gist of the present invention, and is one of the preferred embodiments of the MIS transistor according to the present invention.

次に、図10ないし図13(e)を用いて、本発明の第7実施形態に係る半導体装置について説明する。まず、図10に本発明の第7実施形態に係る半導体装置の断面構造を示している。   Next, a semiconductor device according to a seventh embodiment of the present invention will be described with reference to FIGS. First, FIG. 10 shows a cross-sectional structure of a semiconductor device according to the seventh embodiment of the present invention.

図10において、例えばp型Siからなる半導体層105の上部に、例えばTiOやアルミナ、あるいは、タンタル酸化膜、チタン酸バリウム、チタン酸ジルコニウム鉛からなるゲート絶縁膜113を介して、例えば、poly Si(多結晶シリコン),amorphous Si(非晶質シリコン),TiNやW、Pt,RuO,IrOからなるゲート電極114が形成されている。ここで、ゲート絶縁膜 113の半導体層105に接する部分での厚さをt(nm)、比誘電率をεとすると、t<1.3εとなる関係を満たしている。 In FIG. 10, for example, poly TiO 2 , alumina, or a gate insulating film 113 made of tantalum oxide film, barium titanate, lead zirconium titanate, for example, is formed on the semiconductor layer 105 made of, for example, p-type Si. A gate electrode 114 made of Si (polycrystalline silicon), amorphous Si (amorphous silicon), TiN, W, Pt, RuO 2 , or IrO 2 is formed. Here, when the thickness of the portion of the gate insulating film 113 in contact with the semiconductor layer 105 is t (nm) and the relative dielectric constant is ε, the relationship of t <1.3ε is satisfied.

また、ゲート電極の両側の105の領域内には、例えば、P,SbまたはAsをイオン注入または固相拡散して成長させると共に、前記半導体層105の導電性とは逆の導電性を有するソース拡散層およびドレイン拡散層110が形成されてn型MISFETを形成している。さらに、ソースおよびドレイン拡散層110の上部には、例えば、P,SbまたはAsを添加した、Si,SiGe,SiGeCからなる半導体領域104が形成されている。この半導体領域104はゲート絶縁膜113と半導体層105との界面よりも積み上げ方向上方に形成され、いわゆる嵩上げされた(elevated)ソース・ドレイン構造となっている。   Further, in the region 105 on both sides of the gate electrode, for example, P, Sb, or As is grown by ion implantation or solid phase diffusion, and a source having conductivity opposite to that of the semiconductor layer 105 is formed. A diffusion layer and a drain diffusion layer 110 are formed to form an n-type MISFET. Furthermore, a semiconductor region 104 made of Si, SiGe, or SiGeC to which, for example, P, Sb, or As is added is formed on the source and drain diffusion layers 110. The semiconductor region 104 is formed above the interface between the gate insulating film 113 and the semiconductor layer 105 in the stacking direction, and has a so-called elevated source / drain structure.

さらに、ゲート絶縁膜113のゲート電極114が形成されていない側の側壁には、例えばシリコン窒化膜からなる絶縁膜109が形成されている。また、絶縁膜109と導電領域104との間には、例えばシリコン酸化膜からなる絶縁膜108が形成されている。さらに、領域104の上面で、絶縁膜108および113が形成されていない上面には、例えばコバルトシリサイド、ニッケルシリサイド、またはチタンシリサイドからなる導電体層115が形成されている。この第7実施形態の特徴的な構成は、導電領域104の上面の高さが、ゲート電極114の底部の高さよりも低く形成されていることにある。このようにすることにより、ゲート電極114と導電領域104との間の容量を小さく保ちつつ、ソース・ドレイン領域を嵩上げされた(elevated)構造にすることができ、導電領域104の接合深さを浅くし、短チャネル効果が小さく低抵抗なソース・ドレインを実現することができる。   Further, an insulating film 109 made of, for example, a silicon nitride film is formed on the side wall of the gate insulating film 113 where the gate electrode 114 is not formed. Further, an insulating film 108 made of, for example, a silicon oxide film is formed between the insulating film 109 and the conductive region 104. Further, a conductor layer 115 made of, for example, cobalt silicide, nickel silicide, or titanium silicide is formed on the upper surface of the region 104 where the insulating films 108 and 113 are not formed. The characteristic configuration of the seventh embodiment is that the height of the upper surface of the conductive region 104 is formed lower than the height of the bottom of the gate electrode 114. By doing so, the source / drain region can be made an elevated structure while keeping the capacitance between the gate electrode 114 and the conductive region 104 small, and the junction depth of the conductive region 104 can be reduced. It is possible to realize a shallow source / drain with a small short channel effect and low resistance.

さらに、導電層115の上面には、例えば、シリコン酸化膜からなる絶縁膜111および絶縁膜112が積層して形成されている。ゲート絶縁膜113の上面高さは、この絶縁膜112の上面高さよりも低く形成されることが、ゲート絶縁膜113のエッチングが困難な場合でもコンタクト116を良好な形状で形成するためには望ましい。さらに、ゲート電極114、ゲート絶縁膜113、絶縁膜112の上部には、例えばシリコン酸化膜やシリコン窒化膜からなる絶縁膜118が形成されている。また、ゲート電極114の上部および電極115の上部には例えばAlやPやBをドープした多結晶シリコン、WSi,TiSi,W,AlSi,AlSiCu,Cu,TiNからなるコンタクト電極116が形成されている。   Furthermore, an insulating film 111 and an insulating film 112 made of, for example, a silicon oxide film are stacked on the upper surface of the conductive layer 115. It is desirable that the upper surface height of the gate insulating film 113 be lower than the upper surface height of the insulating film 112 in order to form the contact 116 in a good shape even when the gate insulating film 113 is difficult to etch. . Further, an insulating film 118 made of, for example, a silicon oxide film or a silicon nitride film is formed on the gate electrode 114, the gate insulating film 113, and the insulating film 112. A contact electrode 116 made of, for example, polycrystalline silicon doped with Al, P, or B, WSi, TiSi, W, AlSi, AlSiCu, Cu, or TiN is formed above the gate electrode 114 and the electrode 115. .

さらに、コンタクト電極116の上部にはAlやPやBをドープした多結晶シリコン、WSi,TiSi,AlSi,AlSiCu,Cu,Wからなる金属を堆積し、上部の配線層117が形成されている。図10においてはゲート電極に対するコンタクト電極116および配線層117を、ソース・ドレイン電極に対するコンタクト電極116および配線層117と共に同一断面に示したが、これらは、同一断面に設けられる必要はなく、図11(a)および図11(b)に示すように、それぞれ別の平面で切断した異なる高さの断面に形成するようにしても良い。   Further, an upper wiring layer 117 is formed on the contact electrode 116 by depositing a metal made of polycrystalline silicon doped with Al, P or B, WSi, TiSi, AlSi, AlSiCu, Cu, or W. In FIG. 10, the contact electrode 116 and the wiring layer 117 for the gate electrode are shown in the same cross section together with the contact electrode 116 and the wiring layer 117 for the source / drain electrode. However, these need not be provided in the same cross section. As shown to (a) and FIG.11 (b), you may make it form in the cross section of a different height cut | disconnected by another plane, respectively.

次に、図12(a)ないし図13(e)を用いて、この第7実施形態に係る半導体装置の製造工程について説明する。まず、例えばボロン濃度1015cm−3のp型領域を形成した半導体層105を準備する。次いで、このp型半導体層105にボロンを1012〜1015cm−2程度イオン注入してウェル拡散し、この半導体層105の濃度を最適化してもよい。イオン注入のエネルギーは、例えば100eVから1000eVと間とする。これらWell領域の濃度は1015cm−3〜1019cm−3とすればよい。ついで、図示していないが、例えばLOCOS分離やトレンチ分離からなる素子分離領域を形成する。 Next, the manufacturing process of the semiconductor device according to the seventh embodiment will be described with reference to FIGS. First, for example, a semiconductor layer 105 in which a p-type region having a boron concentration of 10 15 cm −3 is formed is prepared. Next, boron is ion-implanted into the p-type semiconductor layer 105 by about 10 12 to 10 15 cm −2 to diffuse the well, and the concentration of the semiconductor layer 105 may be optimized. The ion implantation energy is between 100 eV and 1000 eV, for example. The concentration of these well regions may be 10 15 cm −3 to 10 19 cm −3 . Next, although not shown, an element isolation region made of, for example, LOCOS isolation or trench isolation is formed.

次いで、p型半導体層105にボロンやインジウムをイオン注入してウェル拡散し、半導体層105の濃度を最適化してもよい。次に、半導体層105の表面を例えば、3〜50nm酸化または窒化してダミーゲート絶縁膜102を形成し、ダミーゲート電極101となる多結晶シリコン膜を例えば、10〜200nm全面に堆積する。さらに、絶縁膜106となるシリコン酸化膜を、例えば、2〜200nm全面堆積または多結晶シリコン膜の酸化によって形成した後、リソグラフィーと反応性イオンエッチングにより絶縁膜106およびダミーゲート電極101となる多結晶シリコン膜を絶縁膜102上まで達するように加工して、ダミーゲート電極101を形成する。次に、絶縁膜103となるシリコン酸化膜を、例えば2〜50nmの厚さで全面に堆積させた後、異方性エッチングにより加工して、ダミーゲート電極101の切り立った側壁上に側壁絶縁膜103を残している。この後、この絶縁膜103をマスクとして、絶縁膜102をエッチングし、半導体層105を露出させる。この側壁絶縁膜103とリソグラフィーの直前に堆積した絶縁膜106がダミーゲート電極101を取り囲む形となり、ソース・ドレイン層に選択的に半導体を成長することが容易になる。   Next, boron or indium may be ion-implanted into the p-type semiconductor layer 105 and well diffusion may be performed to optimize the concentration of the semiconductor layer 105. Next, the dummy gate insulating film 102 is formed by oxidizing or nitriding the surface of the semiconductor layer 105, for example, by 3 to 50 nm, and a polycrystalline silicon film to be the dummy gate electrode 101 is deposited on the entire surface of, for example, 10 to 200 nm. Further, after forming a silicon oxide film to be the insulating film 106 by, for example, depositing the entire surface of 2 to 200 nm or oxidizing the polycrystalline silicon film, the polycrystalline film to be the insulating film 106 and the dummy gate electrode 101 by lithography and reactive ion etching. The dummy gate electrode 101 is formed by processing the silicon film so as to reach the insulating film 102. Next, a silicon oxide film to be the insulating film 103 is deposited on the entire surface with a thickness of 2 to 50 nm, for example, and then processed by anisotropic etching, so that the sidewall insulating film is formed on the side walls of the dummy gate electrode 101 103 is left. Thereafter, the insulating film 102 is etched using the insulating film 103 as a mask to expose the semiconductor layer 105. The sidewall insulating film 103 and the insulating film 106 deposited immediately before lithography surround the dummy gate electrode 101, and it becomes easy to selectively grow a semiconductor on the source / drain layers.

次いで、図12(a)のように例えば、SiやSiGe混晶、SiGeC混晶を選択エピタキシャル成長法または選択堆積法を用いることによって、例えば、厚さ5〜100nmの厚さに半導体層104を形成する。このとき、ドーピングも同時に行ない、半導体層104はドナー不純物添加を1016〜1021cm−3の濃度でAs,Sb,またはPを添加するのが低抵抗の浅い接合を形成するのに望ましい。半導体層104は、例えば、AsHやPHを、AsまたはPを半導体層104の表面に吸着させ、その後例えば、SiやSiGe混晶、SiGeC混晶を選択エピタキシャル成長により形成してもよい。 Next, as shown in FIG. 12A, for example, the semiconductor layer 104 is formed to a thickness of, for example, 5 to 100 nm by using a selective epitaxial growth method or a selective deposition method for Si, SiGe mixed crystal, or SiGeC mixed crystal. To do. At this time, doping is also performed at the same time, and it is desirable that the semiconductor layer 104 is doped with donor impurities at a concentration of 10 16 to 10 21 cm −3 to form a low-resistance shallow junction. The semiconductor layer 104 may be formed, for example, by adsorbing AsH 3 or PH 3 and As or P on the surface of the semiconductor layer 104, and then forming Si, SiGe mixed crystal, or SiGeC mixed crystal by selective epitaxial growth.

また、特に、半導体基板を{100}面とし、ゲート加工を<100>方位に平行にパターニングすることによって、図12(a)のようにゲート側壁部で{311}面が形成され、ゲート側壁から上に向かうに従って離れる構造を形成することができるため、ゲートとソースとの間の容量、および、ゲートとドレインとの間の容量をより小さく保つことができる。   Further, in particular, by patterning the semiconductor substrate in the {100} plane and patterning the gate parallel to the <100> orientation, a {311} plane is formed at the gate side wall as shown in FIG. Since the structure can be formed so as to move away from the top toward the top, the capacitance between the gate and the source and the capacitance between the gate and the drain can be kept smaller.

次に、例えば、700-1100℃で、0.01〜60min、例えばArまたはN2雰囲気で加熱することによって、図12(b)のように不純物添加n型領域110をp型半導体層105内に拡散する工程を加える。拡散時間は、典型的には、n型領域110がダミーゲート層101の下まで形成されるようにし、後に形成されるゲート電極114の下にまで達するように形成されることが電流駆動能力を大きくするのに望ましい。   Next, for example, by heating at 700 to 1100 ° C. in an atmosphere of 0.01 to 60 minutes, for example, Ar or N 2, the impurity-added n-type region 110 is formed in the p-type semiconductor layer 105 as shown in FIG. Add a diffusion step. The diffusion time is typically such that the n-type region 110 is formed under the dummy gate layer 101 and is formed so as to reach under the gate electrode 114 formed later. Desirable to enlarge.

半導体層104およびn型領域110を形成する工程は、例えば、まず、AsやP,SBを加速電圧1〜100eV、1013〜1016cm−2イオン注入してn型領域110を形成し、その後に半導体層104を選択エピタキシャル成長をする工程と代替してもよい。また、不純物を意図的に添加しない半導体層104を形成した後に、AsやP,Sbを加速電圧1〜300eV、1013〜1016cm−2イオン注入してn型領域110を形成する工程と代替してもよい。 The step of forming the semiconductor layer 104 and the n-type region 110 includes, for example, first forming an n-type region 110 by implanting As, P, and SB with an acceleration voltage of 1 to 100 eV and 10 13 to 10 16 cm −2 . Thereafter, the semiconductor layer 104 may be replaced with a step of performing selective epitaxial growth. A step of forming an n-type region 110 by implanting As, P, and Sb with an acceleration voltage of 1 to 300 eV and 10 13 to 10 16 cm −2 after forming the semiconductor layer 104 to which impurities are not intentionally added. It may be replaced.

さらに、例えば、シリコン酸化膜を2〜100nm全面堆積し、絶縁膜108を形成する。次いで、例えば、シリコン窒化膜を10〜300nm全面堆積し、異方性エッチングによって切り立った側壁絶縁膜108の側壁に絶縁膜109を形成することにより、図12(b)に示す形状を得ることができる。ここで、絶縁膜108は、絶縁膜109の応力緩和とエッチング選択性およびダメージ緩和のためのバッファ層であり、絶縁膜109の応力、半導体層104に対する絶縁膜109のエッチング選択性について特に問題が無ければ、絶縁膜108は設けなくても構わない。   Further, for example, a silicon oxide film is deposited on the entire surface of 2 to 100 nm to form the insulating film 108. Next, for example, a silicon nitride film is deposited on the entire surface of 10 to 300 nm, and the insulating film 109 is formed on the side wall of the side wall insulating film 108 formed by anisotropic etching, thereby obtaining the shape shown in FIG. it can. Here, the insulating film 108 is a buffer layer for stress relaxation and etching selectivity and damage relaxation of the insulating film 109, and there is a particular problem with respect to the stress of the insulating film 109 and the etching selectivity of the insulating film 109 with respect to the semiconductor layer 104. If not provided, the insulating film 108 is not necessarily provided.

また、絶縁膜108と側壁絶縁膜103の厚さの和は、絶縁膜102の厚さよりも薄くなるようにすることにより、絶縁膜102を剥離する際に、絶縁膜109が露出してゲート絶縁膜113の幅を規定することになり望ましい。また、絶縁膜109の間隔は、ゲート絶縁膜113の半導体層105に接した部分での厚さの2倍以上にする。さらに、絶縁膜109をマスクとして、エッチングにより半導体層104上の絶縁膜108を取り去った後、シリサイドまたは金属をソース・ドレイン領域となる半導体層104上に選択的に形成し、ソース又はドレイン電極115を形成する。これには、例えば、Ni,CoかTiを0.01〜0.03μm全面堆積し、600度以上の熱工程を経ることによって選択的にソース・ドレイン領域となる半導体層104上にNiSi,CoSiまたはTiSiを形成し、残った金属を、例えば、硫酸過酸化水素水の溶液によりエッチングして取り除いている。   Further, the sum of the thicknesses of the insulating film 108 and the sidewall insulating film 103 is made thinner than the thickness of the insulating film 102, so that the insulating film 109 is exposed when the insulating film 102 is peeled, and gate insulation is performed. This is desirable because it defines the width of the film 113. Further, the interval between the insulating films 109 is set to be twice or more the thickness of the portion of the gate insulating film 113 in contact with the semiconductor layer 105. Further, after the insulating film 108 on the semiconductor layer 104 is removed by etching using the insulating film 109 as a mask, silicide or metal is selectively formed on the semiconductor layer 104 serving as a source / drain region, and a source or drain electrode 115 is formed. Form. For example, Ni, Co or Ti is deposited on the entire surface of 0.01 to 0.03 μm, and NiSi, CoSi is selectively formed on the semiconductor layer 104 to be a source / drain region through a thermal process of 600 ° C. or more. Alternatively, TiSi is formed, and the remaining metal is removed by etching with, for example, a sulfuric acid hydrogen peroxide solution.

さらに、例えば、シリコン酸化膜を5〜100nmの厚さで全面に堆積し、層間絶縁膜111を形成する。次いで、例えば、シリコン酸化膜、PSG,BPSG,または、BSGを50〜1000nm全面堆積し、例えば、CMP―Chemical Mechanical Polishing―によって平坦化し、層間絶縁膜112を形成する。この後、リソグラフィーと異方性エッチングによって、ダミーゲート電極101の上部をパターニングして、図12(c)に示すように、層間絶縁膜112、層間絶縁膜111、およびバッファ絶縁膜108、絶縁膜106、側壁絶縁膜103の一部をエッチングし、ダミーゲート電極101が一部露出するようにする。   Further, for example, a silicon oxide film is deposited on the entire surface with a thickness of 5 to 100 nm to form an interlayer insulating film 111. Next, for example, a silicon oxide film, PSG, BPSG, or BSG is deposited on the entire surface of 50 to 1000 nm, and planarized by, for example, CMP—Chemical Mechanical Polishing— to form the interlayer insulating film 112. Thereafter, the upper part of the dummy gate electrode 101 is patterned by lithography and anisotropic etching, and as shown in FIG. 12C, the interlayer insulating film 112, the interlayer insulating film 111, the buffer insulating film 108, and the insulating film 106, a part of the sidewall insulating film 103 is etched so that the dummy gate electrode 101 is partially exposed.

このとき、膜112,111,108,106および103をシリコン酸化膜により形成し、絶縁膜109をシリコン窒化膜で形成しておくことにより、絶縁膜109を残したままで、膜112,111,108,106および103を選択的にエッチングすることができる。図示説明は省略するが、このエッチングの後、パターニングに用いたレジストは、膜102をエッチングする前に、例えば灰化や硫酸過酸化水素水溶液で取り除いておくことが、ゲート絶縁膜113をメタルや有機物汚染させないようにするのに望ましい。   At this time, the films 112, 111, 108, 106 and 103 are formed of a silicon oxide film, and the insulating film 109 is formed of a silicon nitride film, so that the films 112, 111, 108 are left with the insulating film 109 remaining. , 106 and 103 can be selectively etched. Although illustration is omitted, the resist used for patterning after this etching is removed by, for example, ashing or sulfuric acid / hydrogen peroxide solution before the film 102 is etched. Desirable to prevent organic contamination.

次いで、例えば、HBrを含んだガスによる反応性エッチングによって、ダミーゲート電極101をすべて取り除く。このとき、膜112,111,108,106および103は選択性を保つことによって残される。さらに、例えば、希フッ酸や弗化アンモニウム水溶液、またはHF蒸気によって、シリコン酸化膜から成るダミーゲート絶縁膜102をすべて取り除く。この際、シリコン酸化膜からなる膜108および膜103もエッチングされ取り除かれ、シリコン窒化膜からなる側壁絶縁膜109が取り残され、その間隔によってゲート長を規定することができる。この膜102を取り除く工程では、半導体層105にダメージを与えないように、イオンエッチングではなくウェットエッチングで行なうことが望ましい。   Next, for example, all the dummy gate electrodes 101 are removed by reactive etching using a gas containing HBr. At this time, the membranes 112, 111, 108, 106 and 103 are left by maintaining selectivity. Further, for example, all the dummy gate insulating film 102 made of the silicon oxide film is removed by dilute hydrofluoric acid, an aqueous ammonium fluoride solution, or HF vapor. At this time, the film 108 and the film 103 made of the silicon oxide film are also etched away, and the side wall insulating film 109 made of the silicon nitride film is left behind, and the gate length can be defined by the interval. In the step of removing the film 102, it is preferable to perform wet etching instead of ion etching so that the semiconductor layer 105 is not damaged.

次いで、例えばTiOやAl(アルミナ)、あるいは、タンタル酸化膜、チタン酸ストロンチウムやチタン酸バリウム、チタン酸ジルコニウム鉛からなるゲート絶縁膜113を、10〜200nmの厚さ全面堆積する。さらに、例えば、poly Si,amorphous Si,TiNW、Pt,RuOまたはIrOからなるゲート電極114を10〜200nmの厚さ全面堆積し、図13(d)の形状を得る。この際、層間絶縁膜111と112は、膜102と同様にシリコン酸化膜によって形成されており、膜102のエッチングの際にさらに後退し、膜109より上部ではエッチング開口が大きくなる。よって、この部分のゲート電極114の幅も底部分よりも広くなり、いわゆるT-shape形状のゲートとなる。この形状は、ゲート電極の抵抗を下げ、かつ、ゲート電極とソース・ドレイン電極との容量を小さく保つのに望ましい。このときの開口幅は、層間絶縁膜111の縁が側壁絶縁膜109上で留まるようにするのが、良好なT-shape形状を形成するのに望ましい。また、ゲート絶縁膜113の均一性が良くない場合には、図13(d)に示すように、積み上げ方向上側に行くに従い一部狭くなる形状が得られる。この状態でゲート電極114を堆積すると、図13(d)に示すように、ゲート電極下部に切り欠き部(割れ目、ボイド―void―)が形成される。 Next, a gate insulating film 113 made of, for example, TiO 2 or Al 2 O 3 (alumina), or a tantalum oxide film, strontium titanate, barium titanate, or lead zirconium titanate is deposited to a thickness of 10 to 200 nm. Further, for example, a gate electrode 114 made of poly Si, amorphous Si, TiNW, Pt, RuO 2, or IrO 2 is deposited on the entire surface to a thickness of 10 to 200 nm to obtain the shape of FIG. At this time, the interlayer insulating films 111 and 112 are formed of a silicon oxide film similarly to the film 102, and further recede when the film 102 is etched, and the etching opening becomes larger above the film 109. Therefore, the width of the gate electrode 114 in this portion is also wider than that of the bottom portion, so that a so-called T-shape gate is obtained. This shape is desirable for reducing the resistance of the gate electrode and keeping the capacitance between the gate electrode and the source / drain electrodes small. The opening width at this time is preferably such that the edge of the interlayer insulating film 111 stays on the sidewall insulating film 109 in order to form a good T-shape. Further, when the uniformity of the gate insulating film 113 is not good, as shown in FIG. 13D, a shape that becomes partially narrower toward the upper side in the stacking direction can be obtained. When the gate electrode 114 is deposited in this state, as shown in FIG. 13D, a notch (a crack, void -void-) is formed under the gate electrode.

次いで、例えば、CMP―Chemical Mechanical Polishing―法により、ゲート電極114を全面平坦化しつつ膜113が露出するまでエッチングする。さらに、膜113を層間絶縁膜112が露出するまで全面エッチングすることにより、図13(e)の形状を得ている。膜113が、後のコンタクト形成工程で容易に異方性エッチングすることが可能であれば、膜113を取り除く工程は省略できる。   Next, etching is performed until the film 113 is exposed while planarizing the entire surface of the gate electrode 114 by, for example, a CMP-Chemical Mechanical Polishing-method. Further, the shape of FIG. 13E is obtained by etching the entire surface of the film 113 until the interlayer insulating film 112 is exposed. If the film 113 can be easily anisotropically etched in a subsequent contact formation step, the step of removing the film 113 can be omitted.

これ以降は図示説明を省略するが、例えば、シリコン酸化膜はBSG,PSG,BPSGからなる層間絶縁膜118を、例えば20〜1000nm堆積した後、リソグラフィーと反応性イオンエッチングにより配線コンタクト116を形成する。コンタクト116の深さはゲート電極114またはソース・ドレイン導電体電極115に達するまでとし、コンタクト116には、例えば、AlやPやBをドープした多結晶シリコン、WSi,TiSi,W,AlSi,AlSiCu,Cu,TiNを堆積または選択成長して埋め込み形成すればよい。さらに、AlやPやBをドープした多結晶シリコン、WSi,TiSi,AlSi,AlSiCu,Cu,Wからなる金属を厚さ20〜500nm堆積し、上部の配線層117を形成して完成する。   After that, although illustration is omitted, for example, an interlayer insulating film 118 made of BSG, PSG, BPSG as the silicon oxide film is deposited, for example, 20 to 1000 nm, and then a wiring contact 116 is formed by lithography and reactive ion etching. . The contact 116 has a depth until it reaches the gate electrode 114 or the source / drain conductor electrode 115. The contact 116 may be, for example, polycrystalline silicon doped with Al, P, or B, WSi, TiSi, W, AlSi, AlSiCu. Cu, TiN may be deposited or selectively grown to be buried. Further, a metal made of polycrystalline silicon doped with Al, P, or B, WSi, TiSi, AlSi, AlSiCu, Cu, W is deposited to a thickness of 20 to 500 nm, and an upper wiring layer 117 is formed to complete.

本第7実施形態に係るMIS型トランジスタの製造方法を用いれば、ゲート絶縁膜113を形成する前に、ソース・ドレイン電極の不純物を活性化しシリサイド形成しているので、高温熱工程および水素アニールなどゲート絶縁膜113の特性を悪化させるプロセスをゲート絶縁膜形成後に行なう必要はない。したがって、信頼性の高いプロセスが実現できる。   If the MIS transistor manufacturing method according to the seventh embodiment is used, the silicide of the source / drain electrodes is activated before the gate insulating film 113 is formed. It is not necessary to perform a process for deteriorating the characteristics of the gate insulating film 113 after forming the gate insulating film. Therefore, a highly reliable process can be realized.

さらに、ゲート電極の半導体領域105に対向する幅を、(ダミーゲート101の幅)+(絶縁膜103の厚さ)*2+(絶縁膜108の厚さ)*2−(ゲート絶縁膜113の側壁の厚さ)*2となり、ダミーゲート101の幅よりも小さくすることができる。したがって、リソグラフィーよりも小さいゲート長を絶縁膜103および絶縁膜108の幅を調整することにより実現することができる。   Further, the width of the gate electrode facing the semiconductor region 105 is set to (width of the dummy gate 101) + (thickness of the insulating film 103) * 2 + (thickness of the insulating film 108) * 2- (side wall of the gate insulating film 113) The thickness of the dummy gate 101 can be made smaller. Therefore, a gate length smaller than that of lithography can be realized by adjusting the widths of the insulating film 103 and the insulating film 108.

この構造ではゲート電極114と、ソース・ドレイン領域110およびチャネル領域との位置関係を示した単体MISFET平面図を図11(a)および図11(b)に示す。両図において、符号119は、例えば、LOCOS分離やトレンチ分離から成る素子分離膜を示している。また、コンタクト116の位置を、丸印を用いて示しており、ゲート、ソース、およびドレインにそれぞれ1つのコンタクトが形成されている場合を想定している。また半導体領域は、2つのソース・ドレイン電極110、および、その間の一点鎖線により挟まれ、これとゲート電極114の実線とにより囲まれた長方形の領域となっており、ゲート電極114の下に形成された部分の境界を一点鎖線で示している。   In this structure, FIGS. 11A and 11B are plan views of a single MISFET showing the positional relationship between the gate electrode 114, the source / drain region 110, and the channel region. In both figures, reference numeral 119 indicates an element isolation film made of LOCOS isolation or trench isolation, for example. Further, the positions of the contacts 116 are indicated by circles, and it is assumed that one contact is formed for each of the gate, the source, and the drain. The semiconductor region is a rectangular region sandwiched between two source / drain electrodes 110 and a dot-and-dash line between them, and surrounded by the solid line of the gate electrode 114, and is formed under the gate electrode 114. The boundary of the marked part is indicated by a one-dot chain line.

図11(a)では、点線で示すゲート電極114の下部幅が素子分離119で囲まれた半導体領域上で一定になるようにしている。このようにすることにより、ゲート電極のリソグラフィーが上下方向に合わせずれても、常に一定のゲート長を得ることができ、トランジスタ特性の向上に加えて記憶エラーに対しても抵抗をもたせることができる。図11(b)は、ゲート電極パターンの変形例で、素子分離119と半導体領域との境界でのゲート長(=a)は半導体領域内部のゲート長(=b)よりも長くなっている。堆積膜によって形成されているゲート絶縁膜113では、溝の開口幅が広いほど溝の底面に堆積する膜厚が大きくなっている。したがって、図11(b)の構造をとることによって、素子分離119と半導体領域との境界でのゲート絶縁膜を平面部よりも大きくすることができ、この部分での耐圧やリーク電流特性を向上させることができる。ここで、トレンチ分離を素子分離膜119に用いた場合、例えば、ダミーゲート電極102をエッチングする工程によって素子分離膜119がエッチングされ、半導体領域よりも下に素子分離膜119が形成されると、半導体領域が素子分離膜119側に凸になり、ゲート電界が集中するために、しきい値の低下が問題となる。しかし、図11(b)の構造をとることによって解決することができる。   In FIG. 11A, the lower width of the gate electrode 114 indicated by the dotted line is made constant over the semiconductor region surrounded by the element isolation 119. By doing so, even if the lithography of the gate electrode is shifted in the vertical direction, a constant gate length can always be obtained, and in addition to improving the transistor characteristics, it is possible to provide resistance against memory errors. . FIG. 11B shows a modification of the gate electrode pattern. The gate length (= a) at the boundary between the element isolation 119 and the semiconductor region is longer than the gate length (= b) inside the semiconductor region. In the gate insulating film 113 formed by the deposited film, the film thickness deposited on the bottom surface of the groove increases as the opening width of the groove increases. Therefore, by adopting the structure of FIG. 11B, the gate insulating film at the boundary between the element isolation 119 and the semiconductor region can be made larger than the plane portion, and the breakdown voltage and leakage current characteristics at this portion are improved. Can be made. Here, when trench isolation is used for the element isolation film 119, for example, when the element isolation film 119 is etched by a process of etching the dummy gate electrode 102, and the element isolation film 119 is formed below the semiconductor region, Since the semiconductor region is convex toward the element isolation film 119 side and the gate electric field is concentrated, a decrease in threshold value becomes a problem. However, this can be solved by taking the structure of FIG.

なお、本発明は上述した各実施形態に限定されるものではない。上述した実施形態において絶縁膜12,111,112,113,102,103,106,108,118,109の形成方法としては、熱酸化による酸化膜形成法、30keV程度の低加速エネルギーで酸素を注入した酸化膜を形成してもよいし、絶縁膜を堆積する方法や、シリコン窒化膜を堆積する方法の何れかにより形成してもよいし、これらを組み合わせて形成してもよい。また、素子分離膜や絶縁膜形成法自身は、シリコンをシリコン酸化膜やシリコン窒化膜に変換するこれら以外の方法、例えば酸素イオンを堆積したシリコンに注入する方法や、堆積したシリコンを酸化する方法を用いてもかまわない。もちろん、この絶縁膜にシリコン窒化膜その他タンタル酸化膜、チタン酸ストロンチウムやチタン酸バリウム、チタン酸ジルコニウム鉛などの強誘電体膜、常誘電体膜の単層膜またはそれらの複合膜を用いることもできる。   In addition, this invention is not limited to each embodiment mentioned above. In the above-described embodiment, the insulating films 12, 111, 112, 113, 102, 103, 106, 108, 118, 109 are formed by an oxide film forming method by thermal oxidation, and oxygen is implanted with a low acceleration energy of about 30 keV. The oxide film may be formed, or may be formed by either a method of depositing an insulating film, a method of depositing a silicon nitride film, or a combination thereof. In addition, the element isolation film and the insulating film forming method itself are other methods for converting silicon into a silicon oxide film or a silicon nitride film, for example, a method of injecting oxygen ions into the deposited silicon, or a method of oxidizing the deposited silicon. May be used. Of course, a silicon nitride film, a tantalum oxide film, a ferroelectric film such as strontium titanate, barium titanate, lead zirconium titanate, a single layer film of a paraelectric film, or a composite film thereof may be used for this insulating film. it can.

上記の実施形態においては、半導体層7,105としてp型Si基板を用いたが、本発明はこれに限定されず、その代わりにn型Si基板やSOI基板、GaAs基板、InP基板を用いても良い。また、n型MISFETではなくp型MISFETに適用してもよく、その場合、上述の実施形態のn型をp型、p型をn型と読み替え、さらに、ドーピング不純物種のAs、P、SbをIn、B、のいずれかと読み替え、イオン注入の場合にはAs、P、SbをIn、B、BFのいずれかと読み替えればよい。 In the above embodiment, the p-type Si substrate is used as the semiconductor layers 7 and 105. However, the present invention is not limited to this, and an n-type Si substrate, SOI substrate, GaAs substrate, or InP substrate is used instead. Also good. In addition, the present invention may be applied to a p-type MISFET instead of an n-type MISFET. In this case, the n-type in the above-described embodiment is read as p-type, and the p-type is read as n-type. Further, doping impurity species As, P, Sb May be read as either In or B, and in the case of ion implantation, As, P, and Sb may be read as either In, B, or BF 2 .

ゲート電極6,10,114は、単結晶シリコン、多結晶シリコン、ポーラス・シリコン、アモルファス・シリコン、SiGe混晶、SiGeC混晶、GaAs、W、Ta、Ti、Hf、Co、Pt、Pdの金属、合金、あるいはそのシリサイド、TaN、TiN、導電性ナイトライドを用いることもできる。また、これらの積層構造にしてもよい。その他、本発明の要旨を逸脱しない範囲で、様々に変形して実施することができる。また、ダミーゲート10は、SiGe,またはSiGeCにより形成するのが好ましく、これにより、ダミーゲート10の除去工程の間のソース・ドレイン領域2に対するエッチングの選択性が高まる。
以上の第7実施形態に係るMIS型トランジスタおよびその製造方法によればソース・ドレイン領域となる半導体層の上面からチャネル形成面に掛けて傾斜面を形成しているので、ゲート電極下端からの距離を確保することができ、ゲートとソースとの間の容量、およびゲートとドレインとの間の容量をより小さく保つことができるという特有の効果を奏する。
The gate electrodes 6, 10, and 114 are made of single crystal silicon, polycrystalline silicon, porous silicon, amorphous silicon, SiGe mixed crystal, SiGeC mixed crystal, GaAs, W, Ta, Ti, Hf, Co, Pt, or Pd. An alloy, or a silicide thereof, TaN, TiN, or conductive nitride can also be used. Moreover, you may make these laminated structures. In addition, various modifications can be made without departing from the scope of the present invention. The dummy gate 10 is preferably formed of SiGe or SiGeC, which increases the etching selectivity with respect to the source / drain region 2 during the process of removing the dummy gate 10.
According to the MIS transistor and the method for manufacturing the same according to the seventh embodiment described above, the inclined surface is formed from the upper surface of the semiconductor layer serving as the source / drain region to the channel formation surface, so the distance from the lower end of the gate electrode And the capacitance between the gate and the source and the capacitance between the gate and the drain can be kept smaller.

また、ゲート電極の形状をT字状とすることにより、ゲート電極の抵抗を下げることができ、かつ、ゲート電極とソース・ドレイン電極との容量を小さく保つことができるという特有の効果を奏する。   Further, by making the shape of the gate electrode T-shaped, it is possible to reduce the resistance of the gate electrode, and to obtain a specific effect that the capacitance between the gate electrode and the source / drain electrodes can be kept small.

本発明の第1実施形態に係るMIS型トランジスタの構成を示す断面図。1 is a cross-sectional view showing a configuration of a MIS transistor according to a first embodiment of the present invention. 第1実施形態に係るMIS型トランジスタの製造方法における各工程(a)ないし(e)を示す断面図。Sectional drawing which shows each process (a) thru | or (e) in the manufacturing method of the MIS type transistor which concerns on 1st Embodiment. 本発明の第2実施形態に係るMIS型トランジスタの製造方法の工程(a)ないし(e)を示す断面図。Sectional drawing which shows process (a) thru | or (e) of the manufacturing method of the MIS type transistor which concerns on 2nd Embodiment of this invention. 本発明の第3実施形態に係るMIS型トランジスタの構成を示す断面図。Sectional drawing which shows the structure of the MIS transistor which concerns on 3rd Embodiment of this invention. 本発明の第4実施形態に係るMIS型トランジスタの製造方法における各工程(a)ないし(e)を示す断面図。Sectional drawing which shows each process (a) thru | or (e) in the manufacturing method of the MIS type transistor which concerns on 4th Embodiment of this invention. 本発明の第4実施形態に係るMIS型トランジスタの構成を示す断面図。Sectional drawing which shows the structure of the MIS transistor which concerns on 4th Embodiment of this invention. 本発明の第5実施形態に係るMIS型トランジスタの構成を示す断面図。Sectional drawing which shows the structure of the MIS transistor which concerns on 5th Embodiment of this invention. 本発明の第5実施形態に係るMIS型トランジスタの製造方法における各工程(a)ないし(e)を示す断面図。Sectional drawing which shows each process (a) thru | or (e) in the manufacturing method of the MIS type transistor which concerns on 5th Embodiment of this invention. 本発明の第6実施形態に係るMIS型トランジスタの構成を示す断面図。Sectional drawing which shows the structure of the MIS transistor which concerns on 6th Embodiment of this invention. 本発明の第7実施形態に係る半導体装置の構成を示す断面図。Sectional drawing which shows the structure of the semiconductor device which concerns on 7th Embodiment of this invention. 図10に示す半導体装置のそれぞれ異なる断面(a)および(b)の平面構成を示す平面図。FIG. 11 is a plan view showing a planar configuration of different cross sections (a) and (b) of the semiconductor device shown in FIG. 10; 第7実施形態の半導体装置の製造工程(a)および(b)を示す断面図。Sectional drawing which shows the manufacturing process (a) and (b) of the semiconductor device of 7th Embodiment. 図12の続きの製造工程(d)および(e)を示す断面図。Sectional drawing which shows the manufacturing process (d) and (e) of a continuation of FIG. 従来のMIS型トランジスタの構成を示す断面図。Sectional drawing which shows the structure of the conventional MIS type | mold transistor.

符号の説明Explanation of symbols

1 半導体基板
2 ソース・ドレイン領域
2A ソース領域
2B ドレイン領域
2a 第1の不純物拡散領域
2b 第2の不純物拡散領域
4 溝
5 高誘電体ゲート絶縁膜
6 ゲート電極
7 チャネル形成面
9 シリコン酸化膜
10 ポリシリコン
101 ダミーゲート電極
102 ダミーゲート絶縁膜
104 半導体領域
111 絶縁膜
112 絶縁膜
113 ゲート絶縁膜
114 ゲート電極
115 導電体層
1 semiconductor substrate 2 source / drain region 2A source region 2B drain region 2a first impurity diffusion region 2b second impurity diffusion region 4 groove 5 high dielectric gate insulating film 6 gate electrode 7 channel formation surface 9 silicon oxide film 10 poly Silicon 101 Dummy gate electrode 102 Dummy gate insulating film 104 Semiconductor region 111 Insulating film 112 Insulating film 113 Gate insulating film 114 Gate electrode 115 Conductor layer

Claims (4)

半導体基板と、この基板上に形成されたソース・ドレイン領域と、このソース・ドレイン領域間のチャネル領域の上方に設けられたゲート電極と、を備えるMIS型トランジスタにおいて、
前記チャネル形成面を挟んで設けられた前記ソース・ドレイン領域の上面が、前記チャネル形成面よりも嵩上げされて、前記ソース・ドレイン領域の上面は前記ゲート電極の底部の高さより低く形成され、かつ、前記ソース・ドレイン領域の上面から前記チャネル形成面まで徐々に下がるように傾斜する傾斜面と、を備えると共に、
前記チャネル形成面の上側に設けられたゲート絶縁膜により囲まれるゲート電極の形状が、段部を介して下側が先細りとなった断面T字の形状となっていることを特徴とするMIS型トランジスタ。
In a MIS transistor comprising a semiconductor substrate, a source / drain region formed on the substrate, and a gate electrode provided above a channel region between the source / drain regions,
The upper surface of the source / drain region provided across the channel formation surface is raised above the channel formation surface, and the upper surface of the source / drain region is formed lower than the height of the bottom of the gate electrode, and And an inclined surface that inclines so as to gradually fall from the upper surface of the source / drain region to the channel formation surface,
The MIS transistor characterized in that the shape of the gate electrode surrounded by the gate insulating film provided on the upper side of the channel forming surface has a T-shaped cross section with the lower side tapered through the step portion. .
前記ゲート絶縁膜の材料は高誘電体膜であることを特徴とする請求項1に記載のMIS型トランジスタ。2. The MIS transistor according to claim 1, wherein a material of the gate insulating film is a high dielectric film. 半導体基板と、この基板上に形成されたソース・ドレイン領域と、このソース・ドレイン領域間のチャネル領域の上方に設けられたゲート電極と、を備えるMIS型トランジスタを製造する方法であって、
選択的に形成された第1の半導体層に囲まれた前記チャネル形成面上にダミーゲート絶縁膜、および、第2の半導体層を含むダミーゲート電極を少なくともリソグラフィーを含む手法により形成する工程と、
前記半導体基板上の前記チャネル形成面となる領域を挟んでソース・ドレイン領域となる第3の半導体層を選択的に堆積させ、前記チャネル形成面よりも嵩上げされた位置から前記チャネル形成面まで徐々に下がるように傾斜面を形成する工程と、
前記ソース・ドレイン領域となる前記第3の半導体層を堆積する工程の前または後に、前記第2の半導体層をマスクにして前記半導体基板の表面に不純物を拡散させて、前記チャネル形成面の周囲に不純物拡散領域を形成する工程と、
前記不純物領域を形成した後に前記ダミーゲート電極および前記ダミーゲート絶縁膜の側壁に第1の絶縁膜を形成する工程と、
前記第1の絶縁膜の内側に第1の溝が形成されるように前記ダミーゲート電極および前記ダミーゲート絶縁膜をエッチングにより除去する工程と、
前記第1の溝の中心側に前記第1の溝よりも幅が狭い第2の溝が形成され、かつ、前記第3の半導体層の上面よりも前記ゲート電極の下面の位置が高くなるように、前記第3の半導体層より膜厚が厚い第2の絶縁膜を前記第1の溝の内部およびその周囲に堆積してゲート絶縁膜を形成する工程と、
前記第2の溝を埋めるように前記ゲート絶縁膜の上面にゲート電極を堆積させて、断面T字形状となったゲート電極を形成する工程と、
を備えることを特徴とするMIS型トランジスタの製造方法。
A method of manufacturing a MIS transistor comprising a semiconductor substrate, a source / drain region formed on the substrate, and a gate electrode provided above a channel region between the source / drain regions,
The first semiconductor layer surrounded by the channel forming surface on the dummy gate insulating film selectively formed, and the step of forming by a method comprising the least lithography dummy gate electrode including a second semiconductor layer When,
Said third semiconductor layer serving as source and drain regions sandwiching the channel forming surface and a region of the semiconductor substrate selectively deposited, gradually from the raised position than the channel formation surface to the channel formation surface a step you forming an inclined surface so as drops,
Before or after the step of depositing the third semiconductor layer to be the source / drain regions , impurities are diffused on the surface of the semiconductor substrate using the second semiconductor layer as a mask, and the periphery of the channel formation surface forming an impurity diffusion region,
Forming a first insulating film on sidewalls of the dummy gate electrode and the dummy gate insulating film after forming the impurity region;
Removing the dummy gate electrode and the dummy gate insulating film by etching so that a first groove is formed inside the first insulating film ;
A second groove narrower than the first groove is formed on the center side of the first groove, and the lower surface of the gate electrode is positioned higher than the upper surface of the third semiconductor layer. Forming a gate insulating film by depositing a second insulating film thicker than the third semiconductor layer in and around the first groove ;
Depositing a gate electrode on an upper surface of the gate insulating film so as to fill the second trench, and forming a gate electrode having a T-shaped cross section;
A method of manufacturing a MIS transistor, comprising:
前記ゲート絶縁膜の材料は高誘電体膜であることを特徴とする請求項3に記載のMIS型トランジスタの製造方法。4. The method of manufacturing a MIS transistor according to claim 3, wherein the material of the gate insulating film is a high dielectric film.
JP2006113606A 1998-06-29 2006-04-17 MIS type transistor and manufacturing method thereof Expired - Fee Related JP4564467B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2006113606A JP4564467B2 (en) 1998-06-29 2006-04-17 MIS type transistor and manufacturing method thereof

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP18289998 1998-06-29
JP2006113606A JP4564467B2 (en) 1998-06-29 2006-04-17 MIS type transistor and manufacturing method thereof

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP18438699A Division JP3809035B2 (en) 1998-06-29 1999-06-29 MIS type transistor and manufacturing method thereof

Publications (2)

Publication Number Publication Date
JP2006253706A JP2006253706A (en) 2006-09-21
JP4564467B2 true JP4564467B2 (en) 2010-10-20

Family

ID=37093770

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006113606A Expired - Fee Related JP4564467B2 (en) 1998-06-29 2006-04-17 MIS type transistor and manufacturing method thereof

Country Status (1)

Country Link
JP (1) JP4564467B2 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11798983B2 (en) 2021-07-19 2023-10-24 United Semiconductor Japan Co., Ltd. Semiconductor device with deeply depleted channel and manufacturing method thereof

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998027582A1 (en) * 1996-12-16 1998-06-25 Commissariat A L'energie Atomique Mis transistor with self-aligned metal grid and method for making it

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2734961B2 (en) * 1993-05-24 1998-04-02 日本電気株式会社 Field effect transistor and manufacturing method thereof
JPH08298328A (en) * 1995-04-27 1996-11-12 Hitachi Ltd Semiconductor device and its manufacture
JP2778535B2 (en) * 1995-08-01 1998-07-23 日本電気株式会社 Semiconductor integrated circuit and manufacturing method thereof
JP4160167B2 (en) * 1997-06-30 2008-10-01 株式会社東芝 Manufacturing method of semiconductor device

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998027582A1 (en) * 1996-12-16 1998-06-25 Commissariat A L'energie Atomique Mis transistor with self-aligned metal grid and method for making it

Also Published As

Publication number Publication date
JP2006253706A (en) 2006-09-21

Similar Documents

Publication Publication Date Title
US7303965B2 (en) MIS transistor and method for producing same
US6992358B2 (en) Semiconductor device and method for manufacturing the same
KR101815527B1 (en) Semiconductor device and method for manufacturing the same
US7648883B2 (en) Phosphorous doping methods of manufacturing field effect transistors having multiple stacked channels
US6908801B2 (en) Method of manufacturing semiconductor device
US20070108514A1 (en) Semiconductor device and method of fabricating the same
US20040209463A1 (en) Methods of fabricating field effect transistors having multiple stacked channels
KR100639679B1 (en) method of fabricating transistor including buried insulating layer and transistor fabricated thereby
US9870951B2 (en) Method of fabricating semiconductor structure with self-aligned spacers
TWI567939B (en) Semiconductor device and manufacturing method thereof
US20210367064A1 (en) Ldmos device and method for making the same
US6838326B2 (en) Semiconductor device, and method for manufacturing the same
US8378395B2 (en) Methods of fabricating field effect transistors having protruded active regions
KR20210149571A (en) Semiconductor device and method
JP5076168B2 (en) Manufacturing method of semiconductor device
JPH0697190A (en) Manufacture of mos transistor
JP3809035B2 (en) MIS type transistor and manufacturing method thereof
US20230017800A1 (en) Semiconductor device and method for fabricating the same
JP4564467B2 (en) MIS type transistor and manufacturing method thereof
JP2004140208A (en) Semiconductor memory device and its manufacturing method
JP3937894B2 (en) Semiconductor device
US11652155B2 (en) Air spacer and method of forming same
JP3966102B2 (en) Manufacturing method of semiconductor device
TW202301678A (en) Semiconductor devices and method of forming the same
CN115132727A (en) Semiconductor structure and forming method thereof

Legal Events

Date Code Title Description
RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20070427

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20091126

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100216

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100415

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100702

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100730

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130806

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130806

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees